KR20230079248A - Ferroelectric memory device containing word lines and pass gates and method of forming the same - Google Patents

Ferroelectric memory device containing word lines and pass gates and method of forming the same Download PDF

Info

Publication number
KR20230079248A
KR20230079248A KR1020237017845A KR20237017845A KR20230079248A KR 20230079248 A KR20230079248 A KR 20230079248A KR 1020237017845 A KR1020237017845 A KR 1020237017845A KR 20237017845 A KR20237017845 A KR 20237017845A KR 20230079248 A KR20230079248 A KR 20230079248A
Authority
KR
South Korea
Prior art keywords
portions
pass gate
dielectric
semiconductor channel
vertical
Prior art date
Application number
KR1020237017845A
Other languages
Korean (ko)
Other versions
KR102638555B1 (en
Inventor
옌리 장
요한 알스마이어
라구비르 에스. 마칼라
Original Assignee
샌디스크 테크놀로지스 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/457,721 external-priority patent/US10811431B1/en
Priority claimed from US16/457,687 external-priority patent/US10868042B1/en
Application filed by 샌디스크 테크놀로지스 엘엘씨 filed Critical 샌디스크 테크놀로지스 엘엘씨
Publication of KR20230079248A publication Critical patent/KR20230079248A/en
Application granted granted Critical
Publication of KR102638555B1 publication Critical patent/KR102638555B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/223Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using MOS with ferroelectric gate insulating film
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/225Auxiliary circuits
    • G11C11/2259Cell access
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region

Abstract

메모리 디바이스는 소스 영역과 드레인 영역 사이에서 연장되는 반도체 채널, 복수의 패스 게이트 전극들, 복수의 워드 라인들, 반도체 채널과 복수의 패스 게이트 전극들 사이에 위치되는 게이트 유전체, 및 반도체 채널과 복수의 워드 라인들 사이에 위치되는 강유전성 재료 부분들을 포함한다.The memory device includes a semiconductor channel extending between a source region and a drain region, a plurality of pass gate electrodes, a plurality of word lines, a gate dielectric positioned between the semiconductor channel and the plurality of pass gate electrodes, and a semiconductor channel and a plurality of word lines. It includes portions of ferroelectric material positioned between the word lines.

Description

워드 라인들 및 패스 게이트들을 포함하는 강유전성 메모리 디바이스 및 그 형성 방법 {Ferroelectric memory device containing word lines and pass gates and method of forming the same}Ferroelectric memory device containing word lines and pass gates and method of forming the same

관련 출원related application

본 출원은 2019년 6월 28일자로 출원된 미국 정규 출원 제16/457,687호 및 2019년 6월 28일자로 출원된 미국 정규 출원 제16/457,721호에 대한 우선권의 이익을 주장하며, 그 전체 내용은 모든 목적들을 위해 본 명세서에 참고로 포함된다.This application claims the benefit of priority to U.S. Provisional Application Serial No. 16/457,687, filed on June 28, 2019, and U.S. Provisional Application Serial No. 16/457,721, filed on June 28, 2019, the entire contents of which is incorporated herein by reference for all purposes.

기술분야technology field

본 개시내용은 일반적으로 반도체 메모리 디바이스들의 분야에 관한 것으로, 특히 워드 라인들 및 패스 게이트(pass gate)들을 포함하는 강유전성 메모리 디바이스들 및 그의 제조 방법들에 관한 것이다.The present disclosure relates generally to the field of semiconductor memory devices, and more particularly to ferroelectric memory devices including word lines and pass gates and methods of making the same.

강유전성 재료는 인가되는 전기장의 부재 시에 전기 전하의 자발적 분극을 나타내는 재료를 말한다. 강유전성 재료 내의 전하의 순(net) 분극(P)은 최소 에너지 상태에서 0이 아니다. 따라서, 재료의 자발적인 강유전성 분극이 발생하고, 강유전성 재료는 2개의 대향 표면들에 반대 극성 유형들의 표면 전하를 축적한다. 강유전성 재료를 가로질러 인가되는 전압(V)의 함수로서인 강유전성 재료의 분극(P)은 히스테리시스를 나타낸다. 잔류 분극과 강유전성 재료의 보자력 장(coercive field)의 곱은 강유전성 재료의 효과를 특성화하는 척도이다.A ferroelectric material refers to a material that exhibits spontaneous polarization of an electric charge in the absence of an applied electric field. The net polarization (P) of charge in a ferroelectric material is non-zero at the minimum energy state. Thus, spontaneous ferroelectric polarization of the material occurs, and the ferroelectric material accumulates surface charges of opposite polarity types on two opposing surfaces. The polarization (P) of a ferroelectric material as a function of the voltage (V) applied across the ferroelectric material exhibits hysteresis. The product of the remanent polarization and the coercive field of a ferroelectric material is a measure that characterizes the effect of the ferroelectric material.

강유전성 메모리 디바이스는 정보를 저장하는 데 사용되는 강유전성 재료를 포함하는 메모리 디바이스이다. 강유전성 재료는 메모리 디바이스의 메모리 재료로서 작용한다. 강유전성 재료의 쌍극자 모멘트는 강유전성 재료에 정보를 저장하기 위해 강유전성 재료에 인가되는 전기장의 극성에 따라 두 가지 상이한 배향들(예컨대, 결정 격자에서 산소 및/또는 금속 원자 위치들과 같은 원자 위치들을 기준으로 한 "상향" 또는 "하향" 분극 위치)로 프로그래밍된다. 강유전성 재료의 쌍극자 모멘트의 상이한 배향들은 강유전성 재료의 쌍극자 모멘트에 의해 발생되는 전기장에 의해 검출될 수 있다. 예를 들어, 쌍극자 모멘트의 배향은 전계 효과 트랜지스터 강유전성 메모리 디바이스에서 강유전성 재료에 인접하게 제공된 반도체 채널을 통과하는 전류를 측정함으로써 검출될 수 있다.A ferroelectric memory device is a memory device containing ferroelectric material used to store information. The ferroelectric material serves as the memory material of the memory device. The dipole moment of a ferroelectric material has two different orientations (e.g. relative to atomic positions such as oxygen and/or metal atomic positions in the crystal lattice) depending on the polarity of the electric field applied to the ferroelectric material to store information in the ferroelectric material. one "up" or "down" polarization position). Different orientations of the dipole moment of the ferroelectric material can be detected by the electric field generated by the dipole moment of the ferroelectric material. For example, the orientation of the dipole moment can be detected by measuring the current through a semiconductor channel provided adjacent to ferroelectric material in a field effect transistor ferroelectric memory device.

본 개시내용의 일 실시예에 따르면, 메모리 디바이스는 소스 영역과 드레인 영역 사이에서 연장되는 반도체 채널, 복수의 패스 게이트 전극들, 복수의 워드 라인들, 반도체 채널과 복수의 패스 게이트 전극들 사이에 위치되는 게이트 유전체, 및 반도체 채널과 복수의 워드 라인들 사이에 위치되는 강유전성 재료 부분들을 포함한다.According to one embodiment of the present disclosure, a memory device is disposed between a semiconductor channel extending between a source region and a drain region, a plurality of pass gate electrodes, a plurality of word lines, and a semiconductor channel and a plurality of pass gate electrodes. a gate dielectric, and portions of ferroelectric material positioned between the semiconductor channel and the plurality of word lines.

본 개시내용의 다른 실시예에 따르면, 3차원 메모리 디바이스를 형성하는 방법이 제공되며, 방법은, 기판 위에 절연 층들 및 전기 전도성 층들의 수직으로 교번하는 시퀀스를 형성하는 단계; 수직으로 교번하는 시퀀스를 통해 제1 수평 방향을 따라 측방향으로 연장되는 라인 트렌치들을 형성하는 단계 - 수직으로 교번하는 시퀀스의 나머지 부분들은 절연 스트립들 및 전기 전도성 스트립들의 교번하는 스택들을 포함함 -; 라인 트렌치들 각각 내에 인-프로세스(in-process) 메모리 필라(pillar) 구조체들의 행을 형성하는 단계 - 인-프로세스 메모리 필라 구조체들 각각은, 하나의 측면으로부터 다른 측면의 방향으로, 강유전성 재료 층, 층간 유전체 층, 희생 필라 부분, 및 게이트 유전체 층을 포함함 -; 희생 필라 부분들을 제거함으로써 채널 공동들을 형성하는 단계; 및 층간 유전체 층 및 게이트 유전체 층의 각자의 쌍 상에 각각의 채널 공동 내에 반도체 채널을 형성하는 단계를 포함한다.According to another embodiment of the present disclosure, a method of forming a three-dimensional memory device is provided, the method comprising: forming a vertically alternating sequence of insulating layers and electrically conductive layers over a substrate; forming line trenches extending laterally along the first horizontal direction through a vertically alternating sequence, wherein remaining portions of the vertically alternating sequence include alternating stacks of insulating strips and electrically conductive strips; forming a row of in-process memory pillar structures within each of the line trenches, each of the in-process memory pillar structures comprising, in a direction from one side to another, a layer of ferroelectric material; including an interlayer dielectric layer, a sacrificial pillar portion, and a gate dielectric layer; forming channel cavities by removing sacrificial pillar portions; and forming a semiconductor channel within each channel cavity on each pair of the interlevel dielectric layer and the gate dielectric layer.

본 개시내용의 또 다른 양태에 따르면, 메모리 디바이스를 형성하는 방법이 형성되며, 방법은, 기판 위에 유전체 재료 층에 매립된 패스 게이트 전극들을 형성하는 단계; 패스 게이트 전극들 위에 게이트 유전체 층을 형성하는 단계; 게이트 유전체 층 위에 반도체 채널, 소스 영역, 및 드레인 영역을 형성하는 단계; 및 반도체 채널 위에 층간 유전체 부분들, 강유전성 재료 부분들, 및 워드 라인들을 형성하는 단계를 포함한다.According to another aspect of the present disclosure, a method of forming a memory device is formed, the method comprising: forming pass gate electrodes embedded in a dielectric material layer over a substrate; forming a gate dielectric layer over the pass gate electrodes; forming a semiconductor channel, source region, and drain region over the gate dielectric layer; and forming interlayer dielectric portions, ferroelectric material portions, and word lines over the semiconductor channel.

본 개시내용의 또 다른 양태에 따르면, 3차원 메모리 디바이스는 기판 위에 위치되는, 교번하는 제1 절연 스트립들 및 워드 라인들의 워드 라인 유형 스택, 기판 위에 위치되는, 교번하는 제2 절연 스트립들 및 패스 게이트 전극들의 패스 게이트 유형 스택, 패스 게이트 유형 스택과 워드 라인 유형 스택 사이에 위치되는 수직 반도체 채널, 수직 반도체 채널과 패스 게이트 유형 스택 사이에 위치되는 게이트 유전체, 및 수직 반도체 채널과 워드 라인 유형 스택 사이에 위치되는 강유전성 재료 부분들을 포함한다.According to yet another aspect of the present disclosure, a three dimensional memory device comprises a word line type stack of alternating first insulating strips and word lines positioned over a substrate, alternating second insulating strips and pass positioned over a substrate. A pass gate type stack of gate electrodes, a vertical semiconductor channel located between the pass gate type stack and the word line type stack, a gate dielectric located between the vertical semiconductor channel and the pass gate type stack, and between the vertical semiconductor channel and the word line type stack. It includes portions of ferroelectric material located on.

도 1a는 본 개시내용의 제1 실시예에 따른, 패스 게이트 전극들 및 게이트 유전체 층의 형성 후에 제1 강유전성 메모리 디바이스를 형성하기 위한 제1 예시적인 구조체의 수직 단면도이다.
도 1b는 도 1a의 제1 예시적인 구조체의 평면도이다.
도 2a는 본 개시내용의 제1 실시예에 따른, 반도체 채널들, 소스 영역들 및 드레인 영역들의 형성 후의 제1 예시적인 구조체의 수직 단면도이다.
도 2b는 도 2a의 제1 예시적인 구조체의 평면도이다.
도 3a는 본 개시내용의 제1 실시예에 따른, 층간 유전체 층, 강유전성 재료 층 및 워드 라인들의 형성 후의 제1 예시적인 구조체의 수직 단면도이다.
도 3b는 도 3a의 제1 예시적인 구조체의 평면도이다.
도 4a는 본 개시내용의 제1 실시예에 따른, 제1 예시적인 구조체의 대안적인 구성의 수직 단면도이다.
도 4b는 도 4a의 제1 예시적인 구조체의 평면도이다.
도 5a는 본 개시내용의 제2 실시예에 따른, 절연 층들 및 전기 전도성 층들의 수직으로 교번하는 시퀀스의 형성 후의 제2 예시적인 구조체의 수직 단면도이다.
도 5b는 도 5a의 수평 평면 B - B'를 따른 제2 예시적인 구조체의 수평 단면도이다. 수직 평면 A - A'는 도 5a의 수직 단면도의 평면이다.
도 6a는 본 개시내용의 제2 실시예에 따른, 라인 트렌치들 및 절연 스트립들 및 전기 전도성 스트립들의 수직으로 교번하는 스택들의 형성 후의 제2 예시적인 구조체의 수직 단면도이다.
도 6b는 도 6a의 수평 평면 B - B'를 따른 제2 예시적인 구조체의 수평 단면도이다. 수직 평면 A - A'는 도 6a의 수직 단면도의 평면이다.
도 7a는 본 개시내용의 제2 실시예에 따른, 강유전성 재료 층의 형성 후의 제2 예시적인 구조체의 수직 단면도이다.
도 7b는 도 7a의 수평 평면 B - B'를 따른 제2 예시적인 구조체의 수평 단면도이다. 수직 평면 A - A'는 도 7a의 수직 단면도의 평면이다.
도 8a는 본 개시내용의 제2 실시예에 따른, 강유전성 재료 층을 다수의 부분들로 패턴화한 후의 제2 예시적인 구조체의 수직 단면도이다.
도 8b는 도 8a의 수평 평면 B - B'를 따른 제2 예시적인 구조체의 수평 단면도이다. 수직 평면 A - A'는 도 8a의 수직 단면도의 평면이다.
도 9a는 본 개시내용의 제2 실시예에 따른, 연속 유전체 재료 층의 퇴적 후의 제2 예시적인 구조체의 수직 단면도이다.
도 9b는 도 9a의 수평 평면 B - B'를 따른 제2 예시적인 구조체의 수평 단면도이다. 수직 평면 A - A'는 도 9a의 수직 단면도의 평면이다.
도 10a는 본 개시내용의 제2 실시예에 따른, 각각의 라인 트렌치 내에 강유전성 재료 층, 층간 유전체 층, 희생 필라 레일, 및 게이트 유전체 층의 조합의 형성 후의 제2 예시적인 구조체의 수직 단면도이다.
도 10b는 도 10a의 수평 평면 B - B'를 따른 제2 예시적인 구조체의 수평 단면도이다. 수직 평면 A - A'는 도 10a의 수직 단면도의 평면이다.
도 11a는 본 개시내용의 제2 실시예에 따른, 각각의 라인 트렌치 내에 인-프로세스 메모리 필라 구조체들 및 유전체 필라 구조체들의 측방향으로 교번하는 시퀀스의 형성 후의 제2 예시적인 구조체의 수직 단면도이다.
도 11b는 도 11a의 수평 평면 B - B'를 따른 제2 예시적인 구조체의 수평 단면도이다. 수직 평면 A - A'는 도 11a의 수직 단면도의 평면이다.
도 12a는 본 개시내용의 제2 실시예에 따른, 각각의 라인 트렌치 내에 채널 공동들의 형성 후의 제2 예시적인 구조체의 수직 단면도이다.
도 12b는 도 12a의 수평 평면 B - B'를 따른 제2 예시적인 구조체의 수평 단면도이다. 수직 평면 A - A'는 도 12a의 수직 단면도의 평면이다.
도 13a는 본 개시내용의 제2 실시예에 따른, 필라 공동들 내에 반도체 채널들, 유전체 코어들 및 드레인 영역들의 형성 후의 제2 예시적인 구조체의 수직 단면도이다.
도 13b는 도 13a의 수평 평면 B - B'를 따른 제2 예시적인 구조체의 수평 단면도이다. 수직 평면 A - A'는 도 13a의 수직 단면도의 평면이다.
도 13c는 본 개시내용의 제2 실시예에 따른, 워드 라인들, 패스 게이트들 및 비트 라인들의 형성 후의 제2 예시적인 구조체의 수직 단면도이다.
도 13d는 도 13c의 수평 평면 D - D'를 따른 제2 예시적인 구조체의 수평 단면도이다. 수직 평면 C - C'는 도 13c의 수직 단면도의 평면이다.
도 14a는 본 개시내용의 제3 실시예에 따른, 강유전성 메모리 요소들의 3차원 어레이를 포함하는 제3 예시적인 구조체의 제1 구성의 수직 단면도이다.
도 14b는 도 14a의 수평 평면 B - B'를 따른 제3 예시적인 구조체의 제1 구성의 수평 단면도이다. 수직 평면 A - A'는 도 14a의 수직 단면도의 평면이다.
도 14c는 도 14b의 수직 평면 C - C'를 따른 제3 예시적인 구조체의 제1 구성의 수직 단면도이다. 수평 평면 B - B'는 도 14b의 수평 단면도의 평면이다.
도 14d는 도 14a 및 도 14c의 수평 평면 D - D'를 따른 제3 예시적인 구조체의 제1 구성의 수평 단면도이다. 수직 평면 A - A'는 도 14a의 수직 단면도의 평면이다. 수직 평면 C - C'는 도 14c의 수직 단면도의 평면이다.
도 15a는 본 개시내용의 제3 실시예에 따른, 강유전성 메모리 요소들의 3차원 어레이를 포함하는 제3 예시적인 구조체의 제2 구성의 수직 단면도이다.
도 15b는 도 15a의 수평 평면 B - B'를 따른 제3 예시적인 구조체의 제2 구성의 수평 단면도이다. 수직 평면 A - A'는 도 15a의 수직 단면도의 평면이다.
도 16a는 본 개시내용의 제3 실시예에 따른, 강유전성 메모리 요소들의 3차원 어레이를 포함하는 제3 예시적인 구조체의 제3 구성의 수직 단면도이다.
도 16b는 도 16a의 수평 평면 B - B'를 따른 제3 예시적인 구조체의 제3 구성의 수평 단면도이다. 수직 평면 A - A'는 도 16a의 수직 단면도의 평면이다.
도 16c는 도 16b의 수직 평면 C - C'를 따른 제3 예시적인 구조체의 제3 구성의 수직 단면도이다. 수평 평면 B - B'는 도 16b의 수평 단면도의 평면이다.
도 17a는 본 개시내용의 제4 실시예에 따른, 강유전성 메모리 요소들의 3차원 어레이를 포함하는 제4 예시적인 구조체의 제1 구성의 수직 단면도이다.
도 17b는 도 17a의 수평 평면 B - B'를 따른 제4 예시적인 구조체의 제1 구성의 수평 단면도이다. 수직 평면 A - A'는 도 17a의 수직 단면도의 평면이다.
도 17c는 도 17a의 수평 평면 C - C'를 따른 제4 예시적인 구조체의 제1 구성의 수평 단면도이다. 수직 평면 A - A'는 도 17a의 수직 단면도의 평면이다.
도 18a는 본 개시내용의 제4 실시예에 따른, 강유전성 메모리 요소들의 3차원 어레이를 포함하는 제4 예시적인 구조체의 제2 구성의 수직 단면도이다.
도 18b는 도 18a의 수평 평면 B - B'를 따른 제4 예시적인 구조체의 제2 구성의 수평 단면도이다. 수직 평면 A - A'는 도 18a의 수직 단면도의 평면이다.
도 18c는 본 개시내용의 제4 실시예에 따른, 강유전성 메모리 요소들의 3차원 어레이를 포함하는 제4 예시적인 구조체의 제3 구성의 수직 단면도이다.
도 19a는 본 개시내용의 제4 실시예에 따른, 강유전성 메모리 요소들의 3차원 어레이를 포함하는 제4 예시적인 구조체의 제4 구성의 수직 단면도이다.
도 19b는 도 19a의 수평 평면 B - B'를 따른 제4 예시적인 구조체의 제4 구성의 수평 단면도이다. 수직 평면 A - A'는 도 19a의 수직 단면도의 평면이다.
도 20은 본 개시내용의 제4 실시예에 따른, 강유전성 메모리 요소들의 3차원 어레이를 포함하는 제4 예시적인 구조체의 제5 구성의 수직 단면도이다.
도 21a는 본 개시내용의 제2, 제3, 및 제4 예시적인 구조체들에 대한 개략적 회로도이다. 도 21b 및 도 21c는 도 21a의 회로를 동작시키는 데 사용될 수 있는 예시적인 전압들을 도시한 표들이다.
1A is a vertical cross-sectional view of a first exemplary structure for forming a first ferroelectric memory device after formation of pass gate electrodes and a gate dielectric layer, in accordance with a first embodiment of the present disclosure.
FIG. 1B is a plan view of the first exemplary structure of FIG. 1A.
2A is a vertical cross-sectional view of a first exemplary structure after formation of semiconductor channels, source regions, and drain regions, according to a first embodiment of the present disclosure.
FIG. 2B is a plan view of the first exemplary structure of FIG. 2A.
3A is a vertical cross-sectional view of a first exemplary structure after formation of an interlayer dielectric layer, a ferroelectric material layer and word lines, according to a first embodiment of the present disclosure.
FIG. 3B is a plan view of the first exemplary structure of FIG. 3A.
4A is a vertical cross-sectional view of an alternative configuration of a first exemplary structure, in accordance with a first embodiment of the present disclosure.
4B is a plan view of the first exemplary structure of FIG. 4A.
5A is a vertical cross-sectional view of a second exemplary structure after formation of a vertically alternating sequence of insulating layers and electrically conductive layers, according to a second embodiment of the present disclosure.
FIG. 5B is a horizontal cross-sectional view of a second exemplary structure along the horizontal plane B-B′ of FIG. 5A. The vertical plane A - A' is the plane of the vertical section in Fig. 5a.
6A is a vertical cross-sectional view of a second exemplary structure after formation of vertically alternating stacks of line trenches and insulating strips and electrically conductive strips, in accordance with a second embodiment of the present disclosure.
6B is a horizontal cross-sectional view of a second exemplary structure along the horizontal plane B-B′ of FIG. 6A. The vertical plane A - A' is the plane of the vertical section in Fig. 6A.
7A is a vertical cross-sectional view of a second exemplary structure after formation of a ferroelectric material layer, according to a second embodiment of the present disclosure.
FIG. 7B is a horizontal cross-sectional view of a second exemplary structure along the horizontal plane B-B′ of FIG. 7A. The vertical plane A - A' is the plane of the vertical section in Fig. 7a.
8A is a vertical cross-sectional view of a second exemplary structure after patterning a layer of ferroelectric material into multiple portions, in accordance with a second embodiment of the present disclosure.
FIG. 8B is a horizontal cross-sectional view of a second exemplary structure along the horizontal plane B-B′ of FIG. 8A. The vertical plane A-A' is the plane of the vertical cross-section of FIG. 8A.
9A is a vertical cross-sectional view of a second exemplary structure after deposition of a continuous dielectric material layer, in accordance with a second embodiment of the present disclosure.
FIG. 9B is a horizontal cross-sectional view of a second exemplary structure along the horizontal plane B-B′ of FIG. 9A. The vertical plane A - A' is the plane of the vertical section in Fig. 9A.
10A is a vertical cross-sectional view of a second exemplary structure after formation of a combination of a ferroelectric material layer, an interlevel dielectric layer, a sacrificial pillar rail, and a gate dielectric layer within each line trench, according to a second embodiment of the present disclosure.
FIG. 10B is a horizontal cross-sectional view of a second exemplary structure along the horizontal plane B-B′ of FIG. 10A. The vertical plane A - A' is the plane of the vertical section in Fig. 10A.
11A is a vertical cross-sectional view of a second exemplary structure after formation of a laterally alternating sequence of in-process memory pillar structures and dielectric pillar structures within each line trench, in accordance with a second embodiment of the present disclosure.
11B is a horizontal cross-sectional view of a second exemplary structure along the horizontal plane B-B′ of FIG. 11A. The vertical plane A - A' is the plane of the vertical section in Fig. 11A.
12A is a vertical cross-sectional view of a second exemplary structure after formation of channel cavities within each line trench, in accordance with a second embodiment of the present disclosure.
FIG. 12B is a horizontal cross-sectional view of a second exemplary structure along the horizontal plane B-B′ of FIG. 12A. The vertical plane A - A' is the plane of the vertical section in Fig. 12A.
13A is a vertical cross-sectional view of a second exemplary structure after formation of semiconductor channels, dielectric cores and drain regions within pillar cavities, in accordance with a second embodiment of the present disclosure.
FIG. 13B is a horizontal cross-sectional view of a second exemplary structure along the horizontal plane B-B′ of FIG. 13A. The vertical plane A - A' is the plane of the vertical section in Fig. 13A.
13C is a vertical cross-sectional view of a second exemplary structure after formation of word lines, pass gates, and bit lines, in accordance with a second embodiment of the present disclosure.
13D is a horizontal cross-sectional view of a second exemplary structure along the horizontal plane D-D′ of FIG. 13C. The vertical plane C - C' is the plane of the vertical section in Fig. 13c.
14A is a vertical cross-sectional view of a first configuration of a third exemplary structure including a three-dimensional array of ferroelectric memory elements, in accordance with a third embodiment of the present disclosure.
14B is a horizontal cross-sectional view of a first configuration of a third exemplary structure along horizontal plane B-B′ of FIG. 14A. The vertical plane A - A' is the plane of the vertical section in Fig. 14A.
14C is a vertical cross-sectional view of a first configuration of a third exemplary structure along the vertical plane C-C′ of FIG. 14B. The horizontal plane B-B' is the plane of the horizontal cross-section in Fig. 14B.
14D is a horizontal cross-sectional view of a first configuration of a third exemplary structure along the horizontal plane D-D′ of FIGS. 14A and 14C. The vertical plane A - A' is the plane of the vertical section in Fig. 14A. The vertical plane C-C' is the plane of the vertical section in Fig. 14c.
15A is a vertical cross-sectional view of a second configuration of a third exemplary structure including a three-dimensional array of ferroelectric memory elements, in accordance with a third embodiment of the present disclosure.
15B is a horizontal cross-sectional view of a second configuration of the third exemplary structure along the horizontal plane B-B′ of FIG. 15A. The vertical plane A - A' is the plane of the vertical section in Fig. 15A.
16A is a vertical cross-sectional view of a third configuration of a third exemplary structure including a three-dimensional array of ferroelectric memory elements, in accordance with a third embodiment of the present disclosure.
16B is a horizontal cross-sectional view of a third configuration of the third exemplary structure along the horizontal plane B-B′ of FIG. 16A. The vertical plane A - A' is the plane of the vertical section in Fig. 16A.
16C is a vertical cross-sectional view of a third configuration of the third exemplary structure along the vertical plane C-C′ of FIG. 16B. The horizontal plane B-B' is the plane of the horizontal cross-section in Fig. 16B.
17A is a vertical cross-sectional view of a first configuration of a fourth exemplary structure comprising a three-dimensional array of ferroelectric memory elements, in accordance with a fourth embodiment of the present disclosure.
17B is a horizontal cross-sectional view of a first configuration of a fourth exemplary structure along horizontal plane B-B′ of FIG. 17A. The vertical plane A - A' is the plane of the vertical section in Fig. 17A.
17C is a horizontal cross-sectional view of a first configuration of a fourth exemplary structure along horizontal plane C-C′ of FIG. 17A. The vertical plane A - A' is the plane of the vertical section in Fig. 17A.
18A is a vertical cross-sectional view of a second configuration of a fourth exemplary structure comprising a three-dimensional array of ferroelectric memory elements, in accordance with a fourth embodiment of the present disclosure.
18B is a horizontal cross-sectional view of a second configuration of the fourth exemplary structure along the horizontal plane B-B′ of FIG. 18A. The vertical plane A - A' is the plane of the vertical section of FIG. 18A.
18C is a vertical cross-sectional view of a third configuration of a fourth exemplary structure including a three-dimensional array of ferroelectric memory elements, in accordance with a fourth embodiment of the present disclosure.
19A is a vertical cross-sectional view of a fourth configuration of a fourth exemplary structure including a three-dimensional array of ferroelectric memory elements, in accordance with a fourth embodiment of the present disclosure.
19B is a horizontal cross-sectional view of a fourth configuration of a fourth exemplary structure along horizontal plane B-B′ of FIG. 19A. Vertical plane A - A' is the plane of the vertical cross-section of FIG. 19A.
20 is a vertical cross-sectional view of a fifth configuration of a fourth exemplary structure including a three-dimensional array of ferroelectric memory elements, in accordance with a fourth embodiment of the present disclosure.
21A is a schematic circuit diagram of second, third, and fourth exemplary structures of the present disclosure. 21B and 21C are tables showing exemplary voltages that may be used to operate the circuit of FIG. 21A.

위에서 논의된 바와 같이, 본 개시내용의 실시예들은 워드 라인들 및 패스 게이트 전극들 둘 모두를 포함하는 강유전성 메모리 디바이스들 및 그의 제조 방법들에 관한 것이며, 그 다양한 양태들이 본 명세서에 상세히 기술된다. 패스 게이트 전극들은 동일한 메모리 스트링 내의 인접한 강유전성 메모리 셀들 사이의 교란을 감소시키거나 제거한다.As discussed above, embodiments of the present disclosure relate to ferroelectric memory devices including both word lines and pass gate electrodes and methods of fabrication thereof, various aspects of which are described in detail herein. Pass gate electrodes reduce or eliminate disturbances between adjacent ferroelectric memory cells within the same memory string.

도면은 축척대로 도시되지 않는다. 요소들의 중복의 부재가 명백히 기술되거나 명확하게 달리 지시되지 않는 한, 요소의 단일 인스턴스가 도시되는 경우 요소의 다수의 인스턴스들이 중복될 수 있다. "제1", "제2", 및 "제3" 과 같은 서수들은 단지 유사한 요소들을 식별하는 데에 사용되며, 상이한 서수들이 본 개시내용의 명세서 및 청구범위에 전반에 걸쳐 사용될 수 있다. 동일한 도면 부호는 동일한 요소 또는 유사한 요소를 지칭한다. 달리 지시되지 않는 한, 동일한 도면 부호를 갖는 요소들은 동일한 조성 및 동일한 기능을 갖는 것으로 추정된다. 달리 나타내지 않는 한, 요소들 사이의 "접촉"은 요소들에 의해 공유되는 에지 또는 표면을 제공하는 요소들 사이의 직접 접촉을 지칭한다. 본 명세서에 사용되는 바와 같이, 제2 요소 "상에" 위치된 제1 요소는 제2 요소의 표면의 외부 면 상에 또는 제2 요소의 내부 면 상에 위치될 수 있다. 본 명세서에 사용되는 바와 같이, 제1 요소의 표면과 제2 요소의 표면 사이에 물리적 접촉이 존재하는 경우, 제1 요소는 제2 요소 "상에 직접" 위치된다. 본 명세서에 사용되는 바와 같이, 제1 요소는 제1 요소와 제2 요소 사이에 적어도 하나의 전도성 재료로 이루어진 전도성 경로가 존재하는 경우, 제2 요소에 "전기적으로 접속된다". 본 명세서에 사용되는 바와 같이, "프로토타입" 구조체 또는 "인-프로세스(in-process)" 구조체는, 그 안의 적어도 하나의 컴포넌트의 형상 또는 조성이 후속적으로 변형되는 일시적인 구조체를 지칭한다.The drawings are not drawn to scale. Multiple instances of an element may be redundant where a single instance of an element is shown unless the absence of overlapping of elements is explicitly stated or clearly indicated otherwise. Ordinal numbers such as “first,” “second,” and “third” are only used to identify like elements, and different ordinal numbers may be used throughout the specification and claims of this disclosure. Like reference numerals designate the same or similar elements. Unless otherwise indicated, elements having the same reference numbers are assumed to have the same composition and the same function. Unless indicated otherwise, “contact” between elements refers to direct contact between elements providing an edge or surface shared by the elements. As used herein, a first element positioned “on” a second element may be positioned on an outer face of a surface of the second element or on an inner face of the second element. As used herein, a first element is positioned “directly on” a second element when there is physical contact between the surface of the first element and the surface of the second element. As used herein, a first element is “electrically connected” to a second element when there is a conductive path between the first element and the second element made of at least one conductive material. As used herein, a “prototype” structure or “in-process” structure refers to a temporary structure that is subsequently modified in shape or composition of at least one component therein.

본 명세서에 사용되는 바와 같이, "층"은 두께를 갖는 영역을 포함하는 재료 부분을 지칭한다. 층은 아래에 놓이는(underlying) 또는 위에 놓이는(overlying) 구조체의 전체에 걸쳐 연장될 수 있거나, 아래에 놓이는 또는 위에 놓이는 구조체의 범위보다 작은 범위를 가질 수 있다. 또한, 층은 연속적인 구조체의 두께보다 작은 두께를 갖는 균질한 또는 불균질한 연속적인 구조체의 영역일 수 있다. 예를 들어, 층은 연속적인 구조체의 상부 표면과 저부 표면에 있는 또는 그들 사이에 있는 임의의 쌍의 수평 평면들 사이에 위치될 수 있다. 층은 수평으로, 수직으로, 그리고/또는 테이퍼진 표면을 따라 연장될 수 있다. 기판은 하나의 층일 수 있거나, 그 내부에 하나 이상의 층들을 포함할 수 있거나, 그 상에, 그 위에, 그리고/또는 그 아래에 하나 이상의 층들을 가질 수 있다.As used herein, “layer” refers to a portion of material that includes a region having a thickness. A layer may extend throughout the entirety of the underlying or overlying structure, or may have an extent less than the extent of the underlying or overlying structure. A layer can also be a region of a continuous structure, homogeneous or heterogeneous, having a thickness less than the thickness of the continuous structure. For example, a layer may be positioned between any pair of horizontal planes at or between the top and bottom surfaces of the continuous structure. The layer may extend horizontally, vertically, and/or along a tapered surface. The substrate may be one layer, may include one or more layers therein, or may have one or more layers on, above, and/or below it.

본 명세서에서 사용되는 바와 같이, 제2 표면이 제1 표면 위에 놓이거나 또는 아래에 놓이는 경우, 그리고 제1 표면 및 제2 표면을 포함하는 수직 평면 또는 실질적으로 수직 평면이 존재하는 경우, 제1 표면과 제2 표면은 서로 "수직으로 일치(coincident)"한다. 실질적으로 수직 평면은 수직 방향으로부터 5도 미만의 각도만큼 벗어나는 방향을 따라 일직선으로 연장되는 평면이다. 수직 평면 또는 실질적으로 수직 평면은 수직 방향 또는 실질적으로 수직 방향을 따라 일직선이고, 수직 방향 또는 실질적으로 수직 방향에 수직인 방향을 따라 곡률을 포함할 수 있거나 포함하지 않을 수 있다.As used herein, when a second surface overlies or underlies a first surface, and there is a vertical plane or substantially vertical plane comprising the first surface and the second surface, the first surface and the second surface "coincident" with each other. A substantially vertical plane is a plane that extends straight along a direction that departs from the vertical by an angle of less than 5 degrees. The vertical plane or substantially vertical plane is straight along the vertical or substantially vertical direction and may or may not include curvature along the vertical or substantially perpendicular direction.

모놀리식 3차원 메모리 어레이는, 개재하는 기판들 없이 반도체 웨이퍼와 같은 단일 기판 위에 다수의 메모리 레벨들이 형성되는 메모리 어레이이다. 용어 "모놀리식"은 어레이의 각각의 레벨의 층들이 어레이의 각각의 아래에 놓이는 레벨의 층들 상에 직접 퇴적된다는 것을 의미한다. 대조적으로, 2차원 어레이들은 별도로 형성되고 이어서 함께 패키징되어 비-모놀리식 메모리 디바이스를 형성할 수 있다. 예를 들어, 발명의 명칭이 "Three-dimensional Structure Memory"인 미국 특허 제5,915,167호에 기술된 바와 같이, 별개의 기판들 상에 메모리 레벨들을 형성하고 메모리 레벨들을 수직으로 적층함으로써 비-모놀리식 적층형 메모리들이 구성되었다. 기판들은 접합 이전에 메모리 레벨들로부터 박화되거나 제거될 수 있지만, 메모리 레벨들은 초기에 별개의 기판들 위에 형성되기 때문에, 그러한 메모리들은 진정한 모놀리식 3차원 메모리 어레이들이 아니다. 본 개시내용의 다양한 3차원 메모리 디바이스들은 모놀리식 3차원 NAND 스트링 메모리 디바이스를 포함하며, 본 명세서에 기술된 다양한 실시예들을 사용하여 제조될 수 있다.A monolithic three-dimensional memory array is a memory array in which multiple memory levels are formed on a single substrate, such as a semiconductor wafer, without intervening substrates. The term "monolithic" means that the layers of each level of the array are deposited directly on the layers of each underlying level of the array. In contrast, two-dimensional arrays can be formed separately and then packaged together to form a non-monolithic memory device. For example, as described in U.S. Patent No. 5,915,167 entitled "Three-dimensional Structure Memory," forming memory levels on separate substrates and stacking the memory levels vertically to achieve a non-monolithic Stacked memories were constructed. Substrates may be thinned or removed from the memory levels prior to bonding, but since the memory levels are initially formed on separate substrates, such memories are not truly monolithic three-dimensional memory arrays. Various three-dimensional memory devices of the present disclosure include monolithic three-dimensional NAND string memory devices, and may be fabricated using various embodiments described herein.

도 1a 및 도 1b를 참조하면, 본 개시내용의 제1 실시예에 따른 제1 강유전성 메모리 디바이스를 형성하기 위한 제1 예시적인 구조체가 도시되어 있다. 제1 예시적인 구조체는 반도체 기판, 절연 기판, 또는 전도성 기판일 수 있는 기판(109)을 포함한다. 예를 들어, 기판(109)은 구매가능한 실리콘 웨이퍼를 포함할 수 있다. 기판(109)이 반도체 재료 또는 전도성 재료를 포함하는 경우에, 절연 스페이서 층(10)이 기판(109) 위에 형성되어 그 위에 형성될 디바이스들에게 기판(109)으로부터의 전기적 격리를 제공할 수 있다. 절연 스페이서 층(10)은 실리콘 산화물, 실리콘 질화물 또는 유전체 금속 산화물과 같은 유전체 재료를 포함한다. 예를 들어, 절연 스페이서 층(10)은 도핑되지 않은 실리케이트 유리 또는 도핑된 실리케이트 유리를 포함할 수 있고, 10 nm 내지 500 nm 범위의 두께를 가질 수 있지만, 더 작은 두께 및 더 큰 두께도 이용될 수 있다.Referring to FIGS. 1A and 1B , a first exemplary structure for forming a first ferroelectric memory device according to a first embodiment of the present disclosure is shown. The first example structure includes a substrate 109 that can be a semiconductor substrate, an insulating substrate, or a conductive substrate. For example, substrate 109 may include a commercially available silicon wafer. Where substrate 109 includes a semiconductor material or a conductive material, an insulating spacer layer 10 may be formed over substrate 109 to provide electrical isolation from substrate 109 for devices to be formed thereon. . The insulating spacer layer 10 includes a dielectric material such as silicon oxide, silicon nitride or dielectric metal oxide. For example, insulating spacer layer 10 may comprise undoped silicate glass or doped silicate glass and may have a thickness ranging from 10 nm to 500 nm, although smaller and larger thicknesses may also be used. can

패스-게이트-격리 유전체 층(132)이 절연 스페이서 층(10) 위에 퇴적되고, 패턴화되어 본 명세서에서 게이트 방향(gd)으로 지칭되는 수평 방향을 따라 측방향으로 연장되는 라인 트렌치들을 형성한다. 패스-게이트-격리 유전체 층(132)은 수평 상부 표면을 갖는 절연 재료 층이다. 적어도 하나의 전도성 재료가 라인 트렌치들 내에 퇴적될 수 있다. 적어도 하나의 전도성 재료는 적어도 하나의 금속성 재료 및/또는 적어도 하나의 고농도로 도핑된 반도체 재료를 포함할 수 있다. 예를 들어, 전도성 금속 질화물(예컨대, TiN, TaN, 또는 WN)과 전도성 충전 재료(예컨대, W, Co, Mo, Ru, Cu 등)의 조합이 라인 트렌치들 내에 퇴적될 수 있다. 적어도 하나의 전도성 재료의 잉여 부분들은 패스-게이트-격리 유전체 층(132)의 나머지 부분들의 상부 표면들을 포함하는 수평 평면 위로부터 제거될 수 있다. 라인 트렌치들 내의 적어도 하나의 전도성 재료의 나머지 부분들은 패스 게이트 전극들(36)을 포함한다. 본 명세서에 사용되는 바와 같이, "패스 게이트 전극"은 반도체 채널에 인접하게 위치된 워드 라인에 인가되는 전압에 관계없이 반도체 채널을 통한 전류의 흐름을 제어하는 데 사용되는, 백 게이트 전극과 같은 전극을 지칭한다. 선택 게이트 전극들(26, 66)이 패스 게이트 전극들(36)에 더하여 형성될 수 있다. 본 명세서에 사용되는 바와 같이, "선택 게이트 전극"은 반도체 채널을 통한 전류를 인에이블 또는 디스에이블할 수 있는 전극을 지칭한다. 선택 게이트 전극들(26, 66)은 패스 게이트 전극들(36)의 일 측면 상에 형성되는 소스-측 선택 게이트 전극(26) 및 패스 게이트 전극들(66)의 다른 측면 상에 형성되는 드레인-측 선택 게이트 전극(66)을 포함할 수 있다.A pass-gate-isolation dielectric layer 132 is deposited over the insulating spacer layer 10 and patterned to form line trenches extending laterally along a horizontal direction referred to herein as the gate direction gd. Pass-gate-isolation dielectric layer 132 is a layer of insulating material having a horizontal upper surface. At least one conductive material may be deposited within the line trenches. The at least one conductive material may include at least one metallic material and/or at least one heavily doped semiconductor material. For example, a combination of a conductive metal nitride (eg, TiN, TaN, or WN) and a conductive fill material (eg, W, Co, Mo, Ru, Cu, etc.) may be deposited in the line trenches. Excess portions of at least one conductive material may be removed from above a horizontal plane that includes upper surfaces of remaining portions of pass-gate-isolation dielectric layer 132 . Remaining portions of at least one conductive material in the line trenches include pass gate electrodes 36 . As used herein, a "pass gate electrode" is an electrode, such as a back gate electrode, used to control the flow of current through a semiconductor channel regardless of the voltage applied to a word line located adjacent to the semiconductor channel. refers to Select gate electrodes 26 and 66 may be formed in addition to pass gate electrodes 36 . As used herein, “selection gate electrode” refers to an electrode capable of enabling or disabling current through a semiconductor channel. The select gate electrodes 26 and 66 include a source-side select gate electrode 26 formed on one side of the pass gate electrodes 36 and a drain-side formed on the other side of the pass gate electrodes 66. A side selection gate electrode 66 may be included.

대안적으로, 패스-게이트-격리 유전체 층(132)을 형성하기 전에, 선택 게이트 전극들(26, 66) 및 패스 게이트 전극들(36)이 형성될 수 있다. 전기 전도성 층이 형성되고 패턴화되어 레일 형상의 선택 게이트 전극들(26, 66) 및 패스 게이트 전극들(36)을 형성할 수 있다. 이어서, 유전체 재료가 전극들 위에서 그리고 그들 사이에서 형성되고, 평탄화되어 전극들 위로부터 유전체 재료를 제거하여 전극들(26, 66, 36) 사이에 위치된 패스-게이트-격리 유전체 층(132)을 형성한다.Alternatively, prior to forming pass-gate-isolation dielectric layer 132, select gate electrodes 26, 66 and pass gate electrodes 36 may be formed. An electrically conductive layer may be formed and patterned to form rail-shaped select gate electrodes 26, 66 and pass gate electrodes 36. Dielectric material is then formed over and between the electrodes, and planarized to remove the dielectric material from over the electrodes to form a pass-gate-isolation dielectric layer 132 located between electrodes 26, 66, 36. form

게이트 유전체 층(52)이 패스 게이트 전극들(36), 선택 게이트 전극들(26, 66), 및 패스-게이트-격리 유전체 층(132)의 상부 표면들 상에 퇴적될 수 있다. 게이트 유전체 층(52)은 실리콘 산화물 및/또는 유전체 금속 산화물과 같은 게이트 유전체 층 재료를 포함한다.A gate dielectric layer 52 may be deposited on top surfaces of pass gate electrodes 36 , select gate electrodes 26 and 66 , and pass-gate-isolation dielectric layer 132 . Gate dielectric layer 52 includes a gate dielectric layer material such as silicon oxide and/or dielectric metal oxide.

도 2a 및 도 2b를 참조하면, 반도체 재료는 게이트 유전체 층(52) 위에 퇴적될 수 있고, 게이트 방향(gd)에 수직일 수 있는 채널 방향(cd)을 따라 측방향으로 연장되는 스트립들로 패턴화될 수 있다. 반도체 재료는 예를 들어, 실리콘(예컨대, 비정질 실리콘 또는 폴리실리콘), 실리콘-게르마늄 합금, 또는 화합물 반도체 재료를 포함할 수 있다. 반도체 재료는 p-형 또는 n-형일 수 있는 제1 전도성 유형의 도핑을 가질 수 있다. 반도체 재료 내의 제1 전도성 유형의 전기 도펀트들의 원자 농도는 1.0 × 1015/㎤ 내지 1.0 × 1018/㎤의 범위일 수 있지만, 더 작은 원자 농도 및 더 큰 원자 농도도 이용될 수 있다. 패턴화된 반도체 재료 스트립들의 두께는 3 nm 내지 60 nm, 예컨대 6 nm 내지 30 nm의 범위일 수 있지만, 더 작은 두께 및 더 큰 두께도 이용될 수 있다. 패턴화된 반도체 재료 스트립들은 채널 방향(cd)을 따라 측방향으로 연장되는 라인 트렌치들에 의해 측방향으로 이격된다.Referring to FIGS. 2A and 2B , a semiconductor material may be deposited over the gate dielectric layer 52 and patterned into strips extending laterally along the channel direction cd, which may be perpendicular to the gate direction gd. can get angry The semiconductor material may include, for example, silicon (eg, amorphous silicon or polysilicon), a silicon-germanium alloy, or a compound semiconductor material. The semiconductor material may have doping of a first conductivity type, which may be p-type or n-type. The atomic concentration of electrical dopants of the first conductivity type in the semiconductor material may range from 1.0×10 15 /cm 3 to 1.0×10 18 /cm 3 , although smaller and larger atomic concentrations may be used. The thickness of the patterned strips of semiconductor material may range from 3 nm to 60 nm, such as from 6 nm to 30 nm, although smaller and larger thicknesses may also be used. The patterned strips of semiconductor material are laterally spaced by line trenches extending laterally along the channel direction cd.

소스 영역(28) 및 드레인 영역(68)이 반도체 재료 스트립들의 대향 단부들 상에 또는 그 내부에 형성될 수 있다. 소스 및 드레인 영역들은 각자의 소스 및 드레인 라인들, 또는 각자의 소스 및 드레인 라인들에 전기적으로 접속되는 개별 도핑된 반도체 영역들을 포함할 수 있다. 예를 들어, 제2 전도성의 도펀트들은 마스킹된 이온 주입 프로세스를 이용하여 반도체 재료 스트립들의 단부 부분들 내에 주입될 수 있다. 패턴화된 반도체 재료 스트립들의 주입 부분들은 패스 게이트 전극들(36) 및 선택 게이트 전극들(26, 66)을 포함하는 영역들 외부에 위치될 수 있다. 소스 영역(28)은 소스-측 선택 게이트 전극(26)에 인접한 반도체 재료 스트립들의 각각의 주입 부분 내에 형성될 수 있고, 드레인 영역(68)은 드레인-측 선택 게이트 전극(66)에 인접한 반도체 재료 스트립들의 각각의 주입 부분 내에 형성될 수 있다. 소스 영역(28)과 드레인 영역(68) 사이에서 연장되는 반도체 재료 스트립의 각각의 연속 부분은 반도체 채널(160)을 구성한다. 소스 영역들(28) 및 드레인 영역들(68) 내의 제2 전도성 유형의 도펀트들의 원자 농도는 5.0 × 1018/㎤ 내지 2.0 × 1021/㎤의 범위일 수 있지만, 더 작은 원자 농도 및 더 큰 원자 농도도 이용될 수 있다.A source region 28 and a drain region 68 may be formed on or within opposite ends of the strips of semiconductor material. The source and drain regions may include respective source and drain lines, or separate doped semiconductor regions electrically connected to respective source and drain lines. For example, dopants of the second conductivity may be implanted into end portions of the strips of semiconductor material using a masked ion implantation process. Implanted portions of the patterned strips of semiconductor material may be located outside the regions containing pass gate electrodes 36 and select gate electrodes 26, 66. A source region 28 may be formed in an implanted portion of each of the strips of semiconductor material adjacent to the source-side select gate electrode 26, and a drain region 68 of semiconductor material adjacent to the drain-side select gate electrode 66. It can be formed within the injection portion of each of the strips. Each continuous portion of the strip of semiconductor material extending between source region 28 and drain region 68 constitutes a semiconductor channel 160 . The atomic concentration of dopants of the second conductivity type in source regions 28 and drain regions 68 may range from 5.0×10 18 /cm 3 to 2.0×10 21 /cm 3 , although smaller atomic concentrations and larger Atomic concentrations may also be used.

실리콘 산화물과 같은 유전체 재료가 라인 트렌치들 내에 퇴적된다. 반도체 채널들(160)의 상부 표면들을 포함하는 수평 평면 위에 놓이는 유전체 재료의 잉여 부분들은 평탄화 프로세스에 의해 제거된다. 평탄화 프로세스는 리세스 에칭 및/또는 화학적 기계적 평탄화를 이용할 수 있다. 퇴적된 유전체 재료의 나머지 부분들은 채널-격리 유전체 층(162)을 구성하며, 이는 채널 방향(cd)을 따라 측방향으로 연장되는 다수의 스트립들 및 반도체 채널들(160), 소스 영역들(28), 및 드레인 영역들(68)을 포함하는 전체 영역을 측방향으로 둘러싸는 매트릭스 부분을 포함할 수 있다.A dielectric material such as silicon oxide is deposited in the line trenches. Excess portions of the dielectric material overlying the horizontal plane including the upper surfaces of the semiconductor channels 160 are removed by a planarization process. The planarization process may use recess etching and/or chemical mechanical planarization. The remainder of the deposited dielectric material constitutes the channel-isolation dielectric layer 162, which includes semiconductor channels 160, source regions 28 and a plurality of strips extending laterally along the channel direction cd. ), and a matrix portion that laterally surrounds the entire region including the drain regions 68 .

대안적으로, 채널-격리 유전체 층(162)은 먼저 유전체 재료를 퇴적시키고 유전체 재료를 채널-격리 유전체 층(162)의 레일 형상 부분들로 패턴화함으로써 형성될 수 있다. 이어서, 반도체 채널들(160)은 채널-격리 유전체 층(162)의 레일 형상 부분들 사이에 그리고 그 위에 반도체 재료를 퇴적시키고, 채널-격리 유전체 층(162)의 레일 형상 부분들 사이에 레일 형상의 반도체 채널들(160)을 남기도록 반도체 재료를 평탄화함으로써 형성된다.Alternatively, channel-isolation dielectric layer 162 may be formed by first depositing a dielectric material and patterning the dielectric material into rail-shaped portions of channel-isolation dielectric layer 162 . Semiconductor channels 160 are then formed by depositing semiconductor material between and over the rail-shaped portions of channel-isolation dielectric layer 162, and rail-shaped portions between rail-shaped portions of channel-isolation dielectric layer 162. It is formed by planarizing the semiconductor material to leave semiconductor channels 160 of .

도 3a 및 도 3b를 참조하면, 층간(예컨대, 장벽) 유전체 층(156), 강유전성 재료 층(154L), 및 적어도 하나의 전기 전도성 재료 층이 반도체 채널들(160) 및 채널-격리 유전체 층(162) 위에 순차적으로 퇴적될 수 있다. 층간 유전체 층(156)은 실리콘 산화물, 실리콘 질화물 또는 유전체 금속 산화물과 같은 유전체 재료를 포함한다. 층간 유전체 층(156)의 두께는 0.5 nm 내지 6 nm, 예컨대 1 nm 내지 3 nm의 범위일 수 있지만, 더 작은 두께 및 더 큰 두께도 이용될 수 있다.Referring to FIGS. 3A and 3B , interlevel (eg, barrier) dielectric layer 156 , ferroelectric material layer 154L, and at least one electrically conductive material layer form semiconductor channels 160 and a channel-isolation dielectric layer ( 162) can be sequentially deposited on top. Interlevel dielectric layer 156 includes a dielectric material such as silicon oxide, silicon nitride or dielectric metal oxide. The thickness of the interlevel dielectric layer 156 may range from 0.5 nm to 6 nm, such as from 1 nm to 3 nm, although smaller and larger thicknesses may also be used.

강유전성 재료 층(154L)은 강유전성 재료를 포함한다. 본 명세서에 사용되는 바와 같이, "강유전성 재료"는 외부 전기장의 부재 시에 자발적인 전기적 분극을 나타내는 결정질 재료를 지칭한다. 강유전성 재료 층(154L) 내의 강유전성 재료는 절연 강유전성 재료일 수 있다. 일 실시예에서, 강유전성 재료 층(154L)은 Al, Zr, 및/또는 Si로부터 선택되는 적어도 하나의 도펀트를 포함하는 사방정계 상 하프늄 산화물 층을 포함한다. 티타네이트 강유전성 재료들(예컨대, 바륨 티타네이트, 납 티타네이트, 납 지르코네이트 티타네이트, 납 란타늄 지르코네이트 티타네이트("PLZT") 등)과 같은 다른 적합한 강유전성 재료가 또한 사용될 수 있다. 강유전성 재료 층(154L)은 3 nm 내지 60 nm, 예컨대 6 nm 내지 30 nm의 범위의 두께를 가질 수 있지만, 더 작은 두께 및 더 큰 두께도 이용될 수 있다. 일 실시예에서, 강유전성 재료 층(154L)은 화학 기상 증착(CVD) 또는 원자층 증착(ALD)과 같은 컨포멀 퇴적 프로세스에 의해 퇴적될 수 있다.The ferroelectric material layer 154L includes a ferroelectric material. As used herein, “ferroelectric material” refers to a crystalline material that exhibits spontaneous electrical polarization in the absence of an external electric field. The ferroelectric material in ferroelectric material layer 154L may be an insulating ferroelectric material. In one embodiment, the ferroelectric material layer 154L includes an orthorhombic phase hafnium oxide layer including at least one dopant selected from Al, Zr, and/or Si. Other suitable ferroelectric materials such as titanate ferroelectric materials (eg, barium titanate, lead titanate, lead zirconate titanate, lead lanthanum zirconate titanate (“PLZT”), etc.) may also be used. The ferroelectric material layer 154L may have a thickness ranging from 3 nm to 60 nm, such as from 6 nm to 30 nm, although smaller and larger thicknesses may also be used. In one embodiment, the ferroelectric material layer 154L may be deposited by a conformal deposition process such as chemical vapor deposition (CVD) or atomic layer deposition (ALD).

적어도 하나의 전도성 재료는 적어도 하나의 금속성 재료 및/또는 적어도 하나의 고농도로 도핑된 반도체 재료를 포함할 수 있다. 일 실시예에서, 적어도 하나의 전도성 재료는 전도성 금속 질화물 재료(예컨대, TiN, TaN, 및/또는 WN)를 포함하는 전도성 금속 질화물 장벽 층 및 금속(예컨대, W, Mo, Cu, Co, Ru 등)을 포함하는 금속 층의 층 스택을 포함할 수 있다. 적어도 하나의 전도성 재료는 방향(gd)으로 연장되고 반도체 채널들(160) 위에 놓이는 스트립 형상들로 패턴화될 수 있다. 적어도 하나의 전도성 재료의 각각의 패턴화된 스트립은 아래에 놓이는 반도체 채널들(160) 각각에 대한 제어 게이트 전극인 워드 라인(46)을 구성한다. 일 실시예에서, 각각의 워드 라인(46)은 패스 게이트 전극들(36) 중 각자의 하나 위에 놓인다. 다른 실시예에서, 워드 라인들 및 패스 게이트 전극들은 서로로부터 측방향으로 오프셋될 수 있다.The at least one conductive material may include at least one metallic material and/or at least one heavily doped semiconductor material. In one embodiment, the at least one conductive material is a conductive metal nitride barrier layer comprising a conductive metal nitride material (eg, TiN, TaN, and/or WN) and a metal (eg, W, Mo, Cu, Co, Ru, etc.) ) may include a layer stack of metal layers comprising. At least one conductive material may be patterned into strip shapes extending in direction gd and overlying semiconductor channels 160 . Each patterned strip of at least one conductive material constitutes a word line 46 that is a control gate electrode for each of the underlying semiconductor channels 160 . In one embodiment, each word line 46 overlies a respective one of the pass gate electrodes 36 . In another embodiment, the word lines and pass gate electrodes may be laterally offset from each other.

유전체 재료가 워드 라인들(46) 주위에 퇴적될 수 있고, 평탄화되어 워드-라인-격리 유전체 층(142)을 제공할 수 있다. 대안적으로, 워드-라인-격리 유전체 층(142)은 워드 라인들(46)을 형성하기 전에 형성 및 패턴화될 수 있고, 워드 라인들(46)은 워드-라인-격리 유전체 층(142) 내의 개구들에서 다마신(damascene) 프로세스에 의해 형성된다. 층간 유전체 부분 및 강유전성 재료 부분의 스택이 각각의 워드 라인(46)과 각각의 반도체 채널들(160) 사이에 형성될 수 있다. 각각의 층간 유전체 부분은 층간 유전체 층(156)의 일부분을 포함한다. 각각의 강유전성 재료 부분은 강유전성 재료 층(154L)의 일부분이다. 따라서, 층간 유전체 부분들은 층간 유전체 층(156)의 부분들로서 형성되고, 워드 라인들(46) 중 각자의 하나 아래에 놓인다. 강유전성 재료 부분들은 강유전성 재료 층(154L)의 부분들로서 형성되고, 워드 라인들(46) 중 각자의 하나 아래에 놓인다.Dielectric material may be deposited around word lines 46 and planarized to provide word-line-isolation dielectric layer 142 . Alternatively, word-line-isolation dielectric layer 142 can be formed and patterned prior to forming word lines 46, which word-line-isolation dielectric layer 142 formed by a damascene process at openings in A stack of an interlayer dielectric portion and a ferroelectric material portion may be formed between each word line 46 and each semiconductor channel 160 . Each interlevel dielectric portion includes a portion of interlevel dielectric layer 156 . Each ferroelectric material portion is a portion of ferroelectric material layer 154L. Accordingly, interlevel dielectric portions are formed as portions of interlevel dielectric layer 156 and lie underneath a respective one of word lines 46 . Portions of ferroelectric material are formed as portions of ferroelectric material layer 154L and underlie a respective one of word lines 46 .

도 4a 및 도 4b를 참조하면, 본 개시내용의 제1 실시예에 따른 제1 예시적인 구조체의 대안적인 구성이 도시되어 있다. 이러한 구성에서, 적어도 하나의 전도성 재료를 패턴화하는데 이용되는 도 3a 및 도 3b의 프로세싱 단계에서, 적어도 하나의 전도성 재료 및 강유전성 재료 층(154L)을 통해 에칭하기 위해 이방성 에칭 프로세스가 이용될 수 있다.Referring to FIGS. 4A and 4B , an alternative configuration of a first example structure according to a first embodiment of the present disclosure is shown. In such a configuration, in the processing steps of FIGS. 3A and 3B used to pattern the at least one conductive material, an anisotropic etching process may be used to etch through the at least one conductive material and the ferroelectric material layer 154L. .

다시 말하면, 강유전성 재료 층(154L)은 적어도 하나의 전기 전도성 재료를 워드 라인들(46)로 패턴화하는 데 이용되는 동일한 에칭 마스크를 이용하여 패턴화된다. 강유전성 재료 층(154L)은 워드 라인들(46) 중 위에 놓이는 것과 동일한 수평 단면 형상을 갖는 강유전성 재료 부분들(154)로 패턴화된다.In other words, ferroelectric material layer 154L is patterned using the same etch mask used to pattern at least one electrically conductive material into word lines 46 . The ferroelectric material layer 154L is patterned into ferroelectric material portions 154 having the same horizontal cross-sectional shape as overlying one of the word lines 46 .

일반적으로, 강유전성 재료 층(154L) 및 적어도 하나의 전도성 재료 층의 층 스택이 층간 유전체 층(156) 위에 형성될 수 있다. 층 스택은 이방성 에칭 프로세스를 이용하여 개별 재료 스택들로 패턴화될 수 있다. 개별 재료 스택들 각각은 강유전성 재료 부분들(154) 중 하나를 구성하는 강유전성 재료 층(154L)의 패턴화된 부분, 및 워드 라인들(46) 중 하나를 구성하는 적어도 하나의 전도성 재료 층의 패턴화된 부분을 포함할 수 있다.In general, a layer stack of ferroelectric material layer 154L and at least one conductive material layer may be formed over interlevel dielectric layer 156 . The layer stack can be patterned into individual material stacks using an anisotropic etch process. Each of the individual material stacks includes a patterned portion of a ferroelectric material layer 154L, which constitutes one of the ferroelectric material portions 154, and a pattern of at least one conductive material layer, which constitutes one of the word lines 46. may contain fragments.

도 1a, 도 1b, 도 2a, 도 2b, 도 3a, 도 3b, 도 4a, 및 도 4b를 참조하면, 반도체 채널(160)과 워드 라인들(46)의 인접한 부분들, 패스 게이트 전극들(36), 선택 게이트 전극들(26, 66), 게이트 유전체 층(52), 및 층간 유전체 층(156)의 각 조합은 강유전성 메모리 스트링을 구성하며, 데이터는 반도체 채널(160)과 워드 라인들(46) 사이에 위치된 강유전성 재료 부분들 내의 강유전성 분극의 방향의 형태로 저장될 수 있다. 각각의 강유전성 재료 부분의 강유전성 분극은 반도체 채널(160)을 향해 지향되거나 그로부터 멀리 지향될 수 있다.Referring to FIGS. 1A, 1B, 2A, 2B, 3A, 3B, 4A, and 4B , adjacent portions of the semiconductor channel 160 and word lines 46, pass gate electrodes ( 36), the select gate electrodes 26, 66, the gate dielectric layer 52, and the interlayer dielectric layer 156 constitute a ferroelectric memory string, and data is connected to the semiconductor channel 160 and the word lines ( 46) in the form of the direction of the ferroelectric polarization in the ferroelectric material portions located between them. The ferroelectric polarization of each ferroelectric material portion may be directed toward or away from the semiconductor channel 160 .

적어도 하나의 강유전성 메모리 스트링을 포함하는 메모리 디바이스가 제공된다. 적어도 하나의 강유전성 메모리 스트링 각각은, 소스 영역(28)과 드레인 영역(68) 사이에서 연장되는 반도체 채널(160); 소스 영역(28)과 드레인 영역(68) 사이에서, 예를 들어, 채널 방향(cd)을 따라 연장되는 반도체 채널(160)의 제1 길이방향 표면(예컨대, 저부 표면) 상에 위치되는 게이트 유전체 층(52); 게이트 유전체 층(52) 상에 위치되고 소스 영역(28)과 드레인 영역(68) 사이에서 연장되는 패스 게이트 전극들(36); 및 소스 영역(28)과 드레인 영역(68) 사이에서 반도체 채널(160)의 제2 길이방향 표면(예컨대 상부 표면) 위에 위치되는 워드 라인들(46)을 포함하며, 제2 길이방향 표면은 제1 길이방향 표면의 대향 측면 상에 위치되고, 워드 라인들(46) 각각은 각자의 강유전성 재료 부분(154L 또는 154) 및 각자의 층간 유전체 부분, 즉 층간 유전체 층(156)의 부분들에 의해 제2 길이방향 표면으로부터 이격된다.A memory device comprising at least one ferroelectric memory string is provided. Each of the at least one ferroelectric memory string includes a semiconductor channel 160 extending between a source region 28 and a drain region 68; A gate dielectric located on a first longitudinal surface (eg, bottom surface) of the semiconductor channel 160 between the source region 28 and the drain region 68, for example, extending along the channel direction cd. layer 52; pass gate electrodes 36 located on the gate dielectric layer 52 and extending between the source region 28 and the drain region 68; and word lines (46) located over a second longitudinal surface (eg, upper surface) of the semiconductor channel (160) between the source region (28) and the drain region (68), the second longitudinal surface being the second longitudinal surface. Located on opposite sides of one longitudinal surface, each of the word lines 46 is bounded by a respective ferroelectric material portion 154L or 154 and a respective interlayer dielectric portion, i.e., portions of the interlayer dielectric layer 156. 2 spaced apart from the longitudinal surface.

일 실시예에서, 적어도 하나의 강유전성 메모리 스트링 각각 내의 층간 유전체 부분들은 워드 라인들(46) 각각을 가로질러 연장되는 층간 유전체 층(156)의 부분들을 포함한다.In one embodiment, the interlayer dielectric portions within each of the at least one ferroelectric memory string include portions of an interlayer dielectric layer 156 extending across each of the word lines 46 .

일 실시예에서, 적어도 하나의 강유전성 메모리 스트링 각각 내의 강유전성 재료 부분들은 소스 영역(28) 위에 놓이는 영역으로부터 드레인 영역(68) 위에 놓이는 영역으로 연장되는 강유전성 재료 층(154L)의 부분들을 포함한다.In one embodiment, the ferroelectric material portions within each of the at least one ferroelectric memory string include portions of the ferroelectric material layer 154L extending from a region overlying the source region 28 to a region overlying the drain region 68.

일 실시예에서, 적어도 하나의 강유전성 메모리 스트링 각각 내의 강유전성 재료 부분들은 유전체 재료 부분들(예컨대, 워드-라인-격리 유전체 층(142)의 부분들)을 개재시킴으로써 서로 이격되어 있는 개별 강유전성 재료 부분들(154)을 포함한다.In one embodiment, the ferroelectric material portions within each of the at least one ferroelectric memory string are individual ferroelectric material portions that are spaced apart from each other by interposing dielectric material portions (e.g., portions of word-line-isolation dielectric layer 142). (154).

일 실시예에서, 적어도 하나의 강유전성 메모리 스트링 각각은 수평 상부 표면을 갖는 절연 재료 층(10) 위에 위치된다. 제1 길이방향 표면 및 제2 길이방향 표면은 절연 재료 층(10)의 수평 상부 표면에 평행하다.In one embodiment, each of the at least one ferroelectric memory string is positioned over an insulating material layer 10 having a horizontal upper surface. The first longitudinal surface and the second longitudinal surface are parallel to the horizontal upper surface of the insulating material layer 10 .

도 5a 및 도 5b를 참조하면, 본 개시내용의 제2 실시예에 따른 제2 강유전성 메모리 디바이스를 형성하기 위한 예시적인 제2 구조체가 도시되어 있다. 절연 층들(32L) 및 희생 층들(42L)의 수직으로 교번하는 시퀀스(32L, 42L)가 기판 반도체 층(9)을 포함하는 기판 위에 형성될 수 있다. 기판 반도체 층(9)은 제1 전도성 유형(예컨대, p-형)의 도핑을 갖는 단결정 실리콘을 포함할 수 있다. 도펀트들은 단결정 실리콘 웨이퍼 내의 웰(well)(즉, 기판 반도체 층(9) 내의 웰), 또는 웨이퍼 백그라운드 도핑을 포함할 수 있다. 기판 반도체 층(9) 내의 제1 전도성 유형의 도펀트들의 원자 농도는 1.0 × 1015/㎤ 내지 3.0 × 1018/㎤의 범위일 수 있지만, 더 작은 원자 농도 및 더 큰 원자 농도도 이용될 수 있다. 소스 영역들(28)(예컨대, 도핑된 소스 라인의 부분들 또는 소스 라인과 전기적으로 접촉하여 위치된 도핑된 영역)은 기판 반도체 층(9)의 상부 부분에 형성될 수 있다. 소스 영역들(28)은 제1 전도성 유형과 반대인 제2 전도성 유형의(예컨대, n-유형) 도핑을 갖는다. 기판 반도체 층(9) 내의 소스 영역에서 제2 전도성 유형의 도펀트들의 원자 농도는 5.0 × 1019/㎤ 내지 2.0 × 1021/㎤의 범위일 수 있지만, 더 작은 원자 농도 및 더 큰 원자 농도도 이용될 수 있다.Referring to FIGS. 5A and 5B , an exemplary second structure for forming a second ferroelectric memory device according to a second embodiment of the present disclosure is illustrated. A vertically alternating sequence 32L, 42L of insulating layers 32L and sacrificial layers 42L may be formed over a substrate including a substrate semiconductor layer 9 . The substrate semiconductor layer 9 may include single crystal silicon with a doping of a first conductivity type (eg p-type). Dopants may include a well in a single crystal silicon wafer (ie a well in the substrate semiconductor layer 9), or a wafer background doping. The atomic concentration of dopants of the first conductivity type in the substrate semiconductor layer 9 may range from 1.0×10 15 /cm 3 to 3.0×10 18 /cm 3 , although smaller and larger atomic concentrations may also be used. . Source regions 28 (eg, portions of a doped source line or a doped region located in electrical contact with a source line) may be formed in an upper portion of the substrate semiconductor layer 9 . Source regions 28 have a doping of a second conductivity type opposite to the first conductivity type (eg, n-type). The atomic concentration of dopants of the second conductivity type in the source region in the substrate semiconductor layer 9 may range from 5.0×10 19 /cm 3 to 2.0×10 21 /cm 3 , although smaller and larger atomic concentrations are also used. It can be.

절연 층들(32L)에 이용될 수 있는 절연 재료들은 실리콘 산화물(도핑된 또는 도핑되지 않은 실리케이트 유리 포함), 실리콘 질화물, 실리콘 산질화물, 유기실리케이트 유리(OSG), 스핀-온 유전체 재료들, 고 유전상수(고-k) 유전체 산화물(예컨대, 알루미늄 산화물, 하프늄 산화물 등)로 통상적으로 알려진 유전체 금속 산화물 및 그 실리케이트, 유전체 금속 산질화물 및 그 실리케이트, 및 유기 절연 재료들을 포함하지만, 이들로 제한되지 않는다. 일 실시예에서, 절연 층들(32L)은 실리콘 산화물을 포함할 수 있다. 희생 층들(42L)은 절연 층들(32L)의 제1 재료에 대해 선택적으로 제거되는 임의의 적합한 희생 재료로 형성될 수 있다. 희생 층들(42L)은 실리콘 질화물과 같은 절연 재료, 또는 절연 층들(32L)이 실리콘 산화물을 포함하는 경우, 비정질 실리콘 또는 폴리실리콘과 같은 반도체 재료를 포함할 수 있다.Insulating materials that may be used for insulating layers 32L include silicon oxide (including doped or undoped silicate glass), silicon nitride, silicon oxynitride, organosilicate glass (OSG), spin-on dielectric materials, high dielectric dielectric metal oxides and silicates thereof, commonly known as constant (high-k) dielectric oxides (e.g., aluminum oxide, hafnium oxide, etc.), dielectric metal oxynitrides and silicates thereof, and organic insulating materials. . In one embodiment, the insulating layers 32L may include silicon oxide. The sacrificial layers 42L may be formed of any suitable sacrificial material that is removed selectively with respect to the first material of the insulating layers 32L. The sacrificial layers 42L may include an insulating material such as silicon nitride or, when the insulating layers 32L include silicon oxide, a semiconductor material such as amorphous silicon or polysilicon.

절연 층들(32L) 및 희생 층들(42L)의 각각은 예를 들어, 화학 기상 증착(CVD)에 의해 퇴적될 수 있다. 절연 층들(32L) 및 희생 층들(42L)의 각각은 20 nm 내지 50 nm의 범위의 두께를 가질 수 있지만, 더 작은 두께 및 더 큰 두께도 이용될 수 있다. 일 실시예에서, 절연 층들(32L) 각각은 제1 두께를 가질 수 있고, 희생 층들(42L) 각각은 제2 두께를 가질 수 있다. 일 실시예에서, 절연 층들(32L) 중 최상부의 것은 아래에 놓이는 절연 층들(32L)보다 더 큰 두께를 가질 수 있다. 절연 층(32L)과 희생 층(42L)의 조합은 수직 방향을 따라 다수 회 반복되는 반복 단위를 구성한다. 반복 단위의 총 반복수는 8 내지 1,024, 예컨대, 32 내지 256의 범위일 수 있지만, 더 적은 반복수 및 더 많은 반복수도 이용될 수 있다.Each of insulating layers 32L and sacrificial layers 42L may be deposited by, for example, chemical vapor deposition (CVD). Each of insulating layers 32L and sacrificial layers 42L may have a thickness ranging from 20 nm to 50 nm, although smaller and larger thicknesses may also be used. In one embodiment, each of the insulating layers 32L may have a first thickness, and each of the sacrificial layers 42L may have a second thickness. In one embodiment, the uppermost one of the insulating layers 32L may have a greater thickness than the underlying insulating layers 32L. The combination of the insulating layer 32L and the sacrificial layer 42L constitutes a repeating unit that is repeated multiple times along the vertical direction. The total number of repetitions of a repeating unit may range from 8 to 1,024, such as from 32 to 256, although fewer and more repetitions may be used.

도 6a 및 도 6b를 참조하면, 포토레지스트 층이 최상부 절연 층(32L) 위에 적용될 수 있고, 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 라인 및 공간 패턴을 형성하도록 리소그래피적으로 패턴화될 수 있다. 라인 및 공간 패턴은 수직으로 교번하는 시퀀스(32L, 42L)를 통해 전사되어 수직으로 교번하는 시퀀스(32L, 42L)를 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 라인 트렌치들(49)에 의해 서로 측방향으로 이격되고, 제1 수평 방향(hd1)에 수직인 제2 수평 방향(hd2)을 따라 측방향으로 이격되는 다수의 분리된 부분들로 분할할 수 있다. 이방성 에칭 프로세스는 기판 반도체 층(9)의 상부 표면 상에서 정지할 수 있다. 포토레지스트 층은 예를 들어, 애싱에 의해 후속적으로 제거될 수 있다.6A and 6B, a photoresist layer may be applied over top insulating layer 32L and lithographically patterned to form a line and space pattern extending laterally along a first horizontal direction hd1. It can be. The line and space patterns are transferred through vertically alternating sequences 32L and 42L to form line trenches 49 extending laterally along the first horizontal direction hd1. It can be divided into a plurality of separate parts that are laterally spaced from each other and laterally spaced apart along the second horizontal direction hd2 perpendicular to the first horizontal direction hd1. The anisotropic etching process can stop on the upper surface of the substrate semiconductor layer 9 . The photoresist layer may be subsequently removed, for example by ashing.

절연 층들(32L)의 패턴화된 부분들은 절연 스트립들(32)을 포함한다. 희생 층들(42L)의 패턴화된 부분들은 희생 스트립들(42)을 포함한다. 수직으로 교번하는 시퀀스(32L, 42L)의 나머지 부분들은 절연 스트립들(32) 및 희생 스트립들(42)의 워드 라인 유형 스택들(31) 및 패스 게이트 유형 스택들(33)을 포함한다. 워드 라인 유형 스택들(31) 및 패스 게이트 유형 스택들(33)은 제2 수평 방향(hd2)을 따라 측방향으로 교번할 수 있다. 워드 라인 유형 스택(31) 및 패스 게이트 유형 스택(33)의 각각의 이웃하는 쌍은 각자의 라인 트렌치(49)에 의해 측방향으로 이격될 수 있다.The patterned portions of insulating layers 32L include insulating strips 32 . The patterned portions of sacrificial layers 42L include sacrificial strips 42 . The remaining portions of the vertically alternating sequence 32L, 42L include word line type stacks 31 and pass gate type stacks 33 of insulating strips 32 and sacrificial strips 42 . Word line type stacks 31 and pass gate type stacks 33 may alternate laterally along the second horizontal direction hd2. Each neighboring pair of word line type stack 31 and pass gate type stack 33 may be laterally spaced by a respective line trench 49 .

제2 수평 방향(hd2)을 따른 각각의 워드 라인 유형 스택(31)의 폭은 20 nm 내지 200 nm의 범위일 수 있지만, 더 작은 폭 및 더 큰 폭도 이용될 수 있다. 절연 스트립들(32)의 각각의 패스 게이트 유형 스택(33) 및 제2 수평 방향(hd2)을 따른 패스 게이트 전극들(36)의 폭은 20 nm 내지 200 nm의 범위일 수 있지만, 더 작은 폭 및 더 큰 폭도 이용될 수 있다. 각각의 라인 트렌치(49)의 폭은 20nm 내지 200nm의 범위일 수 있지만, 더 작은 폭 및 더 큰 폭도 이용될 수 있다.The width of each word line type stack 31 along the second horizontal direction hd2 may range from 20 nm to 200 nm, although smaller and larger widths may also be used. The width of each pass gate type stack 33 of insulating strips 32 and the pass gate electrodes 36 along the second horizontal direction hd2 may range from 20 nm to 200 nm, but with a smaller width. and larger widths may also be used. The width of each line trench 49 may range from 20 nm to 200 nm, although smaller and larger widths may also be used.

도 7a 및 도 7b를 참조하면, 연속 강유전성 재료 층(54L)이 화학 기상 증착 또는 원자층 증착과 같은 컨포멀 퇴적에 의해 교번하는 스택들(31, 33) 및 기판 반도체 층(9)의 물리적으로 노출된 표면들 상에 형성될 수 있다. 연속 강유전성 재료 층(54L)은 절연 강유전성 재료를 포함할 수 있다. 일 실시예에서, 연속 강유전성 재료 층(54L)은 Al, Zr, 및/또는 Si로부터 선택되는 적어도 하나의 도펀트를 포함하는 사방정계 상 하프늄 산화물 층을 포함한다. 티타네이트 강유전성 재료들(예컨대, 바륨 티타네이트, 납 티타네이트, 납 지르코네이트 티타네이트, 납 란타늄 지르코네이트 티타네이트("PLZT") 등)과 같은 다른 적합한 강유전성 재료가 또한 사용될 수 있다. 연속 강유전성 재료 층(54L)은 3 nm 내지 60 nm, 예컨대 6 nm 내지 30 nm의 범위의 두께를 가질 수 있지만, 더 작은 두께 및 더 큰 두께도 이용될 수 있다.7A and 7B, a continuous ferroelectric material layer 54L is physically formed on the substrate semiconductor layer 9 and the alternating stacks 31, 33 by conformal deposition such as chemical vapor deposition or atomic layer deposition. It can be formed on exposed surfaces. Continuous ferroelectric material layer 54L may include an insulating ferroelectric material. In one embodiment, the continuous ferroelectric material layer 54L includes an orthorhombic phase hafnium oxide layer including at least one dopant selected from Al, Zr, and/or Si. Other suitable ferroelectric materials such as titanate ferroelectric materials (eg, barium titanate, lead titanate, lead zirconate titanate, lead lanthanum zirconate titanate (“PLZT”), etc.) may also be used. Continuous ferroelectric material layer 54L may have a thickness ranging from 3 nm to 60 nm, such as from 6 nm to 30 nm, although smaller and larger thicknesses may also be used.

도 8a 및 도 8b를 참조하면, 라인 트렌치들(49)의 체적들은 연속 강유전성 재료 층(54L)을 덮는 마스킹 재료 층(53)으로 부분적으로 충전된다. 마스킹 재료 층(53)은 에칭 마스크로서 기능할 수 있는 재료를 포함할 수 있다. 예를 들어, 마스킹 재료 층(53)은 보로실리케이트 유리, 탄소 또는 비정질 실리콘과 같은 경질 마스크 재료를 포함할 수 있다.8A and 8B, the volumes of the line trenches 49 are partially filled with a masking material layer 53 covering the continuous ferroelectric material layer 54L. Masking material layer 53 may include a material that can function as an etch mask. For example, masking material layer 53 may include a hard mask material such as borosilicate glass, carbon, or amorphous silicon.

마스킹 재료 층(53)은 마스킹 재료 층(53)의 패턴화된 부분들이 각각의 워드 라인 유형 스택(31)을 덮지만 패스 게이트 유형 스택들(33)은 덮지 않도록 패턴화될 수 있다. 따라서, 마스킹 재료 층(53)은 각각의 라인 트렌치(49)의 제1 길이방향 측벽(즉, 각각의 워드 라인 유형 스택(31)의 측벽들)을 덮지만, 각각의 라인 트렌치(49)의 제2 길이방향 측벽(즉, 각각의 패스 게이트 유형 스택(33)의 측벽들)을 덮지 않는다. 다시 말하면, 패턴화된 마스킹 재료 층(53)은 각각의 라인 트렌치(49)의 길이방향 측벽들의 쌍 중 하나를 덮고, 각각의 라인 트렌치(49)의 길이방향 측벽들의 쌍 중 다른 하나를 덮지 않는다. 본 명세서에 사용되는 바와 같이, "길이방향 측벽"은 라인 트렌치(49)의 길이 방향을 따라 측방향으로 연장되는 측벽을 지칭한다.Masking material layer 53 may be patterned such that patterned portions of masking material layer 53 cover each word line type stack 31 but do not cover pass gate type stacks 33 . Thus, the masking material layer 53 covers the first longitudinal sidewall of each line trench 49 (ie the sidewalls of each word line type stack 31), but the It does not cover the second longitudinal sidewall (ie the sidewalls of each pass gate type stack 33). In other words, the patterned masking material layer 53 covers one of the pair of longitudinal sidewalls of each line trench 49 and does not cover the other of the pair of longitudinal sidewalls of each line trench 49 . . As used herein, “longitudinal sidewall” refers to a sidewall that extends laterally along the length of the line trench 49 .

예를 들어, 포토레지스트 층(도시되지 않음)이 마스킹 재료 층 위에 적용될 수 있고, 리소그래피적으로 패턴화될 수 있다. 포토레지스트 층의 패턴은 이방성 에칭에 의해 마스킹 재료 층(53)을 통해 후속적으로 전사될 수 있다. 포토레지스트 층은 예를 들어, 애싱에 의해 후속적으로 제거될 수 있다. 연속 강유전성 재료 층(54L)의 마스킹되지 않은 부분들은 에칭 프로세스에 의해 제거될 수 있다. 연속 강유전성 재료 층(54L)은 제2 수평 방향(hd2)을 따라 측방향으로 이격된 다수의 강유전성 재료 층들(54)로 분할된다. 각각의 강유전성 재료 층(54)은 각각의 워드 라인 유형 스택(31)을 덮지만, 각각의 패스 게이트 유형 스택(33)을 덮지 않는다. 각각의 라인 트렌치(49)의 제1 길이방향 측벽은 강유전성 재료 층들(54L) 중 각자의 하나와 접촉하고, 각각의 라인 트렌치(49)의 제2 길이방향 측벽은 강유전성 재료 층들(54) 중 임의의 것과 접촉하지 않는다. 제1 수평 방향(hd1)을 따라 측방향으로 연장되는 라인 공동(49')이 각각의 라인 트렌치(49) 내에 존재한다. 라인 트렌치들(49)의 각자의 제2 길이방향 측벽은 라인 공동들(49') 중 각자의 하나에 물리적으로 노출된다.For example, a photoresist layer (not shown) can be applied over the masking material layer and can be lithographically patterned. The pattern of the photoresist layer may subsequently be transferred through the masking material layer 53 by anisotropic etching. The photoresist layer may be subsequently removed, for example by ashing. Unmasked portions of the continuous ferroelectric material layer 54L may be removed by an etching process. The continuous ferroelectric material layer 54L is divided into a plurality of ferroelectric material layers 54 spaced laterally along the second horizontal direction hd2. Each layer of ferroelectric material 54 covers each word line type stack 31 but does not cover each pass gate type stack 33 . The first longitudinal sidewall of each line trench 49 is in contact with a respective one of the ferroelectric material layers 54L, and the second longitudinal sidewall of each line trench 49 is any one of the ferroelectric material layers 54L. do not come into contact with A line cavity 49 ′ extending laterally along the first horizontal direction hd1 is present in each line trench 49 . A respective second longitudinal sidewall of the line trenches 49 is physically exposed to a respective one of the line cavities 49'.

도 9a 및 도 9b를 참조하면, 최상부 절연 스트립들(32)의 상부 표면들을 포함하는 수평 평면 위에 놓이는 마스킹 재료 층(53) 및 강유전성 재료 층들(54)의 부분들은 예를 들어, 화학적 기계적 평탄화와 같은 평탄화 프로세스에 의해 제거될 수 있다. 강유전성 재료 층(54)은 각각의 워드 라인 유형 스택(31)의 측벽들 상에 위치된 강유전성 재료 층들(54)의 쌍으로 분할될 수 있다. 후속적으로, 마스킹 재료 층(53)의 나머지 부분들은 강유전성 재료 층들(54), 교번하는 스택들(31, 33), 및 기판 반도체 층(9)에 대해 선택적으로 제거될 수 있다. 본 명세서에 사용되는 바와 같이, 제거 프로세스가 제2 재료의 제거율의 적어도 2배인 비율로 제1 재료를 제거하는 경우, 제1 재료의 제거는 제2 재료에 대해 "선택적"이다. 제2 재료의 제거율에 대한 제1 재료의 제거율의 비는 본 명세서에서 제2 재료에 대한 제1 재료의 제거 프로세스의 "선택도"로 지칭된다.Referring to FIGS. 9A and 9B , portions of the masking material layer 53 and the ferroelectric material layers 54 lying on a horizontal plane including the upper surfaces of the uppermost insulating strips 32 are subjected to, for example, chemical mechanical planarization and can be removed by the same planarization process. The ferroelectric material layer 54 may be divided into pairs of ferroelectric material layers 54 located on the sidewalls of each word line type stack 31 . Subsequently, remaining portions of the masking material layer 53 may be selectively removed relative to the ferroelectric material layers 54 , the alternating stacks 31 , 33 , and the substrate semiconductor layer 9 . As used herein, removal of a first material is “selective” relative to a second material if the removal process removes the first material at a rate that is at least twice the removal rate of the second material. The ratio of the removal rate of the first material to the removal rate of the second material is referred to herein as the "selectivity" of the removal process of the first material relative to the second material.

연속 유전체 재료 층(56L)이 강유전성 재료 층들(54), 기판 반도체 층(9), 및 교번하는 스택들(31, 33)의 물리적으로 노출된 표면들 상에 퇴적될 수 있다. 연속 유전체 재료 층(56L)은 실리콘 산화물, 실리콘 질화물, 또는 유전체 금속 산화물과 같은 유전체 재료를 포함한다. 연속 유전체 재료 층(56L)의 두께는 0.5 nm 내지 6 nm, 예컨대 1 nm 내지 3 nm의 범위일 수 있지만, 더 작은 두께 및 더 큰 두께도 이용될 수 있다. 라인 공동(49')이 각각의 라인 트렌치(49) 내에 존재한다.A continuous dielectric material layer 56L may be deposited on the physically exposed surfaces of the ferroelectric material layers 54 , the substrate semiconductor layer 9 , and the alternating stacks 31 , 33 . Continuous dielectric material layer 56L includes a dielectric material such as silicon oxide, silicon nitride, or dielectric metal oxide. The thickness of the continuous dielectric material layer 56L may range from 0.5 nm to 6 nm, such as from 1 nm to 3 nm, although smaller and larger thicknesses may also be used. A line cavity 49' exists within each line trench 49.

도 10a 및 도 10b를 참조하면, 연속 유전체 재료 층(56L)의 수평 부분들을 제거하기 위해 이방성 에칭 프로세스가 수행될 수 있다. 강유전성 재료 층(54)과 접촉하는 연속 유전체 재료 층(56L)의 각각의 나머지 수직 부분은 층간 유전체 층(56)을 구성한다. 교번하는 스택(31, 33)의 측벽과 접촉하는 연속 유전체 재료 층(56L)의 각각의 나머지 수직 부분은 게이트 유전체 층(52)을 구성한다.Referring to FIGS. 10A and 10B , an anisotropic etching process may be performed to remove horizontal portions of the continuous dielectric material layer 56L. Each remaining vertical portion of continuous dielectric material layer 56L in contact with ferroelectric material layer 54 constitutes interlevel dielectric layer 56 . Each remaining vertical portion of continuous dielectric material layer 56L in contact with the sidewalls of alternating stacks 31 and 33 constitutes gate dielectric layer 52 .

후속적으로, 희생 충전 재료가 라인 공동들(49') 각각 내에 퇴적될 수 있다. 희생 충전 재료는 게이트 유전체 층들(52), 층간 유전체 층들(56), 절연 스트립들(32) 및 기판 반도체 층(9)의 재료들에 선택적으로 제거될 수 있는 재료를 포함한다. 예를 들어, 희생 충전 재료는 비정질 탄소, 다이아몬드 유사 탄소(DLC), 실리콘-게르마늄 합금, 비정질 실리콘, 또는 중합체 재료를 포함할 수 있다. 교번하는 스택들(31, 33)의 최상부 표면들을 포함하는 수평 평면 위에 놓이는 희생 충전 재료의 부분들은 화학적 기계적 평탄화 및/또는 리세스 에칭과 같은 평탄화 프로세스에 의해 제거될 수 있다. 희생 충전 재료의 각각의 나머지 부분은 희생 필라 레일(67R)을 구성한다.Subsequently, a sacrificial fill material may be deposited into each of the line cavities 49'. The sacrificial fill material includes a material that can be removed selectively to the materials of the gate dielectric layers 52, the interlevel dielectric layers 56, the insulating strips 32 and the substrate semiconductor layer 9. For example, the sacrificial filler material may include amorphous carbon, diamond-like carbon (DLC), a silicon-germanium alloy, amorphous silicon, or a polymeric material. Portions of the sacrificial fill material lying on a horizontal plane including the top surfaces of the alternating stacks 31 and 33 may be removed by a planarization process such as chemical mechanical planarization and/or recess etching. Each remaining portion of the sacrificial filling material constitutes a sacrificial pillar rail 67R.

라인 트렌치(49)를 충전하는 재료 부분들의 연접한(contiguous) 세트는 라인 트렌치 충전 조립체(67R, 52, 56, 54)를 구성한다. 각각의 라인 트렌치 충전 조립체(67R, 52, 56, 54)는 희생 필라 레일(67R), 게이트 유전체 층들(52), 층간 유전체 층들(56), 및 강유전성 재료 층(54)을 포함한다.The contiguous set of material portions that fill line trench 49 constitute line trench filling assemblies 67R, 52, 56, and 54. Each line trench filling assembly 67R, 52, 56, 54 includes a sacrificial pillar rail 67R, gate dielectric layers 52, interlevel dielectric layers 56, and ferroelectric material layer 54.

도 11a 및 도 11b를 참조하면, 교번하는 스택들(31, 33) 및 라인 트렌치 충전 조립체들(67R, 52, 56, 54) 위에 제1 포토레지스트 층이 선택적으로 적용될 수 있고, 제1 수평 방향을 따라 연장되고 교번하는 스택들(31, 33) 중 각자의 하나 위에 놓이는 선형 개구들을 형성하도록 리소그래피적으로 패턴화될 수 있다. 포토레지스트 층 내의 각자의 선형 개구는 워드 라인 유형 스택들(31) 중 각자의 하나의 중간 부분 위에 놓일 수 있다. 최상부 절연 스트립들(32) 및 희생 스트립들(42)을 통해 제1 포토레지스트 층 내의 선형 개구들의 패턴을 전사하기 위해 이방성 에칭 프로세스가 수행될 수 있다. 제1 포토레지스트 층은 예를 들어, 애싱에 의해 후속적으로 제거될 수 있다.11A and 11B, a first photoresist layer may optionally be applied over the alternating stacks 31, 33 and line trench filling assemblies 67R, 52, 56, 54, in a first horizontal direction. It can be lithographically patterned to form linear apertures extending along and overlying each one of the alternating stacks 31,33. A respective linear opening in the photoresist layer may overlie a middle portion of a respective one of the word line type stacks 31 . An anisotropic etching process may be performed to transfer the pattern of linear openings in the first photoresist layer through the top insulating strips 32 and the sacrificial strips 42 . The first photoresist layer may be subsequently removed, for example by ashing.

제2 포토레지스트 층이 최상부 절연 스트립들(32) 및 라인 트렌치 충전 조립체들(67R, 52, 56, 54) 위에 적용될 수 있고, 개별 개구들의 2차원 어레이를 형성하도록 리소그래피적으로 패턴화될 수 있다. 개별 개구들의 2차원 어레이는 개별 개구들의 다수의 행들을 포함할 수 있다. 개별 개구들의 각각의 행은 제1 수평 방향(hd1)을 따라 측방향으로 연장되고, 라인 트렌치 충전 조립체들(67R, 52, 56, 54) 중 각자의 하나 위에 놓인다. 일 실시예에서, 제2 포토레지스트 층 내의 각각의 개별 개구는 제2 수평 방향(hd2)에 평행하고 제2 수평 방향(hd2)을 따라 각자의 아래에 놓이는 라인 트렌치 충전 조립체(67R, 52, 56, 54)의 전체 폭을 가로질러 연장되는 직선 에지들의 쌍을 포함할 수 있다. 일 실시예에서, 제2 포토레지스트 층 내의 각각의 개별 개구는 직사각형 형상을 가질 수 있다.A second photoresist layer may be applied over top insulating strips 32 and line trench fill assemblies 67R, 52, 56, 54 and may be lithographically patterned to form a two-dimensional array of individual apertures. . The two-dimensional array of individual apertures may include multiple rows of individual apertures. Each row of discrete openings extends laterally along the first horizontal direction hd1 and overlies a respective one of the line trench filling assemblies 67R, 52, 56, 54. In one embodiment, each individual opening in the second photoresist layer has a line trench fill assembly 67R, 52, 56 parallel to and underlying a respective one along the second horizontal direction hd2. , 54) may include a pair of straight edges extending across the entire width. In one embodiment, each individual opening in the second photoresist layer may have a rectangular shape.

제2 포토레지스트 층 내의 개별 개구들의 패턴을 라인 트렌치 충전 조립체들(67 R, 52, 56, 54)을 통해 전사하기 위해 이방성 에칭 프로세스가 수행된다. 직사각형 필라 공동들의 2차원 어레이는 라인 트렌치 충전 조립체들의 에칭된 부분들(67R, 52, 56, 54)이 제거된 체적들 내에 형성될 수 있다. 각각의 직사각형 필라 공동은 라인 트렌치 충전 조립체들(67R, 52, 56, 54)의 전체 높이를 통해 수직으로 연장될 수 있고, 기판 반도체 층(9)의 상부 표면은 각각의 직사각형 필라 공동의 저부에서 물리적으로 노출될 수 있다. 각각의 라인 트렌치 충전 조립체(67R, 52, 56, 54)는 제1 수평 방향(hd1)을 따라 측방향으로 이격되는 다수의 분리된 부분들로 분할될 수 있다. 다수의 분리된 부분들 각각은 인-프로세스 메모리 필라 구조체(67, 52, 56, 54)를 구성한다. 제2 포토레지스트 층은, 예를 들어 애싱에 의해 제거될 수 있다.An anisotropic etching process is performed to transfer the pattern of individual openings in the second photoresist layer through the line trench fill assemblies 67R, 52, 56, 54. A two-dimensional array of rectangular pillar cavities may be formed in the volumes from which the etched portions 67R, 52, 56, 54 of the line trench filling assemblies were removed. Each rectangular pillar cavity may extend vertically through the entire height of the line trench filling assemblies 67R, 52, 56, 54, and the top surface of the substrate semiconductor layer 9 is at the bottom of each rectangular pillar cavity. may be physically exposed. Each line trench filling assembly 67R, 52, 56, 54 may be divided into a number of separate parts spaced laterally along the first horizontal direction hd1. Each of the plurality of discrete parts constitutes an in-process memory pillar structure 67, 52, 56, 54. The second photoresist layer may be removed, for example by ashing.

인-프로세스 메모리 필라 구조체들(67, 52, 56, 54) 각각은, 일 측면으로부터 다른 측면 방향으로, 강유전성 재료 층(54), 층간 유전체 층(56), 희생 필라 부분(67), 및 게이트 유전체 층(52)을 포함한다. 인-프로세스 메모리 필라 구조체들(67, 52, 56, 54) 내의 각자의 강유전성 재료 층(54)은 각자의 라인 트렌치 충전 조립체(67R, 52, 56, 54) 내의 강유전성 재료 층(54)의 패턴화된 부분이다. 인-프로세스 메모리 필라 구조체들(67, 52, 56, 54) 내의 각각의 층간 유전체 층(56)은 각자의 라인 트렌치 충전 조립체(67R, 52, 56, 54) 내의 층간 유전체 층(56)의 패턴화된 부분이다.Each of the in-process memory pillar structures 67, 52, 56, 54 includes, from one side to the other, a ferroelectric material layer 54, an interlayer dielectric layer 56, a sacrificial pillar portion 67, and a gate. dielectric layer 52 . The respective ferroelectric material layer 54 in the in-process memory pillar structures 67, 52, 56, 54 is the pattern of the ferroelectric material layer 54 in the respective line trench filling assembly 67R, 52, 56, 54. the burned part. Each interlayer dielectric layer 56 in the in-process memory pillar structures 67, 52, 56, 54 is the pattern of the interlayer dielectric layer 56 in the respective line trench filling assembly 67R, 52, 56, 54. the burned part.

인-프로세스 메모리 필라 구조체들(67, 52, 56, 54) 내의 각각의 희생 필라 부분(67)은 각자의 라인 트렌치 충전 조립체(67R, 52, 56, 54) 내의 희생 필라 레일(67R)의 패턴화된 부분이다. 인-프로세스 메모리 필라 구조체들(67, 52, 56, 54) 내의 각각의 게이트 유전체 층(52)은 각자의 라인 트렌치 충전 조립체(67R, 52, 56, 54) 내의 게이트 유전체 층(52)의 패턴화된 부분이다. 각각의 인-프로세스 메모리 필라 구조체(67, 52, 56, 54)는 제2 수평 방향을 따라 연장되는 직선형 측벽들의 쌍을 가질 수 있다. 인-프로세스 메모리 필라 구조체(67, 52, 56, 54)의 각각의 컴포넌트는 제1 수평 방향(hd1)을 따라, 각자의 인-프로세스 메모리 필라 구조체(67, 52, 56, 54)의 폭인 균일한 폭을 가질 수 있다.Each sacrificial pillar portion 67 in the in-process memory pillar structures 67, 52, 56, 54 is a pattern of the sacrificial pillar rail 67R in the respective line trench filling assembly 67R, 52, 56, 54. the burned part. Each gate dielectric layer 52 in the in-process memory pillar structures 67, 52, 56, 54 is the pattern of the gate dielectric layer 52 in the respective line trench filling assembly 67R, 52, 56, 54. the burned part. Each in-process memory pillar structure 67, 52, 56, 54 may have a pair of straight sidewalls extending along the second horizontal direction. Each component of the in-process memory pillar structure 67, 52, 56, 54 is uniform in width along the first horizontal direction hd1, the width of the respective in-process memory pillar structure 67, 52, 56, 54. can have one width.

실리콘 산화물과 같은 유전체 재료가, 인-프로세스 메모리 필라 구조체들(67, 52, 56, 54)의 각각의 측방향으로 이웃하는 쌍 사이에 위치된, 그리고 교번하는 스택들(31, 33)을 분리하는 라인 트렌치들 내에 위치된 직사각형 필라 공동들 내에 컨포멀 퇴적될 수 있다. 유전체 재료의 잉여 부분들은 최상부 절연 스트립들(32)의 최상부 표면들을 포함하는 수평 평면 위로부터 제거될 수 있다. 각자의 직사각형 필라 공동을 충전하는 유전체 재료의 각각의 나머지 부분은 유전체 필라 구조체(64)를 구성한다. 각자의 라인 트렌치를 충전하는 유전체 재료의 각각의 나머지 부분은 드레인-선택-레벨 유전체 격리 구조체(72)를 구성한다.A dielectric material, such as silicon oxide, is located between each laterally neighboring pair of in-process memory pillar structures 67, 52, 56, 54, and separates the alternating stacks 31, 33. can be conformally deposited in rectangular pillar cavities located in line trenches. Excess portions of dielectric material may be removed from above the horizontal plane containing the top surfaces of the top insulating strips 32 . Each remaining portion of the dielectric material filling a respective rectangular pillar cavity constitutes a dielectric pillar structure 64 . Each remaining portion of the dielectric material filling the respective line trench constitutes a drain-select-level dielectric isolation structure 72 .

일 실시예에서, 각자의 유전체 필라 구조체(64)가, 각각의 라인 트렌치들(49) 내의 인-프로세스 메모리 필라 구조체들(67, 52, 56, 54)의 각각의 측방향으로 이웃하는 쌍 사이에 형성된다. 유전체 필라 구조체들(64)의 행이 각각의 라인 트렌치(49) 내에 형성된다. 유전체 필라 구조체들(64)의 행은 각각의 라인 트렌치(49) 내의 인-프로세스 메모리 필라 구조체들(67, 52, 56, 54)의 행과 인터레이싱된다(interlaced). 따라서, 인-프로세스 메모리 필라 구조체들(67, 52, 56, 54) 및 유전체 필라 구조체들(64)의 측방향으로 교번하는 시퀀스가 각각의 라인 트렌치(49) 내에 형성된다.In one embodiment, a respective dielectric pillar structure 64 is interposed between each laterally neighboring pair of in-process memory pillar structures 67, 52, 56, 54 in respective line trenches 49. is formed in A row of dielectric pillar structures 64 is formed within each line trench 49 . A row of dielectric pillar structures 64 is interlaced with a row of in-process memory pillar structures 67, 52, 56, 54 in each line trench 49. Accordingly, a laterally alternating sequence of in-process memory pillar structures 67 , 52 , 56 , 54 and dielectric pillar structures 64 are formed within each line trench 49 .

도 12a 및 도 12b를 참조하면, 희생 필라 부분들(67)은 게이트 유전체 층들(52), 층간 유전체 층들(56), 기판 반도체 층(9), 및 유전체 필라 구조체들(64)의 재료들에 대해 선택적으로 제거될 수 있다. 채널 공동(69)이 희생 필라 부분(67)이 제거된 각각의 체적 내에 형성된다. 기판 반도체 층(9)의 상부 표면은 각각의 채널 공동(69)의 저부에서 물리적으로 노출될 수 있다. 게이트 유전체 층(52)이 각각의 채널 공동(69)의 일 측면 상에서 물리적으로 노출되고, 층간 유전체 층(56)은 각각의 채널 공동(69)의 대향 측면 상에서 물리적으로 노출된다. 유전체 필라 구조체들(64)의 쌍의 측벽들은 채널 공동(69)에 물리적으로 노출될 수 있다.Referring to FIGS. 12A and 12B , the sacrificial pillar portions 67 are applied to the materials of the gate dielectric layers 52, the interlevel dielectric layers 56, the substrate semiconductor layer 9, and the dielectric pillar structures 64. can be selectively removed. A channel cavity 69 is formed in each volume from which the sacrificial pillar portion 67 is removed. An upper surface of the substrate semiconductor layer 9 may be physically exposed at the bottom of each channel cavity 69 . Gate dielectric layer 52 is physically exposed on one side of each channel cavity 69 and interlevel dielectric layer 56 is physically exposed on the opposite side of each channel cavity 69 . The sidewalls of the pair of dielectric pillar structures 64 may be physically exposed to the channel cavity 69 .

도 13a 및 도 13b를 참조하면, 제1 전도성 유형의 도핑을 갖는 반도체 재료가 컨포멀 퇴적 프로세스에 의해 채널 공동들(69) 내에 퇴적될 수 있다. 반도체 재료는 적어도 하나의 원소 반도체 재료, 적어도 하나의 III-V 화합물 반도체 재료, 적어도 하나의 II-VI 화합물 반도체 재료, 적어도 하나의 유기 반도체 재료, 또는 당업계에 알려진 다른 반도체 재료들로부터 선택될 수 있다. 일 실시예에서, 컨포멀 퇴적된 반도체 재료는 비정질 실리콘 또는 폴리실리콘을 포함한다. 반도체 재료 내의 제1 전도성 유형의 전기 도펀트들의 원자 농도는 1.0 × 1015/㎤ 내지 1.0 × 1018/㎤의 범위일 수 있지만, 더 작은 원자 농도 및 더 큰 원자 농도도 이용될 수 있다. 반도체 재료는 저압 화학 기상 증착(LPCVD)과 같은 컨포멀 퇴적 방법에 의해 퇴적될 수 있다. 퇴적된 반도체 재료의 두께는 2 nm 내지 20 nm의 범위일 수 있지만, 더 작은 두께 및 더 큰 두께도 사용될 수 있다. 반도체 재료의 퇴적 후에, 선택적인 충전되지 않은 체적이 각각의 채널 공동(69) 내에 존재할 수 있다.Referring to FIGS. 13A and 13B , a semiconductor material having doping of a first conductivity type may be deposited into channel cavities 69 by a conformal deposition process. The semiconductor material may be selected from at least one elemental semiconductor material, at least one III-V compound semiconductor material, at least one II-VI compound semiconductor material, at least one organic semiconductor material, or other semiconductor materials known in the art. there is. In one embodiment, the conformally deposited semiconductor material includes amorphous silicon or polysilicon. The atomic concentration of electrical dopants of the first conductivity type in the semiconductor material may range from 1.0×10 15 /cm 3 to 1.0×10 18 /cm 3 , although smaller and larger atomic concentrations may be used. Semiconductor materials may be deposited by conformal deposition methods such as low pressure chemical vapor deposition (LPCVD). The thickness of the deposited semiconductor material may range from 2 nm to 20 nm, although smaller and larger thicknesses may also be used. After deposition of the semiconductor material, an optional unfilled volume may exist within each channel cavity 69 .

실리콘 산화물과 같은 유전체 충전 재료가 채널 공동들(69)의 충전되지 않은 체적들 내에 퇴적될 수 있다. 최상부 절연 스트립들(32)의 상부 표면들을 포함하는 수평 평면 위에 놓이는 퇴적된 반도체 재료 및 유전체 충전 재료의 잉여 부분들은 평탄화 프로세스에 의해 제거될 수 있다. 예를 들어, 제1 리세스 에칭 프로세스는 유전체 충전 재료를 최상부 절연 스트립들(32)의 상부 표면들을 포함하는 수평 평면 아래의 높이로 수직으로 리세스시킬 수 있다. 제1 리세스 에칭 프로세스는 아래에 놓이는 반도체 재료에 대해 선택적으로 유전체 충전 재료를 에칭할 수 있다. 채널 공동들(69) 내의 유전체 충전 재료의 각각의 나머지 부분은 유전체 코어(62)를 구성한다. 최상부 절연 스트립들(32)의 상부 표면들을 포함하는 수평 평면 위에 놓이는 반도체 재료의 수평 부분들은 제2 리세스 에칭 프로세스에 의해 제거될 수 있다. 제2 리세스 에칭 프로세스는 절연 스트립들(32) 및 유전체 코어들(62)의 재료들에 대해 선택적으로 반도체 재료를 에칭할 수 있다. 채널 공동들(69) 내의 반도체 재료의 각각의 나머지 부분은 수직 반도체 채널(60)을 구성한다. 각각의 수직 반도체 채널(60)은 층간 유전체 층(56) 및 게이트 유전체 층(52)의 각자의 쌍 상의 각자의 채널 공동(69) 내에 형성된다.A dielectric fill material, such as silicon oxide, may be deposited in the unfilled volumes of channel cavities 69 . Excess portions of the deposited semiconductor material and dielectric fill material overlying the horizontal plane including the upper surfaces of the top insulating strips 32 may be removed by a planarization process. For example, the first recess etch process may vertically recess the dielectric fill material to a height below a horizontal plane that includes the top surfaces of the top insulating strips 32 . The first recess etch process may etch the dielectric fill material selectively relative to the underlying semiconductor material. Each remaining portion of the dielectric fill material in the channel cavities 69 constitutes the dielectric core 62 . Horizontal portions of the semiconductor material lying above the horizontal plane including the top surfaces of the top insulating strips 32 may be removed by a second recess etch process. The second recess etch process may etch the semiconductor material selectively to the materials of the insulating strips 32 and the dielectric cores 62 . Each remaining portion of the semiconductor material within the channel cavities 69 constitutes a vertical semiconductor channel 60 . Each vertical semiconductor channel 60 is formed in a respective channel cavity 69 on a respective pair of interlevel dielectric layer 56 and gate dielectric layer 52 .

드레인 영역들(68)은 유전체 코어(62) 위의 각각의 리세스된 영역 내에 도핑된 반도체 재료를 퇴적함으로써 형성될 수 있다. 드레인 영역들(68)은 제1 전도성 유형과 반대인 제2 전도성 유형의 도핑을 가질 수 있다. 예를 들어, 제1 전도성 유형이 p-형인 경우, 제2 전도성 유형은 n-형이고, 그 반대로도 가능하다. 드레인 영역들(68) 내의 도펀트 농도는 5.0 × 1018/㎤ 내지 2.0 × 1021/㎤의 범위일 수 있지만, 더 낮은 도펀트 농도 및 더 높은 도펀트 농도도 사용될 수 있다. 도핑된 반도체 재료는, 예를 들어 도핑된 폴리실리콘일 수 있다. 퇴적된 반도체 재료의 잉여 부분들은 드레인 영역들(68)을 형성하기 위해 예를 들어, 화학적 기계적 평탄화(CMP) 또는 리세스 에칭에 의해 최상부 절연 스트립들(32)의 상부 표면 위로부터 제거될 수 있다. 일 실시예에서, 수직 반도체 채널들(60)의 저부 단부들과 소스 영역들(28) 사이에서 연장되는 기판 반도체 층(9)의 표면 부분들은 수평 반도체 채널들(59)을 구성한다. 이러한 경우에, 각각의 반도체 채널(59, 60)은 수직 반도체 채널(60) 및 수평 반도체 채널(59)을 포함할 수 있다. 대안적으로, 소스 영역들(28)은 각각의 수직 반도체 채널(60)의 저부 단부가 소스 영역들(28) 중 각자의 하나와 접촉하도록 구성될 수 있다. 이 경우에, 각각의 반도체 채널(60)은 수직 반도체 채널(60)로 구성될 수 있다.Drain regions 68 may be formed by depositing a doped semiconductor material in each recessed region over dielectric core 62 . Drain regions 68 may have a doping of a second conductivity type opposite to the first conductivity type. For example, if the first conductivity type is p-type, the second conductivity type is n-type, and vice versa. The dopant concentration in drain regions 68 may range from 5.0×10 18 /cm 3 to 2.0×10 21 /cm 3 , although lower and higher dopant concentrations may also be used. The doped semiconductor material may be, for example, doped polysilicon. Excess portions of the deposited semiconductor material may be removed from over the top surface of the top insulating strips 32 by, for example, chemical mechanical planarization (CMP) or recess etching to form drain regions 68. . In one embodiment, surface portions of the substrate semiconductor layer 9 extending between the source regions 28 and the bottom ends of the vertical semiconductor channels 60 constitute the horizontal semiconductor channels 59 . In this case, each of the semiconductor channels 59 and 60 may include a vertical semiconductor channel 60 and a horizontal semiconductor channel 59 . Alternatively, the source regions 28 may be configured such that the bottom end of each vertical semiconductor channel 60 contacts a respective one of the source regions 28 . In this case, each semiconductor channel 60 may be configured as a vertical semiconductor channel 60 .

메모리 필라 구조체(54, 56, 60, 62, 68, 52)가 각각의 라인 트렌치(49) 내의 유전체 필라 구조체들(64)의 각각의 측방향으로 이웃하는 쌍 사이에 형성될 수 있다. 각각의 메모리 필라 구조체(54, 56, 60, 62, 68, 52)는 강유전성 재료 층(54), 층간 유전체 층(56), 수직 반도체 채널(60), 유전체 코어(62), 및 게이트 유전체 층(52)을 포함할 수 있다.A memory pillar structure 54 , 56 , 60 , 62 , 68 , 52 may be formed between each laterally neighboring pair of dielectric pillar structures 64 in each line trench 49 . Each memory pillar structure 54, 56, 60, 62, 68, 52 includes a ferroelectric material layer 54, an interlevel dielectric layer 56, a vertical semiconductor channel 60, a dielectric core 62, and a gate dielectric layer. (52) may be included.

후속적으로, 트렌치들 또는 비아들과 같은 개구들이 구조체를 통해 형성되어 희생 스트립들(42)을 노출시킨다. 희생 스트립들(42)이 선택적 에칭에 의해 개구들을 통해 제거되어 절연 스트립들(32) 사이에 수평 리세스들을 형성한다. 전기 전도성 재료가 개구들을 통해 리세스들 내로 퇴적된다. 전기 전도성 재료는 전기 전도성 금속 질화물 장벽 재료, 예컨대 TiN, WN 또는 TN, 및/또는 금속 충전 재료, 예컨대 W, Al, Cu, Ru, Ti, Mo 및/또는 이들의 합금들을 포함할 수 있다. 이어서, 전기 전도성 재료는 이방성 에칭에 의해 개구들로부터 제거되어 리세스들 내에 다양한 전극들 및 라인들을 남긴다.Subsequently, openings such as trenches or vias are formed through the structure to expose the sacrificial strips 42 . Sacrificial strips 42 are removed through the openings by selective etching to form horizontal recesses between the insulating strips 32 . Electrically conductive material is deposited through the openings into the recesses. The electrically conductive material may include an electrically conductive metal nitride barrier material such as TiN, WN or TN, and/or a metal fill material such as W, Al, Cu, Ru, Ti, Mo and/or alloys thereof. The electrically conductive material is then removed from the openings by anisotropic etching, leaving various electrodes and lines in the recesses.

도 13c 및 도 13d에 도시된 바와 같이, 전극들 및 라인들은 워드 라인들(46), 패스 게이트 전극들(36), 및 2가지 유형의 드레인-측 선택 게이트 전극들(44, 66)을 포함한다. 워드 라인들(46) 및 제1 유형의 드레인 측 선택 게이트 전극들(44)은 워드 라인 유형 스택들(31) 내에 위치된다. 패스 게이트 전극들(36) 및 제2 유형의 드레인-측 선택 게이트 전극들(66)은 패스 게이트 유형 스택들(33) 내에 위치된다. 각자의 드레인 측 선택 게이트 전극들(44, 66)은 그들 각자의 교번하는 스택들(31, 33) 내의 각자의 워드 라인들(46) 및 패스 게이트 전극들(36) 위에 놓인다. 드레인 측 선택 게이트 전극들(44, 66) 각각은 프로그래밍, 소거 또는 판독 동작을 위해 수직 반도체 채널(60)을 선택 또는 선택해제하는 선택 게이트 전극으로서 기능한다.13c and 13d, the electrodes and lines include word lines 46, pass gate electrodes 36, and two types of drain-side select gate electrodes 44, 66. do. Word lines 46 and first type drain side select gate electrodes 44 are located in word line type stacks 31 . Pass gate electrodes 36 and drain-side select gate electrodes 66 of the second type are located in pass gate type stacks 33 . Respective drain-side select gate electrodes 44, 66 overlie respective word lines 46 and pass gate electrodes 36 in their respective alternating stacks 31, 33. Each of the drain-side select gate electrodes 44 and 66 functions as a select gate electrode that selects or deselects the vertical semiconductor channel 60 for programming, erasing, or reading operations.

비트 라인 레벨 절연 층(82)이 드레인 영역들(68) 및 교번하는 스택들(31, 33) 위에 형성된다. 비트 라인 레벨 절연 층(82) 내에 개구들이 형성되어 드레인 영역들(68)을 노출시킨다. 비트 라인들(98) 및 선택적인 드레인 접촉 비아 구조체들(88)이 드레인 영역들(68)과 전기적으로 접촉하는 개구들 내에 형성된다.A bit line level insulating layer 82 is formed over the drain regions 68 and the alternating stacks 31 and 33 . Openings are formed in bit line level insulating layer 82 to expose drain regions 68 . Bit lines 98 and optional drain contact via structures 88 are formed in the openings that electrically contact drain regions 68 .

도 5a 내지 도 13d로부터의 모든 도면들을 참조하면, 적어도 하나의 강유전성 메모리 스트링을 포함하는 메모리 디바이스가 제공된다. 적어도 하나의 강유전성 메모리 스트링 각각은, 소스 영역(28)과 드레인 영역(68) 사이에서 연장되는 반도체 채널(59, 60); 반도체 채널(60)의 제1 길이방향 표면(제1 수직 표면임) 상에 위치되는 게이트 유전체 층(52); 게이트 유전체 층(52) 상에 위치되고 소스 영역과 드레인 영역(68) 사이에서 연장되는 패스 게이트 전극들(36); 및 소스 영역(28)과 드레인 영역(68) 사이의 반도체 채널(59, 60)의 제2 길이방향 표면 위에 위치되는 워드 라인들(46)을 포함하며, 제2 길이방향 표면은 제1 길이방향 표면의 대향 측면 상에 위치되고, 워드 라인들(46) 각각은 각자의 강유전성 재료 부분(강유전성 재료 층(54)의 일부분임) 및 각자의 층간 유전체 부분(각자의 층간 유전체 층(56)의 일부분임)에 의해 제2 길이방향 표면으로부터 이격된다.With reference to all figures from FIGS. 5A-13D , a memory device comprising at least one ferroelectric memory string is provided. Each of the at least one ferroelectric memory string includes a semiconductor channel (59, 60) extending between a source region (28) and a drain region (68); a gate dielectric layer 52 located on the first longitudinal surface (which is the first vertical surface) of the semiconductor channel 60; pass gate electrodes 36 positioned on the gate dielectric layer 52 and extending between the source and drain regions 68; and word lines (46) located over a second longitudinal surface of the semiconductor channel (59, 60) between the source region (28) and the drain region (68), the second longitudinal surface being the first longitudinal surface. Located on opposite sides of the surface, each of the word lines 46 has a respective ferroelectric material portion (which is a portion of the ferroelectric material layer 54) and a respective interlayer dielectric portion (which is a portion of a respective interlayer dielectric layer 56). is spaced from the second longitudinal surface by

일 실시예에서, 적어도 하나의 강유전성 메모리 스트링 각각 내의 층간 유전체 부분들은 워드 라인들(46) 각각을 가로질러 연장되는 층간 유전체 층(56)의 부분들을 포함한다.In one embodiment, the interlayer dielectric portions within each of the at least one ferroelectric memory string include portions of an interlayer dielectric layer 56 extending across each of the word lines 46 .

일 실시예에서, 소스 영역(28)은 워드 라인들(46) 아래에 놓이는 기판 반도체 층(9)의 상부 부분에 위치되고, 제1 길이방향 표면 및 제2 길이방향 표면은 기판 반도체 층(9)의 상부 표면에 수직이다.In one embodiment, the source region 28 is located in an upper portion of the substrate semiconductor layer 9 underlying the word lines 46, the first longitudinal surface and the second longitudinal surface having the substrate semiconductor layer 9 ) is perpendicular to the upper surface of

일 실시예에서, 워드 라인들(46) 각각은 기판 반도체 층(9)의 상부 표면으로부터, 각각의 강유전성 메모리 스트링 내의 패스 게이트 전극들(36) 중 각자의 하나와 동일한 수직 거리에 위치된다. 일 실시예에서, 워드 라인들(46) 각각은 패스 게이트 전극들(36) 중 각자의 하나와 동일한 재료 조성, 그리고 그와 동일한 두께를 갖는다.In one embodiment, each of the word lines 46 is located at the same vertical distance from the top surface of the substrate semiconductor layer 9 as a respective one of the pass gate electrodes 36 in each ferroelectric memory string. In one embodiment, each of the word lines 46 has the same material composition and the same thickness as a respective one of the pass gate electrodes 36 .

일 실시예에서, 각각의 강유전성 메모리 스트링 내의 게이트 유전체 층(52), 반도체 채널(60), 강유전성 재료 부분들(54), 및 층간 유전체 부분들(56)은, 반도체 채널(60)의 길이 방향(즉, 수직 방향)에 수직인, 그리고 제1 길이방향 표면 및 제2 길이방향 표면에 평행인 방향(예컨대, 제1 수평 방향(hd1))을 따라 동일한 폭을 갖는다.In one embodiment, the gate dielectric layer 52, semiconductor channel 60, ferroelectric material portions 54, and interlayer dielectric portions 56 in each ferroelectric memory string are arranged along the length of the semiconductor channel 60. (ie vertical direction) and parallel to the first longitudinal surface and the second longitudinal surface (eg first horizontal direction hd1).

일 실시예에서, 각각의 강유전성 메모리 스트링 내의 반도체 채널(60)은 (예를 들어, 도 13b에 도시된 바와 같이) 외부 직사각형 주연부 및 내부 직사각형 주연부를 갖는 중공 직사각형 수평 단면 형상을 갖는다.In one embodiment, the semiconductor channel 60 within each ferroelectric memory string has a hollow rectangular horizontal cross-sectional shape with an outer rectangular perimeter and an inner rectangular perimeter (eg, as shown in FIG. 13B).

일 실시예에서, 적어도 하나의 강유전성 메모리 스트링은 제1 수평 방향(hd1)을 따라 측방향으로 이격된 강유전성 메모리 스트링들의 적어도 하나의 행을 포함하고; 워드 라인들(46) 각각은 제1 수평 방향(hd1)을 따라 측방향으로 연장되고 강유전성 메모리 스트링들의 각각의 행 사이에서 공유되고; 패스 게이트 전극들(36) 각각은 제1 수평 방향(hd1)을 따라 측방향으로 연장되고 강유전성 메모리 스트링들의 각각의 행 사이에서 공유된다.In one embodiment, the at least one ferroelectric memory string comprises at least one row of ferroelectric memory strings spaced laterally along a first horizontal direction hd1; each of the word lines 46 extends laterally along the first horizontal direction hd1 and is shared between each row of ferroelectric memory strings; Each of the pass gate electrodes 36 extends laterally along the first horizontal direction hd1 and is shared between each row of ferroelectric memory strings.

일 실시예에서, 메모리 디바이스는 제1 수평 방향(hd1)에 수직인 제2 수평 방향(hd2)을 따라 측방향으로 이격되는 강유전성 메모리 스트링들의 복수의 행들을 포함하는 강유전성 메모리 스트링들의 2차원 어레이를 포함한다.In one embodiment, a memory device comprises a two-dimensional array of ferroelectric memory strings comprising a plurality of rows of ferroelectric memory strings spaced laterally along a second horizontal direction (hd2) perpendicular to a first horizontal direction (hd1). include

제3 실시예의 다양한 구성들의 제3 예시적인 구조체들이 제2 실시예의 제2 예시적인 구조체로부터 도출될 수 있다. 도 14a 내지 도 14d, 도 15a 및 도 15b, 및 도 16a 내지 도 16c는 제2 실시예의 제2 예시적인 구조체로부터 도출될 수 있는 제3 실시예의 예시적인 제3 구조체의 다양한 구성을 도시한다.Third exemplary structures of various configurations of the third embodiment may be derived from the second exemplary structure of the second embodiment. 14A-14D, 15A-15B, and 16A-16C show various configurations of a third exemplary structure of a third embodiment that may be derived from a second exemplary structure of the second embodiment.

도 14a 내지 도 14d를 참조하면, 본 개시내용의 제3 실시예에 따른 제3 예시적인 구조체의 제1 구성이 도시되어 있으며, 이는 제2 실시예에 예시된 강유전성 재료 층들(54) 대신에 개별 강유전성 메모리 부분들(154)의 3차원 어레이를 포함한다. 개별 강유전성 메모리 부분들(154)은 강유전성 재료 층들(54)과 동일한 강유전성 재료를 포함한다. 개별 강유전성 메모리 부분들(154)은 워드 라인들(46)에 인접하는 인접한 절연 스트립들(32) 사이의 측방향 리세스들에 위치된다. 동일한 수직 반도체 채널(60)에 인접하게 위치된 개별 강유전성 메모리 부분들(154)은 절연 스트립들(32) 중 각자의 하나에 의해 서로 수직으로 분리된다.Referring to FIGS. 14A-14D , a first configuration of a third exemplary structure according to a third embodiment of the present disclosure is shown, which is instead of separate ferroelectric material layers 54 illustrated in the second embodiment. It includes a three-dimensional array of ferroelectric memory portions (154). The individual ferroelectric memory portions 154 include the same ferroelectric material as the ferroelectric material layers 54 . Individual ferroelectric memory portions 154 are located in lateral recesses between adjacent insulating strips 32 adjacent to word lines 46 . Individual ferroelectric memory portions 154 located adjacent to the same vertical semiconductor channel 60 are vertically separated from each other by a respective one of the insulating strips 32 .

선택적으로, 차단 유전체 부분(157)이 각각의 워드 라인(46)과 인접한 개별 강유전성 메모리 부분(154) 사이에 위치된다. 차단 유전체 부분(157)은 인접한 개별 강유전성 메모리 부분(154)과 동일한 측방향 리세스들 내에 위치될 수 있다. 차단 유전체 부분(157)은 임의의 적합한 전기 절연 재료, 예컨대 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 유전체 금속 산화물, 예컨대 알루미늄 산화물을 포함할 수 있다.Optionally, a blocking dielectric portion 157 is positioned between each word line 46 and the adjacent individual ferroelectric memory portion 154. The blocking dielectric portion 157 may be located in the same lateral recesses as the adjacent discrete ferroelectric memory portion 154 . Blocking dielectric portion 157 may include any suitable electrically insulating material, such as silicon oxide, silicon nitride, silicon oxynitride, or dielectric metal oxide, such as aluminum oxide.

제3 예시적인 구조체의 제1 구성은 또한 제2 예시적인 구조체의 유전체 필라 구조체들(64)보다 제1 수평 방향(hd1)으로 더 넓을 수 있는 유전체 필라 구조체들(364)을 포함한다. 일 실시예에서, 유전체 필라 구조체들(364) 각각은 각자의 직사각형 수평 단면 형상을 가질 수 있다. 제3 예시적인 구조체의 제1 구성의 수직 반도체 채널들(60)은, 도 13d에 도시된 예시적인 제2 구조체의 중공 직사각형 형상이 아닌, 도 14b 및 14d에 도시된 바와 같이 제1 수평 방향(hd1)으로 연장되는 스트립 형상을 갖는다.The first configuration of the third exemplary structure also includes dielectric pillar structures 364 that may be wider in the first horizontal direction hd1 than the dielectric pillar structures 64 of the second exemplary structure. In one embodiment, each of the dielectric pillar structures 364 may have a respective rectangular horizontal cross-sectional shape. The vertical semiconductor channels 60 of the first configuration of the third exemplary structure, rather than the hollow rectangular shape of the second exemplary structure shown in FIG. 13D , as shown in FIGS. hd1) and has a strip shape extending in length.

메모리 필라 구조체들(52, 60, 62, 68, 56, 154, 157) 및 유전체 필라 구조체들(364)의 측방향으로 교번하는 시퀀스는 제1 수평 방향(hd1)으로 연장되는 각각의 라인 트렌치 내에 위치될 수 있다. 개별 강유전성 메모리 부분들(154)의 3차원 어레이를 포함하는 메모리 필라 구조체들(52, 60, 62, 68, 56, 154, 157)의 2차원 어레이가 제공될 수 있다.The laterally alternating sequence of memory pillar structures 52, 60, 62, 68, 56, 154, 157 and dielectric pillar structures 364 are within each line trench extending in the first horizontal direction hd1. can be located A two-dimensional array of memory pillar structures 52, 60, 62, 68, 56, 154, 157 comprising a three-dimensional array of individual ferroelectric memory portions 154 may be provided.

도 15a 및 도 15b를 참조하면, 제3 예시적인 구조체의 제1 구성으로부터 도출될 수 있는 제3 예시적인 구조체의 제2 구성이 도시되어 있다. 제2 구성에서, 제1 구성의 절연 스트립들(32)은 공동-포함 절연 스트립들(332)로 대체된다. 공동-포함 절연 스트립들(332)은 공동 포함 절연 스트립들(332)의 유전체 재료, 예컨대 실리콘 산화물에 의해 둘러싸인 공동(333)(즉, 에어 갭)을 포함할 수 있다.Referring to FIGS. 15A and 15B , a second configuration of a third exemplary structure is shown, which may be derived from the first configuration of the third exemplary structure. In the second configuration, the insulating strips 32 of the first configuration are replaced with cavity-containing insulating strips 332 . The cavity-containing insulating strips 332 may include a cavity 333 (ie, an air gap) surrounded by a dielectric material of the cavity-containing insulating strips 332, such as silicon oxide.

공동-포함 절연 스트립들(332)은 측방향 리세스들을 형성하기 위해 워드 라인들(46)을 형성하기 전 또는 후에 절연 스트립들(32)을 선택적으로 제거함으로써 형성될 수 있다. 유전체 재료 층이 측방향 리세스들 내의 비-컨포멀 퇴적 프로세스(예컨대, 플라즈마 강화 화학 기상 증착)에 의해 퇴적됨으로써, 공동-포함 절연 스트립들(332)을 형성할 수 있다. 유전체 재료는, 예를 들어, 다공성 또는 비다공성 유기실리케이트 유리 또는 실리케이트 유리를 포함할 수 있다. 공동-포함 절연 스트립들(332) 각각은 임의의 고체상 재료 및 봉지 절연 재료 부분이 없는 각자의 봉지된 공동(333)(예컨대, 에어 갭)을 포함할 수 있다. 각각의 봉지된 공동은 불활성 가스 또는 공기로 충전될 수 있다. 각각의 봉지 절연 재료 부분은 내부에 봉지된 공동의 범위를 한정하는 폐쇄형 내부 표면을 포함한다.Cavity-containing insulating strips 332 may be formed by selectively removing insulating strips 32 before or after forming word lines 46 to form lateral recesses. A layer of dielectric material may be deposited by a non-conformal deposition process (eg, plasma enhanced chemical vapor deposition) in the lateral recesses to form the cavity-containing insulating strips 332 . The dielectric material may include, for example, porous or non-porous organosilicate glass or silicate glass. Each of the cavity-containing insulating strips 332 may include a respective sealed cavity 333 (eg, an air gap) free of any solid-state material and portions of the encapsulating insulating material. Each sealed cavity may be filled with an inert gas or air. Each portion of encapsulating insulating material includes a closed inner surface defining a cavity encapsulated therein.

일반적으로, 유전체 필라 구조체들(364)의 레이아웃은 후속적으로 형성될 다양한 금속 상호접속부 구조체들의 전기 배선을 용이하게 하도록 선택될 수 있다. 예를 들어, 드레인 영역들(68) 각각은 (도 13c에 도시된) 각자의 드레인 접촉 비아 구조체(88)에 의해 접촉될 수 있다. 제2 수평 방향(hd2)을 따라 측방향으로 연장되는 비트 라인들(98)(도 13c에 도시됨)이 드레인 접촉 비아 구조체들(88)의 각자의 서브세트 상에 형성될 수 있다. 이웃하는 라인 트렌치들(49) 내의 유전체 필라 구조체들(364)은, 도 13b 및 도 13d, 도 14b 및 도 14d, 및 도 15b에 도시된 바와 같이, 제2 수평 방향(hd2)으로 행들로 정렬될 수 있다.In general, the layout of dielectric pillar structures 364 may be selected to facilitate electrical wiring of various metal interconnect structures to be formed subsequently. For example, each of the drain regions 68 may be contacted by a respective drain contact via structure 88 (shown in FIG. 13C). Bit lines 98 (shown in FIG. 13C ) extending laterally along the second horizontal direction hd2 may be formed on respective subsets of the drain contact via structures 88 . The dielectric pillar structures 364 in the neighboring line trenches 49 are aligned in rows in the second horizontal direction hd2, as shown in FIGS. 13B and 13D, 14B and 14D, and 15B. It can be.

도 16a 및 도 16b를 참조하면, 제3 예시적인 구조체의 제3 구성이, 제2 수평 방향(hd2)을 따라 유전체 필라 구조체들(364)을 스태거링(staggering)함으로써 제2 구성으로부터 도출될 수 있다. 이 경우에, 유전체 필라 구조체들(364)의 이웃하는 행들은 p/N 만큼 제1 수평 방향을 따라 측방향으로 오프셋될 수 있으며, 여기서 p는 각자의 라인 트렌치(49) 내에 위치된 유전체 필라 구조체들(364)의 각각의 행의 유전체 필라 구조체들(364)의 피치(즉, 이웃하는 쌍들 사이의 중심 간 거리)이고, N은 1 초과의 정수이다. N은 예를 들어, 2, 3, 4, 5 등일 수 있다. 드레인 영역들(68)의 측방향 오프셋은 비트 라인들에 대한 드레인 접촉 비아 구조체들의 배선을 용이하게 한다.Referring to FIGS. 16A and 16B , a third configuration of a third exemplary structure may be derived from the second configuration by staggering dielectric pillar structures 364 along a second horizontal direction hd2. can In this case, neighboring rows of dielectric pillar structures 364 may be laterally offset along the first horizontal direction by p/N, where p is the dielectric pillar structure located within the respective line trench 49. is the pitch (ie, center-to-center distance between neighboring pairs) of the dielectric pillar structures 364 of each row of fields 364, where N is an integer greater than one. N can be, for example, 2, 3, 4, 5, etc. The lateral offset of drain regions 68 facilitates wiring of drain contact via structures to the bit lines.

도 14a 내지 도 16b를 참조하면, 그리고 본 개시내용의 다양한 실시예들에 따르면, 3차원 메모리 디바이스가 제공되며, 3차원 메모리 디바이스는, 기판(9) 위에 위치되는, 절연 스트립들(32 또는 332) 및 전기 전도성 스트립들(46, 36, 44, 66)의 수직으로 교번하는 스택들(31, 33) - 수직으로 교번하는 스택들은 제1 수평 방향(hd1)을 따라 측방향으로 연장되고, 라인 트렌치들(49)에 의해 제2 수평 방향(hd2)을 따라 서로 측방향으로 이격됨 -; 및 라인 트렌치들(49) 내에 위치되는, 메모리 필라 구조체들(157, 154, 56, 60, 62, 68, 52) 및 유전체 필라 구조체들(364)의 측방향으로 교번하는 시퀀스들 - 메모리 필라 구조체들(157, 154, 56, 60, 62, 68, 52) 각각은, 기판(9, 28)과 접촉하고 수직으로 교번하는 스택들(31, 33)의 최상부 표면들을 포함하는 수평 평면으로 연장되는 수직 반도체 채널(60)을 포함함 -; 수직 반도체 채널(60)의 제1 측벽 및 교번하는 스택들(31, 33)의 쌍 중 제1 수직으로 교번하는 스택(33) 내의 전기 전도성 스트립들(36, 66)과 접촉하는 게이트 유전체 층(52); 수직 반도체 채널(60)의 제2 측벽 및 제2 수직으로 교번하는 스택(31) 내의 전기 전도성 스트립들(46, 44)과 접촉하는 층간 유전체 층(56), 및 층간 유전체 층(56)과 제2 수직으로 교번하는 스택(31)의 전기 전도성 스트립들(46, 44) 사이에 위치된 개별 강유전성 재료 부분들(154)의 수직 스택을 포함한다. 전기 전도성 스트립들(46, 36, 44, 66)은 드레인-측 제어 게이트 전극들(44) 및/또는 드레인-측 선택 게이트 전극들(66)을 포함하거나 포함하지 않을 수 있다.Referring to FIGS. 14A-16B , and in accordance with various embodiments of the present disclosure, a three-dimensional memory device is provided, comprising insulating strips 32 or 332 positioned over a substrate 9 . ) and vertically alternating stacks 31, 33 of electrically conductive strips 46, 36, 44, 66 - the vertically alternating stacks laterally extending along a first horizontal direction hd1, line laterally spaced from one another along the second horizontal direction hd2 by trenches 49; and laterally alternating sequences of memory pillar structures 157, 154, 56, 60, 62, 68, 52 and dielectric pillar structures 364, located within line trenches 49 - the memory pillar structure. Each of the s 157, 154, 56, 60, 62, 68, 52 contacts the substrate 9, 28 and extends in a horizontal plane that includes the top surfaces of the vertically alternating stacks 31, 33. including a vertical semiconductor channel 60; A gate dielectric layer ( 52); an interlayer dielectric layer 56 in contact with the second sidewall of the vertical semiconductor channel 60 and the electrically conductive strips 46, 44 in the second vertically alternating stack 31, and an interlayer dielectric layer 56; It comprises a vertical stack of individual ferroelectric material portions 154 positioned between electrically conductive strips 46, 44 of two vertically alternating stacks 31. Electrically conductive strips 46 , 36 , 44 , 66 may or may not include drain-side control gate electrodes 44 and/or drain-side select gate electrodes 66 .

일 실시예에서, 수직 반도체 채널(60)은, 제1 측벽을 포함하는 제1 수직 연장 부분; 제2 측벽을 포함하는 제2 수직 연장 부분; 및 제1 수직 연장 부분의 저부 단부 및 제2 수직 연장 부분의 저부 단부에 인접하는 수평 부분을 포함한다.In one embodiment, the vertical semiconductor channel 60 includes a first vertically extending portion including a first sidewall; a second vertically extending portion including a second sidewall; and a horizontal portion adjacent to the bottom end of the first vertically extending portion and the bottom end of the second vertically extending portion.

일 실시예에서, 직사각형 유전체 코어(62)가 제1 수직 연장 부분과 제2 수직 연장 부분 사이에 위치되고, 수직 반도체 채널(60)의 수평 부분 위에 놓이고, 유전체 필라 구조체들(364)의 각자의 쌍과 접촉한다.In one embodiment, a rectangular dielectric core 62 is located between the first and second vertically extending portions and overlies the horizontal portion of the vertical semiconductor channel 60 and each of the dielectric pillar structures 364 comes into contact with a pair of

일 실시예에서, 수직 반도체 채널(60)은 제1 전도성 유형의 도핑을 갖는 반도체 재료를 포함하고, 제2 전도성 유형의 도핑을 갖는 반도체 재료를 포함하는 드레인 영역(68)은 수직 반도체 채널(60)의 상부 단부와 접촉한다. 일 실시예에서, 기판(9)은 수직 반도체 채널(60)에 전기적으로 접속된 소스 영역(28)을 포함한다.In one embodiment, the vertical semiconductor channel 60 includes a semiconductor material having a doping of a first conductivity type and the drain region 68 including a semiconductor material having a doping of a second conductivity type is a vertical semiconductor channel 60 ) in contact with the upper end of In one embodiment, substrate 9 includes a source region 28 electrically connected to vertical semiconductor channel 60 .

일 실시예에서, 3차원 메모리 디바이스는 개별 강유전성 재료 부분들(154)의 수직 스택과 제2 수직으로 교번하는 스택(31)의 전기 전도성 스트립들(46, 44) 사이에 위치되는 차단 유전체 부분들(157)을 포함한다.In one embodiment, the three-dimensional memory device comprises blocking dielectric portions positioned between a vertical stack of individual ferroelectric material portions 154 and electrically conductive strips 46, 44 of a second vertically alternating stack 31. (157).

일 실시예에서, 차단 유전체 부분들(157) 각각은 제2 수직으로 교번하는 스택(31)의 전기 전도성 스트립들(46, 44) 중 각자의 하나와 접촉하고 그와 동일한 높이를 갖는다. 일 실시예에서, 차단 유전체 부분들(157) 각각은 개별 강유전성 재료 부분들(154)의 수직 스택 내의 개별 강유전성 재료 부분들(154) 중 각자의 하나와 접촉하고 그와 동일한 높이 및 동일한 폭을 갖는다.In one embodiment, each of the blocking dielectric portions 157 is flush with and in contact with a respective one of the electrically conductive strips 46, 44 of the second vertically alternating stack 31. In one embodiment, each of the blocking dielectric portions 157 is in contact with and has the same height and the same width as a respective one of the individual ferroelectric material portions 154 in the vertical stack of individual ferroelectric material portions 154. .

일 실시예에서, 각각의 메모리 필라 구조체(157, 154, 56, 60, 62, 68, 52) 내의 수직 반도체 채널(60), 게이트 유전체 층(52), 층간 유전체 층(56), 및 개별 강유전성 재료 부분들(154)의 수직 스택은 제1 수평 방향(hd1)을 따라 균일한 폭을 갖는다.In one embodiment, vertical semiconductor channel 60, gate dielectric layer 52, interlayer dielectric layer 56, and individual ferroelectric The vertical stack of material portions 154 has a uniform width along the first horizontal direction hd1.

일 실시예에서, 절연 스트립들(332)의 서브세트는 내부에 각자의 공동(333)을 포함하고, 각각의 공동에는 임의의 고체상 재료가 없다.In one embodiment, a subset of insulating strips 332 includes a respective cavity 333 therein, and each cavity is free of any solid phase material.

제4 실시예의 다양한 구성들에서의 제4 예시적인 구조체들이 제2 및 제3 실시예들의 제2 및 제3 예시적인 구조체들로부터 도출될 수 있다. 도 17a 내지 도 17c, 도 18a 내지 도 18c, 도 19a 및 도 19b, 및 도 20은 제2 및 제3 실시예들의 제2 및 제3 예시적인 구조체들로부터 도출될 수 있는 제4 실시예의 예시적인 제4 구조체의 다양한 구성을 도시한다.The fourth exemplary structures in various configurations of the fourth embodiment may be derived from the second and third exemplary structures of the second and third embodiments. 17A to 17C, 18A to 18C, 19A and 19B, and 20 are exemplary examples of a fourth embodiment that can be derived from second and third exemplary structures of the second and third embodiments. Various configurations of the fourth structure are shown.

도 17a 내지 도 17c를 참조하면, 본 개시내용의 제4 실시예에 따른 제4 예시적인 구조체의 제1 구성이 도시되어 있으며, 이는 제2 실시예의 강유전성 재료 층들(54), 및 제3 실시예의 개별 강유전성 메모리 부분들(154) 대신에 더미 개별 강유전성 메모리 부분들(254)을 포함한다. 제4 실시예의 더미 개별 강유전성 메모리 부분들(254)은 패스 게이트 전극들(36)의 수직 레벨들 사이에서 절연 스트립들(32)에 인접한 수직 레벨에 위치된다. 다시 말하면, 더미 개별 강유전성 메모리 부분(254)은, 아래에 놓이는 최근접 패스 게이트 전극(36)의 상부 표면에 대응하는 제1 수평 평면과, 위에 놓이는 최근접 패스 게이트 전극(36)의 저부 표면에 대응하는 제2 수평 평면 사이에 위치된다. 따라서, 패스 게이트 전극들(36)은 기판(9)으로부터 더미 개별 강유전성 메모리 부분들(254)과는 상이한 거리들에 위치된다. 다시 말하면, 더미 개별 강유전성 메모리 부분들(254)은 패스 게이트 유형 스택들(33)에 인접하게 위치되며, 데이터(예컨대, 1 또는 0)를 저장하도록 의도되지 않는다. 대조적으로, 강유전성 재료 층들(54)은 워드 라인 유형 스택들(31)에 인접하게 위치되고, 워드 라인들(46)에 인접하게 위치된 강유전성 재료 층들(54)의 부분들은 데이터(예컨대, 1 또는 0)를 저장하는 데 사용되는 메모리 셀들로서 기능한다.Referring to FIGS. 17A-17C , a first configuration of a fourth exemplary structure according to a fourth embodiment of the present disclosure is shown, comprising ferroelectric material layers 54 of the second embodiment, and ferroelectric material layers 54 of the third embodiment. Instead of individual ferroelectric memory portions 154, it includes dummy individual ferroelectric memory portions 254. The dummy discrete ferroelectric memory portions 254 of the fourth embodiment are located at a vertical level adjacent to the insulating strips 32 between the vertical levels of the pass gate electrodes 36 . In other words, the dummy individual ferroelectric memory portion 254 is formed in a first horizontal plane corresponding to the top surface of the underlying nearest pass gate electrode 36 and the bottom surface of the overlying nearest pass gate electrode 36. It is located between corresponding second horizontal planes. Thus, the pass gate electrodes 36 are located at different distances from the substrate 9 than the dummy discrete ferroelectric memory portions 254 . In other words, the dummy discrete ferroelectric memory portions 254 are located adjacent to the pass gate type stacks 33 and are not intended to store data (eg, 1 or 0). In contrast, ferroelectric material layers 54 are positioned adjacent word line type stacks 31, and portions of ferroelectric material layers 54 positioned adjacent word lines 46 are data (e.g., 1 or 0) function as memory cells used to store.

제4 실시예의 더미 개별 강유전성 메모리 부분들(254)은 각자의 절연 스트립들(32) 및 더미 스페이서 유전체 층들(51)과 동일한 수직 레벨에, 그리고 그들 사이에서 측방향으로 위치된다. 더미 스페이서 유전체 층들(51)은 각자의 더미 개별 강유전성 메모리 부분들(254) 및 수직 반도체 채널(60)과 동일한 수직 레벨에, 그리고 그들 사이에서 측방향으로 위치된다. 다시 말하면, 더미 개별 강유전성 메모리 부분(254), 그의 측방향으로 인접한 절연 스트립(32) 및 그의 측방향으로 인접한 더미 유전체(51)는, 아래에 놓이는 최근접 패스 게이트 전극(36)의 상부 표면에 대응하는 제1 수평 평면과, 위에 놓이는 최근접 패스 게이트 전극(36)의 저부 표면에 대응하는 제2 수평 평면 사이에 위치된다.The dummy individual ferroelectric memory portions 254 of the fourth embodiment are positioned at the same vertical level as the respective insulating strips 32 and the dummy spacer dielectric layers 51 and laterally therebetween. The dummy spacer dielectric layers 51 are positioned at the same vertical level as the respective dummy discrete ferroelectric memory portions 254 and the vertical semiconductor channel 60 and laterally therebetween. In other words, the dummy individual ferroelectric memory portion 254, its laterally adjacent insulating strip 32 and its laterally adjacent dummy dielectric 51 are formed on the upper surface of the underlying nearest pass gate electrode 36. It is located between a corresponding first horizontal plane and a second horizontal plane corresponding to the bottom surface of the overlying nearest pass gate electrode 36 .

또한, 제4 실시예에서, 제2 및 제3 실시예들의 유전체 필라 구조체들(64, 364)은 원한다면 생략될 수 있다. 대신에, 유전체 트렌치 충전 구조체(76)가 패스 게이트 유형 스택들(33)을 통해 연장되는 트렌치들 내에 형성될 수 있다. 유전체 트렌치 충전 구조체들(76)은 제1 수평 방향(hd1)으로 연속적으로 연장되고, 패스 게이트 전극들(36)을 측방향으로 분리한다.Also, in the fourth embodiment, the dielectric pillar structures 64 and 364 of the second and third embodiments may be omitted if desired. Instead, a dielectric trench filling structure 76 may be formed in the trenches extending through the pass gate type stacks 33 . The dielectric trench filling structures 76 continuously extend in the first horizontal direction hd1 and laterally separate the pass gate electrodes 36 .

도 18a 및 도 18b를 참조하면, 예시적인 제4 구조체의 제2 구성은 각각의 더미 개별 강유전성 메모리 부분(254)이 위에 놓이는 그리고 아래에 놓이는 패스 게이트 전극들(36)로부터 제1 구성에서보다 더 멀리 수직으로 이격되도록 더미 개별 강유전성 메모리 부분들(254)의 수직 높이를 감소시킴으로써 제4 예시적인 구조체의 제1 구성으로부터 도출될 수 있다. 예를 들어, 각각의 더미 개별 강유전성 메모리 부분(254)은, 절연 스트립들(32)의 각자의 위에 놓이는 그리고 아래에 놓이는 돌출 부분들(32P)에 의해, 위에 놓이는 그리고 아래에 놓이는 패스 게이트 전극들(36)로부터 더 멀리 수직으로 이격된다. 돌출 부분들(32P)은 각각의 절연 스트립(31)으로부터 수평으로(즉, 측방향으로) 돌출한다. 절연 스트립들(32)의 각자의 위에 놓이는 그리고 아래에 놓이는 돌출 부분들(32P)은 기판(9)에 수직인 수직 방향으로 각자의 더미 개별 강유전성 메모리 부분(254) 위에 놓이고 아래에 놓인다.Referring to FIGS. 18A and 18B , the second configuration of the exemplary fourth structure has each dummy individual ferroelectric memory portion 254 further from the overlying and underlying pass gate electrodes 36 than in the first configuration. A fourth exemplary structure can be derived from the first configuration by reducing the vertical height of the dummy individual ferroelectric memory portions 254 to be vertically spaced apart. For example, each dummy individual ferroelectric memory portion 254 is provided with overlying and underlying pass gate electrodes by respective overlying and underlying protruding portions 32P of insulating strips 32 . (36) further vertically spaced. The protruding portions 32P protrude horizontally (ie, in a lateral direction) from each insulating strip 31 . The respective overlying and underlying protruding portions 32P of the insulating strips 32 overlie and underlie the respective dummy individual ferroelectric memory portion 254 in a vertical direction perpendicular to the substrate 9.

도 18c를 참조하면, 제4 예시적인 구조체의 제3 구성은 감소된 높이 더미 개별 강유전성 메모리 부분들(254) 위에 놓이는 그리고 아래에 놓이는 영역들에서 패스 게이트 전극들(36)의 수직 높이를 증가시킴으로써 제4 예시적인 구조체의 제2 구성으로부터 도출될 수 있다. 예를 들어, 각각의 패스 게이트 전극(36)은 상부 및 하부 돌출 부분들(36P)을 포함한다. 패스 게이트 전극들의 각자의 상부 및 하부 돌출 부분들(36P)은 기판(9)에 수직인 수직 방향으로 각자의 인접한 더미 개별 강유전성 메모리 부분들(254) 위에 놓이고 아래에 놓인다. 돌출 부분들(36P)은 패스 게이트 전극(36)으로부터 수직으로 돌출한다. 따라서, 각각의 더미 개별 강유전성 메모리 부분(254)은, 기판(9)에 수직인 수직 방향으로, 아래에 놓이는 패스 게이트 전극(36)의 각자의 위에 놓이는 돌출 부분(36P)과, 위에 놓이는 패스 게이트 전극(36)의 각자의 아래에 놓이는 돌출 부분(36P) 사이에 위치된다.Referring to FIG. 18C , a third configuration of the fourth exemplary structure is obtained by increasing the vertical height of the pass gate electrodes 36 in regions overlying and underlying the reduced height dummy individual ferroelectric memory portions 254 . It can be derived from the second configuration of the fourth exemplary structure. For example, each pass gate electrode 36 includes upper and lower protruding portions 36P. The respective upper and lower protruding portions 36P of the pass gate electrodes overlie and underlie their respective adjacent dummy individual ferroelectric memory portions 254 in a vertical direction perpendicular to the substrate 9 . The protruding portions 36P protrude vertically from the pass gate electrode 36 . Accordingly, each dummy individual ferroelectric memory portion 254 has, in a vertical direction perpendicular to the substrate 9, an overlying protruding portion 36P of an underlying pass gate electrode 36 and an overlying pass gate The electrodes 36 are positioned between the respective underlying projecting portions 36P.

도 19a 및 도 19b를 참조하면, 제4 예시적인 구조체의 제4 구성은 제1, 제2 또는 제3 구성의 워드 라인 유형 스택(31) 내의 제1 절연 스트립들(32)을 워드 라인 유형 스택(31) 내의 워드 라인들(46) 사이에 공동(즉, 에어 갭)(333)을 포함하는 공동 포함 절연 스트립들(332)로 교체함으로써 제4 예시적인 구조체의 제1 구성, 제2 또는 제3 구성으로부터 도출될 수 있다.Referring to FIGS. 19A and 19B , a fourth configuration of a fourth exemplary structure may include first insulating strips 32 in a word line type stack 31 of a first, second, or third configuration. The first, second or second configuration of the fourth exemplary structure by replacing with insulating strips 332 containing cavities including cavities (i.e., air gaps) 333 between word lines 46 in (31). 3 configuration can be derived.

도 20을 참조하면, 예시적인 제4 구조체의 제5 구성은 워드 라인 유형 스택(31) 내에 공동들(333)을 형성하는 것에 부가하여, 제4 구성의 패스 게이트 유형 스택(33) 내의 제2 절연 스트립들(32)을 패스 게이트 유형 스택(33)의 패스 게이트 전극들(36) 사이에 공동(333)(즉, 에어 갭)을 포함하는 공동 포함 절연 스트립들(332)로 또한 교체함으로써 제4 구성으로부터 도출될 수 있다.Referring to FIG. 20 , the fifth configuration of the exemplary fourth structure, in addition to forming cavities 333 within the word line type stack 31 , the second configuration within the pass gate type stack 33 of the fourth configuration The insulating strips 32 are also replaced by insulating strips 332 containing a cavity 333 (i.e., an air gap) between the pass gate electrodes 36 of the pass gate type stack 33. 4 can be derived from the configuration.

도 17a 내지 도 20을 참조하고, 그리고 본 개시내용의 다양한 실시예들에 따르면, 3차원 메모리 디바이스가 제공되며, 이는, 제1 절연 스트립들(32 또는 332) 및 기판(9) 위에 위치된 워드 라인들(46)을 포함하는 제1 전기 전도성 스트립들의 제1 수직으로 교번하는 스택(31) - 제1 수직으로 교번하는 스택(31)은 제1 수평 방향(hd1)을 따라 측방향으로 연장됨 -; 제2 절연 스트립들(32 또는 332) 및 기판(9) 위에 위치된 패스 게이트 전극들(36)을 포함하는 제2 전기 전도성 스트립들의 제2 수직으로 교번하는 스택(33) - 제2 수직으로 교번하는 스택(33)은 제1 수평 방향(hd1)을 따라 측방향으로 연장되고, 제2 수평 방향(hd2)을 따라 제1 교번하는 스택(31)으로부터 측방향으로 이격됨 -; 및 제1 수직으로 교번하는 스택(31)과 제2 수직으로 교번하는 스택(33) 사이에 위치되는 라인 트렌치 충전 구조체(254, 51, 60, 62, 68, 56, 54), 및 인접한 패스 게이트 전극들(36)과 라인 트렌치 충전 구조체(254, 51, 60, 62, 68, 56, 54) 사이에 위치되는 게이트 유전체 층(52)의 부분들의 조합을 포함하는 메모리 구조체 조립체를 포함한다. 메모리 구조체 조립체(254, 51, 60, 62, 68, 56, 54, 52)는, 제1 절연 스트립들(32 또는 332) 각각 및 제1 전기 전도성 스트립들(즉, 워드 라인들(46))의 측벽들과 접촉하는 제1 강유전성 재료 층(54); 제2 수직으로 교번하는 스택(33)의 측벽들 위에 위치되는 더미 제2 강유전성 재료 부분들(254); 및 제1 강유전성 재료 층(즉, 강유전성 재료 층(54))과 더미 강유전성 재료 부분들(254) 사이에 위치되는 수직 반도체 채널(60)을 포함한다. 반도체 채널(60)은 제1 수직으로 교번하는 스택(31) 및 제2 수직으로 교번하는 스택(33)의 최상부 표면들을 포함하는 수평 평면까지 기판(9)으로부터 수직으로 연장된다.17A-20, and in accordance with various embodiments of the present disclosure, a three-dimensional memory device is provided, which is a word positioned over first insulating strips 32 or 332 and a substrate 9. a first vertically alternating stack 31 of first electrically conductive strips comprising lines 46, the first vertically alternating stack 31 extending laterally along a first horizontal direction hd1; ; a second vertically alternating stack 33 of second electrically conductive strips comprising second insulating strips 32 or 332 and pass gate electrodes 36 located above the substrate 9 - the second vertically alternating a stack (33) extending laterally along a first horizontal direction (hd1) and spaced laterally from the first alternating stack (31) along a second horizontal direction (hd2); and line trench filling structures (254, 51, 60, 62, 68, 56, 54) positioned between the first vertically alternating stack (31) and the second vertically alternating stack (33), and adjacent pass gates. and a memory structure assembly comprising a combination of portions of a gate dielectric layer (52) positioned between electrodes (36) and line trench filling structures (254, 51, 60, 62, 68, 56, 54). The memory structure assembly 254, 51, 60, 62, 68, 56, 54, 52 includes first insulating strips 32 or 332, respectively, and first electrically conductive strips (i.e., word lines 46). a first layer of ferroelectric material (54) in contact with the sidewalls of; dummy second ferroelectric material portions 254 positioned over the sidewalls of the second vertically alternating stack 33; and a vertical semiconductor channel 60 positioned between the first layer of ferroelectric material (ie, layer 54 of ferroelectric material) and the dummy ferroelectric material portions 254 . The semiconductor channel 60 extends vertically from the substrate 9 to a horizontal plane comprising the top surfaces of the first vertically alternating stack 31 and the second vertically alternating stack 33 .

일 실시예에서, 3차원 메모리 디바이스는 제1 강유전성 재료 층(즉, 강유전성 재료 층(54)) 및 수직 반도체 채널(60)의 제1 외부 측벽과 접촉하는 층간 유전체 층(56)을 포함한다.In one embodiment, the three-dimensional memory device includes a first ferroelectric material layer (ie, ferroelectric material layer 54) and an interlayer dielectric layer 56 in contact with the first outer sidewall of the vertical semiconductor channel 60.

일 실시예에서, 스페이서 유전체 층(51)은 수직 반도체 채널(60)의 제2 외부 측벽과 접촉한다. 일 실시예에서, 스페이서 유전체 층(51)은 층간 유전체 층(56)과 동일한 조성 및 동일한 두께를 가질 수 있다. 일 실시예에서, 수직 반도체 채널(60)은 제1 외부 측벽을 포함하는 제1 수직 연장 부분, 제2 외부 측벽을 포함하는 제2 수직 연장 부분, 및 제1 수직 연장 부분의 저부 단부 및 제2 수직 연장 부분의 저부 단부를 접속시키고 기판(9)의 상부 표면과 접촉하는 수평 부분을 포함한다.In one embodiment, the spacer dielectric layer 51 contacts the second outer sidewall of the vertical semiconductor channel 60 . In one embodiment, spacer dielectric layer 51 may have the same composition and same thickness as interlevel dielectric layer 56 . In one embodiment, the vertical semiconductor channel 60 comprises a first vertically extending portion comprising a first outer sidewall, a second vertically extending portion comprising a second outer sidewall, and a bottom end and second vertically extending portion of the first vertically extending portion. It includes a horizontal part connecting the bottom end of the vertically extending part and contacting the upper surface of the substrate 9 .

일 실시예에서, 제1 절연 스트립들(32 또는 332) 및 제2 절연 스트립들(32 또는 332)의 서브세트는 내부에 각자의 공동(333)을 포함한다. 각각의 공동에는 임의의 고체상 물질이 없다.In one embodiment, a subset of the first insulating strips 32 or 332 and the second insulating strips 32 or 332 include a respective cavity 333 therein. Each cavity is free of any solid phase material.

도 21a는 본 개시내용의 제1, 제2, 제3, 및 제4 예시적인 구조체들에 대한 개략적 회로도이다. 패스 게이트 전계 효과 트랜지스터(도 21a의 NFET에 의해 표현됨)의 각각의 패스 게이트 전극(PL)(전술된 디바이스들의 패스 게이트 전극(36)에 대응함)은 워드 라인 WL(전술된 디바이스들의 워드 라인(46)에 대응함)에 의해 제어되는 각각의 수직 강유전성-메모리 요소-제어 트랜지스터(FeFET)에 병렬 게이팅된(gated) 전도성 경로를 제공한다. 각자의 NFET 및 FeFET는 공통 반도체 채널(60, 160)을 공유하고, 공통 소스 라인(SL)(예컨대, 28)과 공통 비트 라인(BL)(예컨대, 98) 사이에서 병렬로 전기적으로 접속된다. 일 실시예에서, 소스 라인(SL)(예컨대, 28)과 비트 라인(BL)(예컨대, 98) 사이에 직렬로 전기적으로 접속된 복수의 FeFET들은, 공통 반도체 채널(60, 160) 및 공통 드레인 측 및 소스 측 선택 게이트 트랜지스터들(SGD, SGS)(각자의 드레인 측 및 소스 선택 게이트 전극들(26, 66)을 포함함)을, 그 또한 복수의 FeFET들과 동일한 소스 라인(SL)과 동일한 비트 라인(BL) 사이에 직렬로 접속되는 복수의 패스 게이트 트랜지스터들(NFET)과 공유한다. 패스 게이트 트랜지스터들이 NFET들로서 도시되어 있지만, 이들 트랜지스터들은 대안적으로, 원하는 경우, PFET들을 포함할 수 있다.21A is a schematic circuit diagram of first, second, third, and fourth exemplary structures of the present disclosure. Each pass gate electrode PL (corresponding to the pass gate electrode 36 of the aforementioned devices) of the pass gate field effect transistor (represented by NFET in FIG. 21A) is connected to the word line WL (word line 46 of the aforementioned devices). (corresponding to )) provides a parallel gated conductive path to each vertical ferroelectric-memory element-controlled transistor (FeFET) controlled by The respective NFETs and FeFETs share a common semiconductor channel 60, 160 and are electrically connected in parallel between a common source line SL (eg 28) and a common bit line BL (eg 98). In one embodiment, a plurality of FeFETs electrically connected in series between a source line (SL) (eg, 28) and a bit line (eg, 98), a common semiconductor channel (60, 160) and a common drain side and source-side select gate transistors SGD, SGS (including respective drain-side and source select gate electrodes 26, 66), which also have the same source line SL as a plurality of FeFETs. It is shared with a plurality of pass gate transistors (NFETs) connected in series between the bit lines (BL). Although pass gate transistors are shown as NFETs, these transistors may alternatively include PFETs, if desired.

복수의 직렬 접속된 FeFET들은 각자의 워드 라인(WL)에 인접한 각자의 강유전성 재료 부분의 분극에 의해 제어되는 가변 임계 전압을 갖는 전계 효과 트랜지스터들의 직렬 연결을 포함하는 NAND 스트링을 형성한다. 각각의 NAND 스트링의 제1 단부(예컨대, 각각의 수직 NAND 스트링의 저부 단부)는 소스 라인(SL)에 접속되는데, 이는 전술된 디바이스들 내의 소스 영역(28)을 포함할 수 있다. 각각의 NAND 스트링의 제2 단부(예컨대, 각각의 수직 NAND 스트링의 상부 단부)는 비트 라인(BL)(예컨대, 98)에 접속된다. 선택적으로, 소스-측 선택 게이트 전극들(26) 및 드레인-측 선택 게이트 전극들(66)이 제공될 수 있다.A plurality of series connected FeFETs form a NAND string comprising a series connection of field effect transistors with variable threshold voltages controlled by the polarization of a respective portion of ferroelectric material adjacent to a respective word line (WL). A first end of each NAND string (eg, the bottom end of each vertical NAND string) is connected to a source line SL, which may include a source region 28 in the devices described above. The second end of each NAND string (eg, the upper end of each vertical NAND string) is connected to bit line BL (eg, 98). Optionally, source-side select gate electrodes 26 and drain-side select gate electrodes 66 may be provided.

도 21b 및 도 21c는 도 21a의 회로를 동작시키는 데 사용될 수 있는 예시적인 전압들을 도시한 표들이다. 도 21b는 채널 부스팅 방식(2, 3 및 4 열들)에서 그리고 직접 채널 전위 제어 방식(5, 6 및 7 열들)에서 단일 레벨 셀을 동작시키는 데 사용될 수 있는 예시적인 전압들을 도시한다. 도 21c는 채널 부스팅 방식(2, 3 및 4 열들)에서 그리고 직접 채널 전위 제어 방식(5, 6 및 7 열들)에서 다중 레벨 셀을 동작시키는데 사용되는 예시적인 전압들을 도시한다. 도시된 전압들은 예시적이며, 다른 적합한 전압들이 상이한 디바이스 치수들 및 재료들에 대해 사용될 수 있다.21B and 21C are tables showing example voltages that may be used to operate the circuit of FIG. 21A. 21B shows example voltages that can be used to operate a single level cell in a channel boosting scheme (columns 2, 3 and 4) and in a direct channel potential control scheme (columns 5, 6 and 7). 21C shows example voltages used to operate a multi-level cell in a channel boosting scheme (columns 2, 3 and 4) and in a direct channel potential control scheme (columns 5, 6 and 7). The voltages shown are exemplary and other suitable voltages may be used for different device dimensions and materials.

이들 표들에 도시된 바와 같이, 선택된 워드 라인(46)(즉, 선택된 메모리 셀의 워드 라인)은 쓰기/금지에 대해 높은 네거티브 전압으로, 소거/금지에 대한 높은 포지티브 전압으로, 그리고 판독/금지에 대해 0V 또는 낮은 포지티브/네거티브 전압으로 설정된다. 선택되지 않은 워드 라인들은 기록 및 소거에 대해 플로팅(floating)되고 판독/금지에 대해 0V로 설정된다. 선택된 패스 게이트 전극(36)(즉, 선택된 메모리 셀에 인접한 패스 게이트 전극)은 기록 및 소거에 대해 패스 전압으로 설정되고, 판독/금지에 대해 0V로 설정된다. 선택되지 않은 패스 게이트 전극들은 기록 및 소거에 대해 패스 전압으로 설정되고, 판독/금지에 대해 판독 전압으로 설정된다. 비트 라인들은, 채널 부스팅 방식의 경우, 기록/금지 및 소거/금지에 대해 0V/포지티브 전압으로 설정되고, 판독/금지에 대해 Vdd로 설정되고, 직접 채널 전위 제어 방식의 경우, 기록/금지에 대해 0V/네거티브 전압으로 설정되고, 소거/금지에 대해 0V/포지티브 전압으로 설정되고, 판독/금지에 대해 Vdd로 설정된다. 소스 라인들은 기록/금지 및 소거/금지에 대해 포지티브 전압으로 그리고 판독/금지에 대해 0V로 설정된다. SGD 및 SGS 선택 트랜지스터들은 표들에 나타낸 바와 같이 설정된다. 동작 유닛들은 디바이스의 페이지 당이다.As shown in these tables, the selected word line 46 (i.e., the word line of the selected memory cell) is at a high negative voltage for write/inhibit, at a high positive voltage for erase/inhibit, and at a high positive voltage for read/inhibit. is set to 0V or a lower positive/negative voltage. Unselected word lines are floating for write and erase and set to 0V for read/inhibit. The selected pass gate electrode 36 (i.e., the pass gate electrode adjacent to the selected memory cell) is set to a pass voltage for write and erase, and set to 0V for read/inhibit. Unselected pass gate electrodes are set to pass voltage for write and erase, and set to read voltage for read/inhibit. The bit lines are set to 0V/positive voltage for write/inhibit and erase/inhibit for channel boosting scheme, Vdd for read/inhibit, and Vdd for write/inhibit for direct channel potential control scheme. Set to 0V/negative voltage, set to 0V/positive voltage for erase/inhibit, and set to Vdd for read/inhibit. The source lines are set to a positive voltage for write/inhibit and erase/inhibit and to 0V for read/inhibit. The SGD and SGS select transistors are set up as shown in the tables. Action units are per page of the device.

예시적인 구조체의 다양한 구성들은 워드 라인들 및 패스 게이트 전극들을 포함하는 적어도 하나의 강유전성 메모리 스트링을 포함하는 메모리 디바이스들을 제공한다. 다시 말하면, 워드 라인들(46) 및 강유전성 메모리 요소들(즉, 강유전성 재료 부분들(54, 154))은 반도체 채널(60)의 적어도 일 측면 상에 위치되고, 패스 게이트 전극들(36) 및 게이트 유전체(52)는 반도체 채널(60)의 적어도 하나의 다른 측면 상에 위치된다.Various configurations of the illustrative structure provide memory devices that include at least one ferroelectric memory string that includes word lines and pass gate electrodes. In other words, word lines 46 and ferroelectric memory elements (i.e. ferroelectric material portions 54, 154) are located on at least one side of semiconductor channel 60, pass gate electrodes 36 and Gate dielectric 52 is located on at least one other side of semiconductor channel 60 .

패스 게이트 전극들(36)을 포함하지만 강유전성 메모리 요소들(즉, 강유전성 재료 부분들(54, 154))이 없는 패스 게이트 트랜지스터들은 동일한 메모리 스트링에서 인접한 메모리 셀들 사이의(즉, 인접한 FeFET들 사이의) 교란을 감소시키거나 제거한다.Pass gate transistors that include pass gate electrodes 36 but do not have ferroelectric memory elements (i.e., ferroelectric material portions 54, 154) can be used between adjacent memory cells (i.e., between adjacent FeFETs) in the same memory string. ) reduce or eliminate the disturbance.

본 개시내용의 모든 도면들 및 실시예들을 참조하면, 메모리 디바이스는, 소스 영역(28)과 드레인 영역(68) 사이에서 연장되는 반도체 채널(60, 160), 복수의 패스 게이트 전극들(36), 복수의 워드 라인들(46), 반도체 채널(60, 160)과 복수의 패스 게이트 전극들(36) 사이에 위치되는 게이트 유전체(52), 및 반도체 채널과 복수의 워드 라인들(46) 사이에 위치되는 강유전성 재료 부분들(54, 154)을 포함한다.Referring to all drawings and embodiments of the present disclosure, a memory device includes semiconductor channels 60 and 160 extending between a source region 28 and a drain region 68, a plurality of pass gate electrodes 36 , the plurality of word lines 46, the gate dielectric 52 located between the semiconductor channels 60 and 160 and the plurality of pass gate electrodes 36, and between the semiconductor channel and the plurality of word lines 46 ferroelectric material portions (54, 154) located on the

일 실시예에서, 게이트 유전체(52)는 반도체 채널(60, 160)의 제1 길이방향 표면 상에 위치된 게이트 유전체 층을 포함하고, 패스 게이트 전극들(36)은 소스 영역과 드레인 영역 사이의 제1 행의 게이트 유전체 층 상에 위치되고, 워드 라인들(46)은 소스 영역(28)과 드레인 영역(68) 사이의 제2 행의 반도체 채널의 제2 길이방향 표면 위에 위치되고, 제2 길이방향 표면은 반도체 채널(60, 160)의 제1 길이방향 표면의 대향 측면 상에 위치되고, 워드 라인들(46) 각각은 각자의 강유전성 재료 부분들(54, 154) 및 각자의 층간 유전체 부분(56) 중 하나에 의해 제2 길이방향 표면으로부터 이격된다.In one embodiment, the gate dielectric 52 includes a gate dielectric layer located on the first longitudinal surface of the semiconductor channel 60, 160, and the pass gate electrodes 36 are interposed between the source and drain regions. located on the gate dielectric layer of the first row, the word lines 46 being located over a second longitudinal surface of the semiconductor channel of the second row between the source region 28 and the drain region 68, the second The longitudinal surface is located on the opposite side of the first longitudinal surface of the semiconductor channel 60, 160, each of the word lines 46 having a respective ferroelectric material portion 54, 154 and a respective interlayer dielectric portion It is spaced from the second longitudinal surface by one of (56).

일 실시예에서, 층간 유전체 부분들(56)은 반도체 채널의 제2 길이방향 표면과 강유전성 재료 부분들(54, 154) 사이에 위치된 층간 유전체 층의 부분들을 포함한다. 일 실시예에서, 강유전성 재료 부분들(54, 154)은 소스 영역(28) 위에 놓이는 영역으로부터 드레인 영역(68) 위에 놓이는 영역까지 연장되는 반도체 채널(60, 160)의 제2 길이방향 표면 위에 위치된 강유전성 재료 층(54)의 부분들을 포함한다. 다른 실시예에서, 강유전성 재료 부분들(54, 154)은 유전체 재료 부분들(32, 142)을 개재시킴으로써 이격되는 개별 강유전성 재료 부분들(154)을 포함한다. 일 실시예에서, 강유전성 재료 부분들(54, 154)은 Al, Zr 또는 Si 중 적어도 하나로부터 선택되는 적어도 하나의 도펀트를 포함하는 사방정계 상 하프늄 산화물을 포함한다.In one embodiment, interlevel dielectric portions 56 include portions of an interlevel dielectric layer positioned between ferroelectric material portions 54 and 154 and the second longitudinal surface of the semiconductor channel. In one embodiment, ferroelectric material portions 54, 154 are located over the second longitudinal surface of the semiconductor channel 60, 160 extending from the region overlying the source region 28 to the region overlying the drain region 68. parts of the ferroelectric material layer 54 In another embodiment, ferroelectric material portions 54 and 154 include individual ferroelectric material portions 154 spaced apart by interposing dielectric material portions 32 and 142 . In one embodiment, the ferroelectric material portions 54, 154 comprise orthorhombic phase hafnium oxide comprising at least one dopant selected from at least one of Al, Zr or Si.

도 1 내지 도 4b에 도시된 제1 실시예에서, 반도체 채널(160)은 수평 상부 표면을 갖는 절연 재료 층(10) 위에서 수평으로 연장되고, 반도체 채널의 제1 길이방향 표면 및 제2 길이방향 표면은 절연 재료 층(10)의 수평 상부 표면에 평행하다.In the first embodiment shown in Figures 1 to 4b, the semiconductor channel 160 extends horizontally over the layer of insulating material 10 having a horizontal top surface, the first longitudinal surface of the semiconductor channel and the second longitudinal surface thereof. The surface is parallel to the horizontal upper surface of the insulating material layer 10 .

도 5a 내지 도 13d에 도시된 제2 실시예에서, 반도체 채널(60)은 수평 상부 표면을 갖는 기판(9) 위로 수직으로 연장되고, 반도체 채널(60)의 제1 길이방향 표면 및 제2 길이방향 표면은 기판(9)의 수평 상부 표면에 수직이다.In the second embodiment shown in FIGS. 5A to 13D , the semiconductor channel 60 extends vertically above the substrate 9 with a horizontal upper surface, the first longitudinal surface of the semiconductor channel 60 and the second length. The directional surface is perpendicular to the horizontal upper surface of the substrate 9 .

일 실시예에서, 소스 영역(28)은 워드 라인들(46) 아래에 놓이는 기판(9)의 상부 부분에 위치되고, 워드 라인들(46) 각각은 기판(9)의 상부 표면으로부터 패스 게이트 전극들(36) 중 각자의 하나와 동일한 수직 거리에 위치되고, 워드 라인들(46) 각각은 패스 게이트 전극들(36) 중 각자의 하나와 동일한 재료 조성 및 그와 동일한 두께를 갖는다.In one embodiment, source region 28 is located in the upper portion of substrate 9 underlying word lines 46, each of which word lines 46 pass a gate electrode from the upper surface of substrate 9. Located at the same vertical distance as a respective one of the s 36 , each of the word lines 46 has the same material composition and the same thickness as a respective one of the pass gate electrodes 36 .

일 실시예에서, 워드 라인들(46)은 워드 라인 유형 스택(31) 내의 각자의 제1 절연 스트립들(32, 332)에 의해 서로 분리되고, 패스 게이트 전극들(36)은 패스 게이트 유형 스택(33) 내의 각자의 제2 절연 스트립들(32, 332)에 의해 서로 분리된다.In one embodiment, word lines 46 are separated from each other by respective first insulating strips 32, 332 in word line type stack 31, and pass gate electrodes 36 are pass gate type stack They are separated from each other by respective second insulating strips 32, 332 in 33.

일 실시예에서, 반도체 채널(60)은 외부 직사각형 주연부 및 내부 직사각형 주연부를 갖는 중공 직사각형 수평 단면 형상을 갖는다. 강유전성 재료 부분들(54, 154)은 제1 수평 방향(hd1)을 따라 측방향으로 이격되고 제1 수평 방향에 직각인 제2 수평 방향(hd2)으로 연장되는 강유전성 메모리 스트링들의 복수의 행들에 위치되며, 워드 라인들(46) 각각은 제1 수평 방향을 따라 측방향으로 연장되고 강유전성 메모리 스트링들의 복수의 행들 사이에서 공유되고, 패스 게이트 전극들(36) 각각은 제1 수평 방향을 따라 측방향으로 연장되고 강유전성 메모리 스트링들의 복수의 행들 사이에서 공유된다.In one embodiment, the semiconductor channel 60 has a hollow rectangular horizontal cross-sectional shape with an outer rectangular perimeter and an inner rectangular perimeter. Ferroelectric material portions (54, 154) are located in a plurality of rows of ferroelectric memory strings spaced laterally along a first horizontal direction (hd1) and extending in a second horizontal direction (hd2) perpendicular to the first horizontal direction. Each of the word lines 46 extends laterally along the first horizontal direction and is shared among a plurality of rows of ferroelectric memory strings, and each of the pass gate electrodes 36 extends laterally along the first horizontal direction. and is shared among a plurality of rows of ferroelectric memory strings.

제2, 제3 및 제4 실시예들에서, 3차원 메모리 디바이스는 기판(9) 위에 위치되는, 교번하는 제1 절연 스트립들(32, 332) 및 워드 라인들(46)의 워드 라인 유형 스택(31), 기판(9) 위에 위치되는, 교번하는 제2 절연 스트립들(32, 332) 및 패스 게이트 전극들(36)의 패스 게이트 유형 스택(33), 패스 게이트 유형 스택(33)과 워드 라인 유형 스택(31) 사이에 위치되는 수직 반도체 채널(60), 수직 반도체 채널(60)과 패스 게이트 유형 스택(33) 사이에 위치되는 게이트 유전체(52), 및 수직 반도체 채널(60)과 워드 라인 유형 스택(31) 사이에 위치되는 강유전성 재료 부분들(54, 154)을 포함한다.In the second, third and fourth embodiments, the three-dimensional memory device is a word line type stack of alternating first insulating strips 32, 332 and word lines 46 positioned over a substrate 9. 31, pass gate type stack 33 of alternating second insulating strips 32, 332 and pass gate electrodes 36, pass gate type stack 33 and word A vertical semiconductor channel 60 located between the line type stack 31, a gate dielectric 52 located between the vertical semiconductor channel 60 and the pass gate type stack 33, and a vertical semiconductor channel 60 and word and ferroelectric material portions (54, 154) positioned between the line type stack (31).

도 14a 내지 도 16b에 도시된 제3 실시예에서, 강유전성 재료 부분들(54, 154)은 워드 라인들(46)에 인접한 측방향 리세스들 내에 그리고 인접한 제1 절연 스트립들(32) 사이에 위치되는 개별 강유전성 재료 부분들(154)을 포함하고, 개별 강유전성 메모리 부분들(154)은 제1 절연 스트립들(32) 중 각자의 하나에 의해 서로 수직으로 분리된다.In the third embodiment shown in FIGS. 14A-16B , ferroelectric material portions 54 and 154 are provided within lateral recesses adjacent to word lines 46 and between adjacent first insulating strips 32 . The individual ferroelectric memory parts 154 are vertically separated from each other by a respective one of the first insulating strips 32 .

일 실시예에서, 디바이스는 수직 반도체 채널(60)의 제2 측벽과 접촉하고 개별 강유전성 메모리 부분들(154)과 접촉하는 층간 유전체 층(56)을 더 포함하고, 게이트 유전체 층(52)은 수직 반도체 채널(60)의 제1 측벽과 접촉하고 패스 게이트 유형 스택(33)과 접촉한다.In one embodiment, the device further includes an interlevel dielectric layer 56 in contact with the second sidewall of the vertical semiconductor channel 60 and in contact with the individual ferroelectric memory portions 154, wherein the gate dielectric layer 52 is in the vertical It contacts the first sidewall of the semiconductor channel 60 and contacts the pass gate type stack 33 .

일 실시예에서, 수직 반도체 채널(60)은 제1 측벽을 포함하는 제1 수직 연장 부분, 제2 측벽을 포함하는 제2 수직 연장 부분, 및 제1 수직 연장 부분의 저부 단부 및 제2 수직 연장 부분의 저부 단부에 인접하는 수평 부분을 포함한다.In one embodiment, the vertical semiconductor channel 60 comprises a first vertically extending portion comprising a first sidewall, a second vertically extending portion comprising a second sidewall, and a bottom end and second vertically extending portion of the first vertically extending portion. It includes a horizontal portion adjacent to the bottom end of the portion.

일 실시예에서, 디바이스는 유전체 필라 구조체들(364)의 쌍 및 수직 반도체 채널(60)의 제1 수직 연장 부분과 제2 수직 연장 부분 사이에 위치되고, 수직 반도체 채널(60)의 수평 부분 위에 놓이고, 유전체 필라 구조체들(364)의 쌍과 접촉하는 직사각형 유전체 코어(62)를 더 포함한다.In one embodiment, the device is positioned between the pair of dielectric pillar structures 364 and the first and second vertically extending portions of the vertical semiconductor channel 60 and over the horizontal portion of the vertical semiconductor channel 60. and a rectangular dielectric core 62 overlying and in contact with the pair of dielectric pillar structures 364 .

일 실시예에서, 수직 반도체 채널(60)은 제1 전도성 유형의 도핑을 갖는 반도체 재료를 포함하고, 제2 전도성 유형의 도핑을 갖는 반도체 재료를 포함하는 드레인 영역(68)은 수직 반도체 채널의 상부 단부와 접촉한다. 기판(9)은 수직 반도체 채널(60)에 전기적으로 접속된 소스 영역(28)을 포함한다.In one embodiment, the vertical semiconductor channel 60 includes a semiconductor material having a doping of a first conductivity type and the drain region 68 comprising a semiconductor material having a doping of a second conductivity type is at the top of the vertical semiconductor channel. make contact with the end Substrate 9 includes a source region 28 electrically connected to a vertical semiconductor channel 60 .

일 실시예에서, 차단 유전체 부분들(157)은 개별 강유전성 재료 부분들(154)과 워드 라인들(46) 사이의 측방향 리세스들 내에 위치된다. 차단 유전체 부분들(157) 각각은 워드 라인들(46) 중 각자의 하나와 접촉하고 그와 동일한 높이를 갖는다. 차단 유전체 부분들(157) 각각은 개별 강유전성 재료 부분들(154) 중 각자의 하나와 접촉하고 그와 동일한 높이 및 동일한 폭을 갖는다. 도 15a에 도시된 일 실시예에서, 제1 및 제2 절연 스트립들(332)은 내부에 각자의 공동(333)을 포함하며, 각각의 공동에는 임의의 고체상 재료가 없다.In one embodiment, blocking dielectric portions 157 are located in the lateral recesses between the individual ferroelectric material portions 154 and the word lines 46 . Each of the blocking dielectric portions 157 is in contact with and has the same height as a respective one of the word lines 46 . Each of the blocking dielectric portions 157 is in contact with and has the same height and the same width as a respective one of the individual ferroelectric material portions 154 . In one embodiment shown in FIG. 15A, the first and second insulating strips 332 include a respective cavity 333 therein, and each cavity is free of any solid-state material.

도 17a 내지 도 20에 도시된 제4 실시예에서, 강유전성 재료 부분들(54, 154)은 수직 반도체 채널(60)과 워드 라인 유형 스택(31) 사이에 위치되는 강유전성 재료 층(54)의 부분들을 포함한다. 더미 개별 강유전성 메모리 부분들(254)은 수직 반도체 채널(60)과 패스 게이트 전극들(36) 사이에, 패스 게이트 전극들(36)의 수직 레벨들 사이에서 제2 절연 스트립들(32, 332)에 인접한 수직 레벨에 위치된다. 패스 게이트 전극들(36)은 기판(9)으로부터 더미 개별 강유전성 메모리 부분들(254)과는 상이한 거리들에 위치된다.In the fourth embodiment shown in FIGS. 17A-20, ferroelectric material portions 54 and 154 are portions of ferroelectric material layer 54 located between vertical semiconductor channel 60 and word line type stack 31. include them Dummy individual ferroelectric memory portions 254 are formed between the vertical semiconductor channel 60 and the pass gate electrodes 36, between the vertical levels of the pass gate electrodes 36, the second insulating strips 32, 332 It is located on a vertical level adjacent to. The pass gate electrodes 36 are located at different distances from the substrate 9 than the dummy discrete ferroelectric memory portions 254 .

도 18a 및 도 18b에 도시된 일 실시예에서, 각각의 더미 개별 강유전성 메모리 부분(254)은, 제2 절연 스트립들(32)의 각자의 위에 놓이는 그리고 아래에 놓이는 돌출 부분들(32P)에 의해, 위에 놓이는 그리고 아래에 놓이는 패스 게이트 전극들(36)로부터 수직으로 이격된다.In one embodiment shown in FIGS. 18A and 18B , each dummy individual ferroelectric memory portion 254 is formed by respective overlying and underlying protruding portions 32P of second insulating strips 32 . , spaced vertically from the overlying and underlying pass gate electrodes 36 .

도 18c에 도시된 다른 실시예에서, 각각의 패스 게이트 전극(36)은 상부 및 하부 돌출 부분들(36P)을 포함한다. 패스 게이트 전극들(36)의 상부 및 하부 돌출 부분들(36P)은 기판(9)에 수직인 수직 방향으로 각자의 인접한 더미 개별 강유전성 메모리 부분들(254) 위에 놓이고 아래에 놓인다. 각각의 더미 개별 강유전성 메모리 부분(254)은, 기판(9)에 수직인 수직 방향으로, 아래에 놓이는 패스 게이트 전극의 각자의 위에 놓이는 돌출 부분과, 위에 놓이는 패스 게이트 전극의 아래에 놓이는 돌출 부분 사이에 위치된다.In another embodiment shown in FIG. 18C, each pass gate electrode 36 includes upper and lower protruding portions 36P. The upper and lower protruding portions 36P of the pass gate electrodes 36 overlie and underlie their respective adjacent dummy individual ferroelectric memory portions 254 in a vertical direction perpendicular to the substrate 9 . Each dummy individual ferroelectric memory portion 254 is formed between its respective overlying protruding portion of an underlying pass gate electrode and its underlying protruding portion of an overlying pass gate electrode, in a vertical direction perpendicular to the substrate 9. is located in

도 20에 도시된 일 실시예에서, 제1 절연 스트립들 및 제2 절연 스트립들(332)은 내부에 각자의 공동(333)을 포함하며, 각각의 공동에는 임의의 고체상 재료가 없다.In one embodiment shown in FIG. 20 , the first insulating strips and the second insulating strips 332 include a respective cavity 333 therein, and each cavity is free of any solid-state material.

전술한 내용이 특정 바람직한 실시예들을 언급하지만, 본 개시내용이 그렇게 제한되지 않는다는 것이 이해될 것이다. 다양한 수정들이 개시된 실시예들에 대해 이루어질 수 있고 그러한 수정들은 본 개시내용의 범주 내에 있도록 의도된다는 것이 당업자에게 떠오를 것이다. 서로 대안이 아닌 모든 실시예들 사이에서 호환성이 추정된다. 단어 "포함한다(comprise 또는 include)"는, 달리 명시적으로 언급되지 않는 한, 단어 "~로 본질적으로 이루어진다(consist essentially of)" 또는 단어 "~로 이루어진다"가 단어 "포함하다"를 대체하는 모든 실시예들을 고려한다. 특정 구조체 및/또는 구성을 이용하는 실시예가 본 개시내용에 도시되어 있는 경우, 본 개시내용은, 그러한 치환이 명백히 금지되거나 달리 당업자에게 불가능하다고 알려져 있지 않다면, 기능적으로 등가인 임의의 다른 호환가능한 구조체들 및/또는 구성들로 실시될 수 있음이 이해된다. 본 명세서에 인용된 모든 간행물, 특허 출원 및 특허는 전체적으로 본 명세서에 참고로 포함된다.While the foregoing refers to certain preferred embodiments, it will be understood that the present disclosure is not so limited. It will occur to those skilled in the art that various modifications can be made to the disclosed embodiments and that such modifications are intended to fall within the scope of the present disclosure. Compatibility is assumed between all embodiments, not alternatives to each other. The word “comprise” or “include” means, unless expressly stated otherwise, that the word “consist essentially of” or the word “consist of” replaces the word “comprise”. All embodiments are considered. Where an embodiment using a particular structure and/or configuration is shown in this disclosure, this disclosure does not cover any other compatible structures that are functionally equivalent, unless such substitution is expressly prohibited or otherwise known to those skilled in the art to be impossible. and/or configurations. All publications, patent applications and patents cited herein are hereby incorporated by reference in their entirety.

Claims (20)

3차원 메모리 디바이스로서,
기판 위에 위치되는, 교번하는 제1 절연 스트립들 및 워드 라인들의 워드 라인 유형 스택;
상기 기판 위에 위치되는, 교번하는 제2 절연 스트립들 및 패스 게이트 전극들의 패스 게이트 유형 스택;
상기 패스 게이트 유형 스택과 상기 워드 라인 유형 스택 사이에 위치되는 수직 반도체 채널;
상기 수직 반도체 채널과 상기 패스 게이트 유형 스택 사이에 위치되는 게이트 유전체; 및
상기 수직 반도체 채널과 상기 워드 라인 유형 스택 사이에 위치되는 강유전성 재료 부분들을 포함하는, 3차원 메모리 디바이스.
As a three-dimensional memory device,
a word line type stack of alternating first insulating strips and word lines positioned over a substrate;
a pass gate type stack of alternating second insulating strips and pass gate electrodes positioned over the substrate;
a vertical semiconductor channel positioned between the pass gate type stack and the word line type stack;
a gate dielectric positioned between the vertical semiconductor channel and the pass gate type stack; and
and portions of ferroelectric material positioned between the vertical semiconductor channel and the word line type stack.
제1항에 있어서,
상기 강유전성 재료 부분들은 상기 워드 라인들에 인접한 측방향 리세스들 내에, 그리고 인접한 제1 절연 스트립들 사이에 위치되는 개별 강유전성 재료 부분들을 포함하고;
상기 개별 강유전성 메모리 부분들은 상기 제1 절연 스트립들 중 각자의 하나에 의해 서로 수직으로 분리되는, 3차원 메모리 디바이스.
According to claim 1,
said ferroelectric material portions comprising individual ferroelectric material portions positioned within lateral recesses adjacent to said word lines and between adjacent first insulating strips;
wherein the individual ferroelectric memory portions are vertically separated from each other by a respective one of the first insulating strips.
제2항에 있어서,
상기 수직 반도체 채널의 제2 측벽과 접촉하고 상기 개별 강유전성 메모리 부분들과 접촉하는 층간 유전체 층을 더 포함하며, 상기 게이트 유전체 층은 상기 수직 반도체 채널의 제1 측벽과 접촉하고 상기 패스 게이트 유형 스택과 접촉하는, 3차원 메모리 디바이스.
According to claim 2,
and an interlayer dielectric layer in contact with the second sidewall of the vertical semiconductor channel and in contact with the individual ferroelectric memory portions, wherein the gate dielectric layer contacts the first sidewall in the vertical semiconductor channel and in contact with the pass gate type stack. Contact, three-dimensional memory device.
제3항에 있어서,
상기 수직 반도체 채널은,
상기 제1 측벽을 포함하는 제1 수직 연장 부분;
상기 제2 측벽을 포함하는 제2 수직 연장 부분; 및
상기 제1 수직 연장 부분의 저부 단부 및 상기 제2 수직 연장 부분의 저부 단부에 인접하는 수평 부분을 포함하는, 3차원 메모리 디바이스.
According to claim 3,
The vertical semiconductor channel,
a first vertically extending portion including the first sidewall;
a second vertically extending portion including the second sidewall; and
and a horizontal portion adjacent to a bottom end of the first vertically extending portion and a bottom end of the second vertically extending portion.
제4항에 있어서,
유전체 필라 구조체들의 쌍; 및
상기 수직 반도체 채널의 상기 제1 수직 연장 부분과 상기 제2 수직 연장 부분 사이에 위치되고, 상기 수직 반도체 채널의 상기 수평 부분 위에 놓이고, 상기 유전체 필라 구조체들의 쌍과 접촉하는 직사각형 유전체 코어를 더 포함하는, 3차원 메모리 디바이스.
According to claim 4,
a pair of dielectric pillar structures; and
a rectangular dielectric core positioned between the first vertically extending portion and the second vertically extending portion of the vertical semiconductor channel, overlying the horizontal portion of the vertical semiconductor channel, and in contact with the pair of dielectric pillar structures; , a three-dimensional memory device.
제4항에 있어서,
상기 수직 반도체 채널은 제1 전도성 유형의 도핑을 갖는 반도체 재료를 포함하고;
제2 전도성 유형의 도핑을 갖는 반도체 재료를 포함하는 드레인 영역이 상기 수직 반도체 채널의 상부 단부와 접촉하는, 3차원 메모리 디바이스.
According to claim 4,
said vertical semiconductor channel comprising a semiconductor material having a doping of a first conductivity type;
wherein a drain region comprising a semiconductor material having a doping of a second conductivity type contacts an upper end of the vertical semiconductor channel.
제6항에 있어서, 상기 기판은 상기 수직 반도체 채널에 전기적으로 접속된 소스 영역을 포함하는, 3차원 메모리 디바이스.7. The device of claim 6, wherein the substrate includes a source region electrically connected to the vertical semiconductor channel. 제2항에 있어서, 상기 개별 강유전성 재료 부분들과 상기 워드 라인들 사이의 상기 측방향 리세스들 내에 위치되는 차단 유전체 부분들을 더 포함하는, 3차원 메모리 디바이스.3. The device of claim 2, further comprising blocking dielectric portions positioned within the lateral recesses between the individual ferroelectric material portions and the word lines. 제8항에 있어서, 상기 차단 유전체 부분들 각각은 상기 워드 라인들 중 각자의 하나와 접촉하고 그와 동일한 높이를 갖는, 3차원 메모리 디바이스.9. The three-dimensional memory device of claim 8, wherein each of the blocking dielectric portions is in contact with and has the same height as a respective one of the word lines. 제8항에 있어서, 상기 차단 유전체 부분들 각각은 상기 개별 강유전성 재료 부분들 중 각자의 하나와 접촉하고 그와 동일한 높이 및 동일한 폭을 갖는, 3차원 메모리 디바이스.9. The device of claim 8, wherein each of the blocking dielectric portions is in contact with and has the same height and the same width as a respective one of the individual ferroelectric material portions. 제2항에 있어서, 상기 제1 절연 스트립들 및 상기 제2 절연 스트립들은 내부에 각자의 공동을 포함하며, 각각의 공동에는 임의의 고체상 재료가 없는, 3차원 메모리 디바이스.3. The device of claim 2, wherein the first insulating strips and the second insulating strips include a respective cavity therein, each cavity being free of any solid-state material. 제1항에 있어서, 강유전성 재료 부분들은 상기 수직 반도체 채널과 상기 워드 라인 유형 스택 사이에 위치되는 강유전성 재료 층의 부분들을 포함하는, 3차원 메모리 디바이스.3. The device of claim 1, wherein portions of ferroelectric material include portions of a layer of ferroelectric material positioned between the vertical semiconductor channel and the word line type stack. 제12항에 있어서, 상기 패스 게이트 전극들의 수직 레벨들 사이에서 상기 제2 절연 스트립들에 인접한 수직 레벨에서 상기 수직 반도체 채널과 상기 패스 게이트 전극들 사이에 위치되는 더미 개별 강유전성 메모리 부분들을 더 포함하는, 3차원 메모리 디바이스.13. The method of claim 12, further comprising dummy discrete ferroelectric memory portions positioned between the vertical semiconductor channel and the pass gate electrodes at a vertical level adjacent to the second insulating strips between the vertical levels of the pass gate electrodes. , a three-dimensional memory device. 제13항에 있어서, 상기 패스 게이트 전극들은 상기 기판으로부터 상기 더미 개별 강유전성 메모리 부분들과는 상이한 거리들에 위치되는, 3차원 메모리 디바이스.14. The device of claim 13, wherein the pass gate electrodes are located at different distances from the substrate than the dummy individual ferroelectric memory portions. 제13항에 있어서, 각각의 더미 개별 강유전성 메모리 부분은, 상기 제2 절연 스트립들의 각자의 위에 놓이는 그리고 아래에 놓이는 돌출 부분들에 의해, 위에 놓이는 그리고 아래에 놓이는 패스 게이트 전극들로부터 수직으로 이격되는, 3차원 메모리 디바이스.14. The method of claim 13, wherein each dummy individual ferroelectric memory portion is vertically spaced from the overlying and underlying pass gate electrodes by overlying and underlying protruding portions of each of the second insulating strips. , a three-dimensional memory device. 제13항에 있어서, 각각의 패스 게이트 전극은 상부 및 하부 돌출 부분들을 포함하는, 3차원 메모리 디바이스.14. The three-dimensional memory device of claim 13, wherein each pass gate electrode includes upper and lower protruding portions. 제16항에 있어서, 상기 패스 게이트 전극들의 상기 상부 및 하부 돌출 부분들은 상기 기판에 수직인 상기 수직 방향으로 각자의 인접한 더미 개별 강유전성 메모리 부분들 위에 놓이고 아래에 놓이는, 3차원 메모리 디바이스.17. The device of claim 16, wherein the upper and lower protruding portions of the pass gate electrodes overlie and underlie respective adjacent dummy individual ferroelectric memory portions in the vertical direction perpendicular to the substrate. 제17항에 있어서, 각각의 더미 개별 강유전성 메모리 부분은, 상기 기판에 수직인 수직 방향으로, 아래에 놓이는 패스 게이트 전극의 각자의 위에 놓이는 돌출 부분과, 위에 놓이는 패스 게이트 전극의 아래에 놓이는 돌출 부분 사이에 위치되는, 3차원 메모리 디바이스.18. The method of claim 17, wherein each dummy individual ferroelectric memory portion comprises, in a vertical direction perpendicular to the substrate, a protruding portion overlying each of an underlying pass gate electrode and a protruding portion underlying an overlying pass gate electrode. A three-dimensional memory device, located between. 제12항에 있어서, 상기 제1 절연 스트립들 및 상기 제2 절연 스트립들은 내부에 각자의 공동을 포함하며, 각각의 공동에는 임의의 고체상 재료가 없는, 3차원 메모리 디바이스.13. The device of claim 12, wherein the first insulating strips and the second insulating strips include a respective cavity therein, each cavity being free of any solid-state material. 제1항에 있어서, 상기 강유전성 재료 부분들은 Al, Zr 또는 Si 중 적어도 하나로부터 선택되는 적어도 하나의 도펀트를 포함하는 사방정계 상 하프늄 산화물을 포함하는, 3차원 메모리 디바이스.2. The three-dimensional memory device of claim 1, wherein the ferroelectric material portions comprise orthorhombic phase hafnium oxide comprising at least one dopant selected from at least one of Al, Zr or Si.
KR1020237017845A 2019-06-28 2019-12-30 Ferroelectric memory device containing word lines and pass gates and method of forming the same KR102638555B1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US16/457,721 2019-06-28
US16/457,721 US10811431B1 (en) 2019-06-28 2019-06-28 Ferroelectric memory device containing word lines and pass gates and method of forming the same
US16/457,687 2019-06-28
US16/457,687 US10868042B1 (en) 2019-06-28 2019-06-28 Ferroelectric memory device containing word lines and pass gates and method of forming the same
KR1020217019754A KR102593318B1 (en) 2019-06-28 2019-12-30 Ferroelectric memory device including word lines and pass gates and method of forming same
PCT/US2019/068871 WO2020263339A1 (en) 2019-06-28 2019-12-30 Ferroelectric memory device containing word lines and pass gates and method of forming the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217019754A Division KR102593318B1 (en) 2019-06-28 2019-12-30 Ferroelectric memory device including word lines and pass gates and method of forming same

Publications (2)

Publication Number Publication Date
KR20230079248A true KR20230079248A (en) 2023-06-05
KR102638555B1 KR102638555B1 (en) 2024-02-21

Family

ID=74060740

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217019754A KR102593318B1 (en) 2019-06-28 2019-12-30 Ferroelectric memory device including word lines and pass gates and method of forming same
KR1020237017845A KR102638555B1 (en) 2019-06-28 2019-12-30 Ferroelectric memory device containing word lines and pass gates and method of forming the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217019754A KR102593318B1 (en) 2019-06-28 2019-12-30 Ferroelectric memory device including word lines and pass gates and method of forming same

Country Status (4)

Country Link
EP (1) EP3991205A4 (en)
KR (2) KR102593318B1 (en)
CN (1) CN113179666A (en)
WO (1) WO2020263339A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115881623A (en) * 2021-08-19 2023-03-31 长鑫存储技术有限公司 Semiconductor device and method for manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120064681A1 (en) * 2008-12-31 2012-03-15 Kim Jingyun Semiconductor Memory Device And Method Of Forming The Same
US20170148805A1 (en) * 2015-11-20 2017-05-25 Sandisk Technologies Inc. 3d semicircular vertical nand string with recessed inactive semiconductor channel sections
US20170278858A1 (en) * 2016-03-22 2017-09-28 Schiltron Corporation Monolithic 3-d dynamic memory and method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5190275B2 (en) * 2008-01-09 2013-04-24 パナソニック株式会社 Semiconductor memory cell and semiconductor memory array using the same
US9553146B2 (en) * 2014-06-05 2017-01-24 Sandisk Technologies Llc Three dimensional NAND device having a wavy charge storage layer
US20160118404A1 (en) * 2014-10-09 2016-04-28 Haibing Peng Three-dimensional non-volatile ferroelectric random access memory
US9941299B1 (en) * 2017-05-24 2018-04-10 Sandisk Technologies Llc Three-dimensional ferroelectric memory device and method of making thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120064681A1 (en) * 2008-12-31 2012-03-15 Kim Jingyun Semiconductor Memory Device And Method Of Forming The Same
US20170148805A1 (en) * 2015-11-20 2017-05-25 Sandisk Technologies Inc. 3d semicircular vertical nand string with recessed inactive semiconductor channel sections
US20170278858A1 (en) * 2016-03-22 2017-09-28 Schiltron Corporation Monolithic 3-d dynamic memory and method

Also Published As

Publication number Publication date
KR102638555B1 (en) 2024-02-21
KR20210095193A (en) 2021-07-30
EP3991205A4 (en) 2023-07-12
KR102593318B1 (en) 2023-10-25
CN113179666A (en) 2021-07-27
WO2020263339A1 (en) 2020-12-30
EP3991205A1 (en) 2022-05-04

Similar Documents

Publication Publication Date Title
US10490570B2 (en) Method of fabricating vertical memory devices having a plurality of vertical channels on a channel layer
US10868042B1 (en) Ferroelectric memory device containing word lines and pass gates and method of forming the same
US10811431B1 (en) Ferroelectric memory device containing word lines and pass gates and method of forming the same
US9960181B1 (en) Three-dimensional memory device having contact via structures in overlapped terrace region and method of making thereof
EP3420595B1 (en) Within-array through-memory-level via structures
US9373635B2 (en) Semiconductor memory devices and methods of fabricating the same
KR100855990B1 (en) Non-volatile memory device and method of fabricating the same
US8274108B2 (en) Nonvolatile semiconductor memory device and method for manufacturing the same
US20190333930A1 (en) Three-dimensional nor-type memory device and method of making the same
KR101110355B1 (en) 3d stacked array having cut-off gate line and fabrication method thereof
US8564046B2 (en) Vertical semiconductor devices
US20160071876A1 (en) Multi-charge region memory cells for a vertical nand device
US20170062471A1 (en) Semiconductor memory device
JP6523197B2 (en) Nonvolatile semiconductor memory device and method of manufacturing the same
KR102615561B1 (en) Three-dimensional ferroelectric memory array including integrated gate selector and method of forming the same
CN110729298B (en) Semiconductor memory device, semiconductor device, and method of manufacturing semiconductor device
US11631690B2 (en) Three-dimensional memory device including trench-isolated memory planes and method of making the same
KR102622763B1 (en) Ferroelectric memory device including series-connected select gate transistor and method of forming the same
KR101002293B1 (en) Stacked nonvolatile memory cell device having floating body, and nonvolatile memory cell stack, nonvolatile memory cell string, nonvolatile memory cell array using the cell device, and fabricating method thereof
KR102638555B1 (en) Ferroelectric memory device containing word lines and pass gates and method of forming the same
KR101362219B1 (en) Memory cell string stack with common body and memory array using the same
US11778819B2 (en) NAND flash memory with reduced planar size
CN113299660A (en) Three-dimensional memory device and method of manufacturing the same
KR101091023B1 (en) Memory cell string stack and memory array using the same
TWI744954B (en) Nand-type flash memory and manufacturing method thereof

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right