KR20230047970A - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
KR20230047970A
KR20230047970A KR1020230023671A KR20230023671A KR20230047970A KR 20230047970 A KR20230047970 A KR 20230047970A KR 1020230023671 A KR1020230023671 A KR 1020230023671A KR 20230023671 A KR20230023671 A KR 20230023671A KR 20230047970 A KR20230047970 A KR 20230047970A
Authority
KR
South Korea
Prior art keywords
lower electrode
layer
electrode layer
supporter
semiconductor device
Prior art date
Application number
KR1020230023671A
Other languages
Korean (ko)
Inventor
한동욱
안지훈
이현석
정형석
최효빈
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020230023671A priority Critical patent/KR20230047970A/en
Publication of KR20230047970A publication Critical patent/KR20230047970A/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line

Abstract

The present disclosure relates to a semiconductor device with improved reliability and productivity and a manufacturing method thereof. According to one embodiment of the present invention, the semiconductor device comprises a substrate and a capacitor structure positioned over the substrate. The capacitor structure includes: a plurality of lower electrodes separated from each other; a supporter positioned between the plurality of lower electrodes; an upper electrode covering the plurality of lower electrodes; and a dielectric layer positioned between the lower electrodes and the upper electrode. The plurality of lower electrodes each include a first lower electrode layer and a second lower electrode layer surrounded by the first lower electrode layer. The first lower electrode layer contains a first material doped with a second material. The second lower electrode layer contains a third material different from the first material and the second material. The doping concentration of the second material becomes higher toward the second lower electrode layer.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME}Semiconductor device and manufacturing method thereof

본 개시는 반도체 장치 및 그 제조 방법에 관한 것이다.The present disclosure relates to a semiconductor device and a manufacturing method thereof.

반도체 장치의 다운스케일링에 따라 DRAM 장치의 커패시터 구조체의 크기 또한 축소되고 있다. 이에 따라, 커패시터 구조체에서 공간적 한계 및 디자인 룰(design rule)의 한계를 극복하고 커패시터 구조체의 유전막이 비교적 작은 두께를 가지는 경우에도 커패시터 구조체에서의 누설 전류를 감소시키고 원하는 전기적 특성을 유지할 수 있는 구조를 개발할 필요가 있다.As the semiconductor device is downscaling, the size of the capacitor structure of the DRAM device is also being reduced. Accordingly, a structure capable of overcoming spatial limitations and design rule limitations in the capacitor structure, reducing leakage current in the capacitor structure even when the dielectric film of the capacitor structure has a relatively small thickness, and maintaining desired electrical characteristics need to develop

실시예들은 신뢰성 및 생산성이 향상된 반도체 장치 및 그 제조 방법을 제공하기 위한 것이다.Embodiments are intended to provide a semiconductor device with improved reliability and productivity and a manufacturing method thereof.

일 실시예에 따른 반도체 장치는 기판, 및 상기 기판 위에 위치하는 커패시터 구조체를 포함하고, 상기 커패시터 구조체는, 서로 이격되어 있는 복수의 하부 전극들, 상기 복수의 하부 전극들 사이에 위치하는 서포터, 상기 복수의 하부 전극들을 덮는 상부 전극, 및 상기 하부 전극들과 상기 상부 전극 사이에 위치하는 유전층을 포함하고, 상기 복수의 하부 전극들 각각은 제1 하부 전극층, 및 상기 제1 하부 전극층에 의해 둘러싸여 있는 제2 하부 전극층을 포함하고, 상기 제1 하부 전극층은 제1 물질로 도핑되어 있는 제2 물질을 포함하고, 상기 제2 하부 전극층은 상기 제1 물질 및 상기 제2 물질과 상이한 제3 물질을 포함하고, 상기 제1 물질의 도핑 농도는 상기 제2 하부 전극층에 가까울수록 증가한다.A semiconductor device according to an embodiment includes a substrate and a capacitor structure disposed on the substrate, wherein the capacitor structure includes a plurality of lower electrodes spaced apart from each other, a supporter disposed between the plurality of lower electrodes, and the plurality of lower electrodes. An upper electrode covering a plurality of lower electrodes, and a dielectric layer positioned between the lower electrodes and the upper electrode, wherein each of the plurality of lower electrodes is surrounded by a first lower electrode layer and the first lower electrode layer. and a second lower electrode layer, wherein the first lower electrode layer includes a second material doped with a first material, and the second lower electrode layer includes a third material different from the first material and the second material. And, the doping concentration of the first material increases as it is closer to the second lower electrode layer.

상기 제3 물질은 상기 제2 물질에 비해 강성이 높을 수 있다.The third material may have higher rigidity than the second material.

상기 제2 물질은 TiN, TaN, NbN, MoN, 및 WN 중 적어도 어느 하나를 포함하고, 상기 제3 물질은 TSN(Ti-Si-N)을 포함할 수 있다.The second material may include at least one of TiN, TaN, NbN, MoN, and WN, and the third material may include Ti-Si-N (TSN).

상기 제1 물질은 Nb, V, Cr, Ta, Mo, W, Co, Rh, 및 Ir 중 적어도 어느 하나를 포함할 수 있다.The first material may include at least one of Nb, V, Cr, Ta, Mo, W, Co, Rh, and Ir.

상기 서포터는 상기 복수의 하부 전극들의 상부 영역 사이에 위치하는 제1 서포터, 및 상기 복수의 하부 전극들의 중심부 영역 사이에 위치하는 제2 서포터를 포함할 수 있다.The supporter may include a first supporter positioned between upper regions of the plurality of lower electrodes and a second supporter positioned between central regions of the plurality of lower electrodes.

상기 유전층은 상기 서포터와 상기 상부 전극 사이에 더 위치할 수 있다.The dielectric layer may be further positioned between the supporter and the upper electrode.

일 실시예에 따른 반도체 장치의 제조 방법은 기판 위에 몰드층 및 서포터를 적층하는 단계, 상기 몰드층 및 상기 서포터를 관통하는 개구부를 형성하는 단계,A method of manufacturing a semiconductor device according to an embodiment includes stacking a mold layer and a supporter on a substrate, forming an opening penetrating the mold layer and the supporter,

상기 개구부 내에 제1 하부 전극층을 형성하는 단계, 상기 제1 하부 전극층 위에 소스 물질층을 형성하는 단계, 열처리 공정을 진행하여 상기 소스 물질층에 포함되어 있는 제1 물질을 상기 제1 하부 전극층 내부로 확산시키는 단계, 상기 소스 물질층을 제거하는 단계, 상기 제1 하부 전극층의 제1 면 위에 제2 하부 전극층을 형성하는 단계, 상기 몰드층을 제거하는 단계, 상기 제1 하부 전극층의 제2 면 위에 유전층을 형성하는 단계, 및 상기 유전층 위에 상부 전극을 형성하는 단계를 포함하고, 상기 소스 물질층은 상기 제1 물질을 포함하는 산화물로 이루어진다.Forming a first lower electrode layer in the opening, forming a source material layer on the first lower electrode layer, and performing a heat treatment process so that the first material included in the source material layer is introduced into the first lower electrode layer. Diffusion step, removing the source material layer, forming a second lower electrode layer on the first surface of the first lower electrode layer, removing the mold layer, on the second surface of the first lower electrode layer Forming a dielectric layer, and forming an upper electrode on the dielectric layer, wherein the source material layer is made of an oxide containing the first material.

상기 제1 물질은 Nb, V, Cr, Ta, Mo, W, Co, Rh, 및 Ir 중 적어도 어느 하나를 포함할 수 있다.The first material may include at least one of Nb, V, Cr, Ta, Mo, W, Co, Rh, and Ir.

상기 제1 물질을 상기 제1 하부 전극층 내부로 확산시켜 상기 제1 하부 전극층이 상기 제1 물질로 도핑되고, 상기 제1 물질의 도핑 농도는 상기 제2 하부 전극층에 가까울수록 증가할 수 있다.The first lower electrode layer may be doped with the first material by diffusing the first material into the first lower electrode layer, and the doping concentration of the first material may increase as it is closer to the second lower electrode layer.

상기 제1 하부 전극층은 TiN, TaN, NbN, MoN, 및 WN 중 적어도 어느 하나를 포함하고, 상기 제2 하부 전극층은 TSN(Ti-Si-N)을 포함할 수 있다.The first lower electrode layer may include at least one of TiN, TaN, NbN, MoN, and WN, and the second lower electrode layer may include Ti-Si-N (TSN).

실시예들에 따르면, 하부 전극의 내측면으로부터 외측면을 향해 금속 원자를 확산시켜 하부 전극을 도핑하므로, 하부 전극 외측면에 잔존하는 금속 원자 및 소스 물질층을 식각하는 공정 단계를 생략할 수 있다. 이에 따라, 식각 공정에 의해 하부 전극의 외측면이 손상되는 것을 방지하여, 하부 전극의 외측면에 증착되는 유전층의 결정성을 향상시킬 수 있다.According to embodiments, since the lower electrode is doped by diffusing metal atoms from the inner surface toward the outer surface of the lower electrode, a process step of etching the metal atoms remaining on the outer surface of the lower electrode and the source material layer may be omitted. . Accordingly, it is possible to prevent the outer surface of the lower electrode from being damaged by the etching process, thereby improving the crystallinity of the dielectric layer deposited on the outer surface of the lower electrode.

몰드 구조체를 제거하기 전 커패시터 구조체의 하부 전극을 형성하므로, 후속 공정 단계에서 몰드 구조체에 의해 하부 전극이 벤딩(bending) 또는 손상되는 것을 방지할 수 있다.Since the lower electrode of the capacitor structure is formed before removing the mold structure, it is possible to prevent the lower electrode from being bent or damaged by the mold structure in a subsequent process step.

또한, 하부 전극은 제1 물질을 포함하는 제1 하부 전극층과 제1 물질보다 강성이 높은 제2 물질을 포함하는 제2 하부 전극층으로 이루어질 수 있다. 이에 의해, 공정 단계에서 높은 강성을 갖는 제2 하부 전극층에 의해 하부 전극이 손상되거나, 변형되는 것을 방지할 수 있다.Also, the lower electrode may include a first lower electrode layer including a first material and a second lower electrode layer including a second material having a higher rigidity than the first material. Accordingly, it is possible to prevent the lower electrode from being damaged or deformed by the second lower electrode layer having high rigidity during a process step.

따라서, 커패시터를 포함하는 반도체 장치의 신뢰성 및 생산성이 향상될 수 있다.Accordingly, reliability and productivity of the semiconductor device including the capacitor may be improved.

도 1은 일 실시예에 따른 반도체 장치를 나타내는 레이아웃도이다.
도 2는 도 1의 Ⅰ-Ⅰ'을 따라 절단한 단면도이다.
도 3은 도 2의 A 영역의 확대도이다.
도 4는 하부 전극 내에서의 위치에 따른 도펀트의 농도를 개략적으로 도시한 그래프이다.
도 5 및 도 6은 몇몇 실시예에 따른 도 1의 Ⅰ-Ⅰ'을 따라 절단한 단면도이다.
도 7 내지 도 19는 일 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 개략적인 단면도들이다.
1 is a layout diagram illustrating a semiconductor device according to an exemplary embodiment.
FIG. 2 is a cross-sectional view taken along line Ⅰ-Ⅰ′ in FIG. 1 .
FIG. 3 is an enlarged view of area A of FIG. 2 .
4 is a graph schematically showing the concentration of a dopant according to a position in a lower electrode.
5 and 6 are cross-sectional views taken along line II′ of FIG. 1 according to some embodiments.
7 to 19 are schematic cross-sectional views illustrating a method of manufacturing a semiconductor device according to an exemplary embodiment.

이하, 첨부한 도면을 참고로 하여 본 발명의 여러 실시예들에 대하여 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예들에 한정되지 않는다.Hereinafter, various embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art can easily carry out the present invention. This invention may be embodied in many different forms and is not limited to the embodiments set forth herein.

본 발명을 명확하게 설명하기 위해서 설명과 관계없는 부분은 생략하였으며, 명세서 전체를 통하여 동일 또는 유사한 구성요소에 대해서는 동일한 참조 부호를 붙이도록 한다.In order to clearly describe the present invention, parts irrelevant to the description are omitted, and the same reference numerals are assigned to the same or similar components throughout the specification.

또한, 도면에서 나타난 각 구성의 크기 및 두께는 설명의 편의를 위해 임의로 나타내었으므로, 본 발명이 반드시 도시된 바에 한정되지 않는다. 도면에서 여러 층 및 영역을 명확하게 표현하기 위하여 두께를 확대하여 나타내었다. 그리고 도면에서, 설명의 편의를 위해, 일부 층 및 영역의 두께를 과장되게 나타내었다.In addition, since the size and thickness of each component shown in the drawings are arbitrarily shown for convenience of explanation, the present invention is not necessarily limited to the shown bar. In the drawings, the thickness is shown enlarged to clearly express the various layers and regions. And in the drawings, for convenience of explanation, the thicknesses of some layers and regions are exaggerated.

또한, 층, 막, 영역, 판 등의 부분이 다른 부분 "위에" 또는 "상에" 있다고 할 때, 이는 다른 부분 "바로 위에" 있는 경우뿐 아니라 그 중간에 또 다른 부분이 있는 경우도 포함한다. 반대로 어떤 부분이 다른 부분 "바로 위에" 있다고 할 때에는 중간에 다른 부분이 없는 것을 뜻한다. 또한, 기준이 되는 부분 "위에" 또는 "상에" 있다고 하는 것은 기준이 되는 부분의 위 또는 아래에 위치하는 것이고, 반드시 중력 반대 방향 쪽으로 "위에" 또는 "상에" 위치하는 것을 의미하는 것은 아니다.In addition, when a part such as a layer, film, region, plate, etc. is said to be "on" or "on" another part, this includes not only the case where it is "directly on" the other part, but also the case where another part is in the middle. . Conversely, when a part is said to be "directly on" another part, it means that there is no other part in between. In addition, being "above" or "on" a reference part means being located above or below the reference part, and does not necessarily mean being located "above" or "on" in the opposite direction of gravity. .

또한, 명세서 전체에서, 어떤 부분이 어떤 구성요소를 "포함" 한다고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있는 것을 의미한다.In addition, throughout the specification, when a certain component is said to "include", it means that it may further include other components without excluding other components unless otherwise stated.

또한, 명세서 전체에서, "평면상"이라 할 때, 이는 대상 부분을 위에서 보았을 때를 의미하며, "단면상"이라 할 때, 이는 대상 부분을 수직으로 자른 단면을 옆에서 보았을 때를 의미한다.In addition, throughout the specification, when it is referred to as "planar image", it means when the target part is viewed from above, and when it is referred to as "cross-sectional image", it means when a cross section of the target part cut vertically is viewed from the side.

도 1은 일 실시예에 따른 반도체 장치를 나타내는 레이아웃도이다. 도 2는 도 1의 Ⅰ-Ⅰ'을 따라 절단한 단면도이다. 도 3은 도 2의 A 영역을 확대한 확대도이다. 도 4는 하부 전극 내에서의 위치에 따른 도펀트의 농도를 개략적으로 도시한 그래프이다.1 is a layout diagram illustrating a semiconductor device according to an exemplary embodiment. FIG. 2 is a cross-sectional view taken along line Ⅰ-Ⅰ′ in FIG. 1 . FIG. 3 is an enlarged view of region A of FIG. 2 . 4 is a graph schematically showing the concentration of a dopant according to a position in a lower electrode.

도 1 내지 도 3을 참조하면, 기판(110)은 소자 분리막(112)에 의해 정의되는 활성 영역(AC)을 구비할 수 있다. 몇몇 실시예에서, 기판(110)은 Si, Ge, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 반도체 물질을 포함할 수 있다. 몇몇 실시예에서, 기판(110)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well), 또는 불순물이 도핑된 구조물을 포함할 수 있다.Referring to FIGS. 1 to 3 , the substrate 110 may have an active region AC defined by an isolation layer 112 . In some embodiments, substrate 110 may include Si, Ge, or a semiconductor material such as SiGe, SiC, GaAs, InAs, or InP. In some embodiments, the substrate 110 may include a conductive region, for example, a well doped with impurities, or a structure doped with impurities.

소자 분리막(112)은 STI (Shallow Trench Isolation) 구조를 가질 수 있다. 예를 들어, 소자 분리막(112)은 기판(110) 내에 형성된 소자 분리 트렌치(112T)를 채우는 절연 물질을 포함할 수 있다. 상기 절연 물질은 FSG(Fluoride Silicate Glass), USG (Undoped Silicate Glass), BPSG (Boro-Phospho-Silicate Glass), PSG(Phospho-Silicate Glass), FOX(Flowable Oxide), PE-TEOS(Plasma Enhanced Tetra-Ethyl-Ortho-Silicate), 또는 TOSZ(Tonen Silazene)을 포함할 수 있으나, 이에 한정되는 것은 아니며, 다양하게 변형될 수 있다.The device isolation layer 112 may have a shallow trench isolation (STI) structure. For example, the device isolation layer 112 may include an insulating material filling the device isolation trench 112T formed in the substrate 110 . The insulating material is FSG (Fluoride Silicate Glass), USG (Undoped Silicate Glass), BPSG (Boro-Phospho-Silicate Glass), PSG (Phospho-Silicate Glass), FOX (Flowable Oxide), PE-TEOS (Plasma Enhanced Tetra- Ethyl-Ortho-Silicate), or TOSZ (Tonen Silazene), but is not limited thereto, and may be variously modified.

활성 영역(AC)은 평면상 각각 단축 및 장축을 가지는 비교적 긴 아일랜드 형상을 가질 수 있다. 도 1에 도시된 것과 같이, 활성 영역(AC)의 장축은 기판(110)의 상부면에 평행한 대각선 방향(DR1)을 따라 배열될 수 있다. 몇몇 실시예에 있어서, 활성 영역(AC)에 P형 또는 N형 불순물들이 도핑될 수 있다.The active region AC may have a relatively long island shape having a minor axis and a major axis, respectively, on a plane. As shown in FIG. 1 , the long axis of the active region AC may be arranged along a diagonal direction DR1 parallel to the upper surface of the substrate 110 . In some embodiments, P-type or N-type impurities may be doped in the active region AC.

게이트 라인 트렌치(120T)는 활성 영역(AC)과 교차하며, 기판(110) 상부면으로부터 수직 방향인 제3 방향(Z 방향)을 향해 소정의 깊이로 형성될 수 있다. 게이트 라인 트렌치(120T)의 일부분은 소자 분리막(112) 내부로 연장될 수 있고, 소자 분리막(112) 내에 형성되는 게이트 라인 트렌치(120T)의 일부분은 활성 영역(AC) 내에 형성되는 게이트 라인 트렌치(120T)의 일부분보다 낮은 레벨에 위치하는 바닥면을 가질 수 있다.The gate line trench 120T crosses the active region AC and may be formed to a predetermined depth from the top surface of the substrate 110 toward a vertical third direction (Z direction). A portion of the gate line trench 120T may extend into the device isolation layer 112, and a portion of the gate line trench 120T formed in the device isolation layer 112 may be a gate line trench formed in the active region AC ( 120T) may have a bottom surface located at a lower level than a portion of the lower part.

게이트 라인 트렌치(120T) 양 측에 위치하는 활성 영역(AC)의 상부 영역에는 제1 소스/드레인 영역(114A) 및 제2 소스/드레인 영역(114B)이 배치될 수 있다. 제1 소스/드레인 영역(114A) 및 제2 소스/드레인 영역(114B)은 게이트 라인 트렌치(120T)를 사이에 두고 교번하며 배치될 수 있다. 제1 소스/드레인 영역(114A)과 제2 소스/드레인 영역(114B)은 활성 영역(AC)에 도핑된 불순물과는 다른 도전형을 갖는 불순물이 도핑된 불순물 영역일 수 있다. 예를 들어, 제1 소스/드레인 영역(114A)과 제2 소스/드레인 영역(114B)에는 N형 또는 P형 불순물들이 도핑될 수 있다.A first source/drain region 114A and a second source/drain region 114B may be disposed in an upper region of the active region AC positioned on both sides of the gate line trench 120T. The first source/drain region 114A and the second source/drain region 114B may be alternately disposed with the gate line trench 120T interposed therebetween. The first source/drain region 114A and the second source/drain region 114B may be impurity regions doped with impurities having a conductivity type different from that of the impurity doped in the active region AC. For example, N-type or P-type impurities may be doped into the first source/drain region 114A and the second source/drain region 114B.

게이트 라인 트렌치(120T)의 내부에는 게이트 구조물(120)이 위치할 수 있다. 게이트 구조물(120)은 게이트 라인 트렌치(120T)의 내벽 상에 순차적으로 형성된 게이트 절연층(122), 게이트 전극(124), 및 게이트 캡핑층(126)을 포함할 수 있다A gate structure 120 may be positioned inside the gate line trench 120T. The gate structure 120 may include a gate insulating layer 122, a gate electrode 124, and a gate capping layer 126 sequentially formed on the inner wall of the gate line trench 120T.

게이트 절연층(122)은 소정의 두께로 게이트 라인 트렌치(120T)의 내벽 상에 컨포멀(conformal)하게 형성될 수 있다. 게이트 절연층(122)은 실리콘 산화물, 실리콘 질화물, 실리콘 질산화물, ONO(Oxide/Nitride/Oxide), 또는 실리콘 산화물보다 높은 유전 상수를 가지는 고유전물질 중에서 선택되는 적어도 하나로 이루어질 수 있다. 예를 들면, 게이트 절연층(122)은 약 10 내지 25의 유전 상수를 가질 수 있다. 몇몇 실시예에서, 게이트 절연층(122)은 HfO2, ZrO2, Al2O3, HfAlO3, Ta2O3, TiO2, 또는 이들의 조합으로 이루어질 수 있으나, 상기 예시한 바에 한정되지 않으며, 다양하게 변형될 수 있다.The gate insulating layer 122 may be conformally formed on the inner wall of the gate line trench 120T to a predetermined thickness. The gate insulating layer 122 may be formed of at least one selected from silicon oxide, silicon nitride, silicon nitride, oxide/nitride/oxide (ONO), or a high dielectric material having a higher dielectric constant than silicon oxide. For example, the gate insulating layer 122 may have a dielectric constant of about 10 to about 25. In some embodiments, the gate insulating layer 122 may be made of HfO 2 , ZrO 2 , Al 2 O 3 , HfAlO 3 , Ta 2 O 3 , TiO 2 , or a combination thereof, but is not limited to the above examples. , can be variously modified.

게이트 전극(124)은 게이트 절연층(122) 상에서 게이트 라인 트렌치(120T)의 바닥부로부터 수직 방향인 제3 방향(Z 방향)을 향해 소정의 높이까지 게이트 라인 트렌치(120T)를 채우도록 형성될 수 있다. 몇몇 실시예에서, 게이트 전극(124)은 게이트 절연층(122) 상에 배치되는 일함수 조절층(미도시)과 상기 일함수 조절층 상에서 게이트 라인 트렌치(120T)의 바닥부를 채우는 매립 금속층(미도시)을 포함할 수 있다. 예를 들어, 상기 일함수 조절층은 Ti, TiN, TiAlN, TiAlC, TiAlCN, TiSiCN, Ta, TaN, TaAlN, TaAlCN, TaSiCN 등과 같은 금속, 금속 질화물 또는 금속 탄화물을 포함할 수 있고, 상기 매립 금속층은 W, WN, TiN, TaN 중 적어도 하나를 포함할 수 있다.The gate electrode 124 may be formed on the gate insulating layer 122 to fill the gate line trench 120T to a predetermined height from the bottom of the gate line trench 120T toward a vertical third direction (Z direction). can In some embodiments, the gate electrode 124 may include a work function control layer (not shown) disposed on the gate insulating layer 122 and a buried metal layer (not shown) filling the bottom of the gate line trench 120T on the work function control layer. city) may be included. For example, the work function control layer may include a metal such as Ti, TiN, TiAlN, TiAlC, TiAlCN, TiSiCN, Ta, TaN, TaAlN, TaAlCN, TaSiCN, metal nitride, or metal carbide, and the buried metal layer is It may include at least one of W, WN, TiN, and TaN.

게이트 캡핑층(126)은 게이트 전극(124) 상에서 게이트 라인 트렌치(120T)의 나머지 부분을 채울 수 있다. 예를 들어, 게이트 캡핑층(126)은 실리콘 산화물, 실리콘 질산화물 및 실리콘 질화물 중 적어도 하나를 포함할 수 있다.The gate capping layer 126 may fill the remaining portion of the gate line trench 120T on the gate electrode 124 . For example, the gate capping layer 126 may include at least one of silicon oxide, silicon nitride, and silicon nitride.

제1 소스/드레인 영역(114A) 상에는 기판(110)의 상부면에 평행하고 제1 방향(X 방향)에 수직한 제2 방향(Y 방향)을 따라 연장되는 비트 라인 구조물(130)이 형성될 수 있다. 비트 라인 구조물(130)은 기판(110) 상에 순차적으로 적층된 비트 라인 콘택(132), 비트 라인(134), 비트 라인 캡핑층(136), 및 비트 라인 스페이서(138)를 포함할 수 있다. 비트 라인 콘택(132)은 비트 라인(134)과 제1 소스/드레인 영역(114A) 사이를 연결할 수 있다. 비트 라인 콘택(132)은 비트 라인(134)과 제1 소스/드레인 영역(114A)의 중첩부에 위치할 수 있다. 비트 라인 콘택(132)은 대략 원형으로 도시되어 있으나, 이에 한정되는 것은 아니며 비트 라인 콘택(132)의 평면 형상은 다양하게 변경될 수 있다. 비트 라인 콘택(132) 및 비트 라인(134)은 도전성 물질을 포함할 수 있다. 예를 들어, 비트 라인 콘택(132)은 폴리 실리콘을 포함할 수 있고, 비트 라인(134)은 금속 물질을 포함할 수 있다.A bit line structure 130 extending in a second direction (Y direction) parallel to the upper surface of the substrate 110 and perpendicular to the first direction (X direction) may be formed on the first source/drain region 114A. can The bit line structure 130 may include a bit line contact 132 , a bit line 134 , a bit line capping layer 136 , and a bit line spacer 138 sequentially stacked on the substrate 110 . . The bit line contact 132 may connect between the bit line 134 and the first source/drain region 114A. The bit line contact 132 may be positioned in an overlapping portion of the bit line 134 and the first source/drain region 114A. The bit line contact 132 is shown in a substantially circular shape, but is not limited thereto and the planar shape of the bit line contact 132 may be variously changed. The bit line contact 132 and the bit line 134 may include a conductive material. For example, the bit line contact 132 may include polysilicon, and the bit line 134 may include a metal material.

비트 라인 캡핑층(136)은 실리콘 질화물 또는 실리콘 질산화물 등의 절연 물질을 포함할 수 있다. 비트 라인 스페이서(138)는 실리콘 산화물, 실리콘 질산화물, 또는 실리콘 질화물과 같은 절연 물질로 구성된 단일층 구조 또는 다중층 구조를 가질 수 있다.The bit line capping layer 136 may include an insulating material such as silicon nitride or silicon nitride. The bit line spacer 138 may have a single-layer structure or a multi-layer structure made of an insulating material such as silicon oxide, silicon nitride, or silicon nitride.

몇몇 실시예에서, 비트 라인 스페이서(138)는 에어 스페이스(미도시)를 더 포함할 수도 있다. 선택적으로, 비트 라인 콘택(132)과 비트 라인(134) 사이에 비트 라인 중간층(미도시)이 개재될 수 있다. 상기 비트 라인 중간층은 텅스텐 실리사이드와 같은 금속 실리사이드, 또는 텅스텐 질화물과 같은 금속 질화물을 포함할 수 있다.In some embodiments, the bit line spacer 138 may further include an air space (not shown). Optionally, a bit line intermediate layer (not shown) may be interposed between the bit line contact 132 and the bit line 134 . The bit line intermediate layer may include a metal silicide such as tungsten silicide or a metal nitride such as tungsten nitride.

도 2에서는, 비트 라인 콘택(132)이 기판(110) 상부면과 동일한 레벨의 바닥면을 갖도록 형성된 것으로 도시되었으나, 이와 달리, 기판(110)의 상부면으로부터 소정의 깊이로 리세스(미도시)가 형성되고 비트 라인 콘택(132)이 상기 리세스 내부까지 연장되어, 비트 라인 콘택(132)의 바닥면이 기판(110) 상부면보다 낮은 레벨에 형성될 수도 있다.In FIG. 2 , the bit line contact 132 is illustrated as having a bottom surface at the same level as the top surface of the substrate 110 , but unlike the above, a recess (not shown) is recessed from the top surface of the substrate 110 to a predetermined depth. ) is formed and the bit line contact 132 extends to the inside of the recess, so that the bottom surface of the bit line contact 132 may be formed at a level lower than the top surface of the substrate 110 .

기판(110) 상에는 제1 절연층(142) 및 제2 절연층(144)이 순서대로 배치될 수 있고, 비트 라인 구조물(130)이 제1 절연층(142) 및 제2 절연층(144)을 관통하여 제1 소스/드레인 영역(114A)과 연결될 수 있다.A first insulating layer 142 and a second insulating layer 144 may be sequentially disposed on the substrate 110 , and the bit line structure 130 may include the first insulating layer 142 and the second insulating layer 144 may be connected to the first source/drain region 114A through the .

기판(110) 상에는 커패시터 콘택(150)이 위치할 수 있다. 커패시터 콘택(150)은 제2 소스/드레인 영역(114B) 상에 배치될 수 있다. 커패시터 콘택(150)의 측면은 제1 절연층(142) 및 제2 절연층(144)에 의해 둘러싸일 수 있다. 몇몇 실시예에서, 커패시터 콘택(150)은 기판(110) 상에 순차적으로 적층된 하부 콘택 패턴(미도시), 금속 실리사이드층(미도시), 및 상부 콘택 패턴(미도시)과, 상기 상부 콘택 패턴의 측면과 바닥면을 둘러싸는 배리어층(미도시)을 포함할 수 있다.A capacitor contact 150 may be positioned on the substrate 110 . The capacitor contact 150 may be disposed on the second source/drain region 114B. Side surfaces of the capacitor contact 150 may be surrounded by the first insulating layer 142 and the second insulating layer 144 . In some embodiments, the capacitor contact 150 includes a lower contact pattern (not shown), a metal silicide layer (not shown), and an upper contact pattern (not shown) sequentially stacked on the substrate 110, and the upper contact A barrier layer (not shown) surrounding the side surface and the bottom surface of the pattern may be included.

또한, 몇몇 실시예에서, 상기 하부 콘택 패턴은 폴리실리콘을 포함하고, 상기 상부 콘택 패턴은 금속 물질을 포함할 수 있다. 상기 배리어층은 도전성을 갖는 금속 질화물을 포함할 수 있다.Also, in some embodiments, the lower contact pattern may include polysilicon, and the upper contact pattern may include a metal material. The barrier layer may include a metal nitride having conductivity.

제2 절연층(144) 상에는 제3 절연층(146)이 배치될 수 있고, 제3 절연층(146)을 관통하여 커패시터 콘택(150)과 연결되는 랜딩 패드(152)가 배치될 수 있다. 도 2에 도시된 것과 같이, 랜딩 패드(152)는 커패시터 콘택(150) 전체와 제3 방향(Z 방향)에서 중첩되며, 커패시터 콘택(150)보다 더 큰 폭을 갖도록 형성될 수 있다. 랜딩 패드(152)는 루테늄(Ru), 티타늄(Ti), 탄탈륨(Ta), 니오븀(Nb), 이리듐(Ir), 몰리브덴(Mo), 텅스텐(W) 등의 금속, 티타늄 질화물(TiN), 탄탈륨 질화물(TaN), 니오븀 질화물(NbN), 몰리브덴 질화물(MoN), 텅스텐 질화물(WN) 등의 도전성 금속 질화물 중 적어도 하나를 포함할 수 있다. 또한, 몇몇 실시예에서, 랜딩 패드(152)는 티타늄 질화물(TiN)을 포함할 수 있다.A third insulating layer 146 may be disposed on the second insulating layer 144 , and a landing pad 152 passing through the third insulating layer 146 and connected to the capacitor contact 150 may be disposed. As shown in FIG. 2 , the landing pad 152 may overlap the entire capacitor contact 150 in the third direction (Z direction) and may have a larger width than the capacitor contact 150 . The landing pad 152 is made of metal such as ruthenium (Ru), titanium (Ti), tantalum (Ta), niobium (Nb), iridium (Ir), molybdenum (Mo), tungsten (W), titanium nitride (TiN), It may include at least one of conductive metal nitrides such as tantalum nitride (TaN), niobium nitride (NbN), molybdenum nitride (MoN), and tungsten nitride (WN). Additionally, in some embodiments, landing pad 152 may include titanium nitride (TiN).

랜딩 패드(152) 및 제3 절연층(146) 상에는 식각 정지층(162)이 위치할 수 있다. 식각 정지층(162)은 랜딩 패드(152)의 적어도 일부와 중첩하는 개구부(162H)를 포함할 수 있다. 식각 정지층(162)은 산화물을 포함하는 몰드층(도 7의 ‘MD’참조)에 대해 식각 선택비를 갖는 물질을 포함할 수 있다. 식각 정지층(162)은 예를 들어, 실리콘 질화물(SiN), 실리콘 탄화질화물(SiCN), 실리콘 붕소질화물(SiBN), 실리콘 탄산화물(SiCO), 실리콘 질산화물(SiON), 실리콘 산화물(SiO), 실리콘 탄산질화물(SiOCN) 중 적어도 하나를 포함할 수 있다.An etch stop layer 162 may be positioned on the landing pad 152 and the third insulating layer 146 . The etch stop layer 162 may include an opening 162H overlapping at least a portion of the landing pad 152 . The etch stop layer 162 may include a material having an etch selectivity with respect to an oxide-containing mold layer (refer to 'MD' in FIG. 7 ). The etch stop layer 162 may include, for example, silicon nitride (SiN), silicon carbonide nitride (SiCN), silicon boron nitride (SiBN), silicon carbonate (SiCO), silicon nitride (SiON), silicon oxide (SiO), It may include at least one of silicon carbonate nitride (SiOCN).

식각 정지층(162) 상에는 커패시터 구조체(CS)이 배치될 수 있다. 커패시터 구조체(CS)은 랜딩 패드(152)를 사이에 두고 커패시터 콘택(150)과 전기적으로 연결되는 하부 전극(170), 하부 전극(170)을 컨포멀하게 커버하는 유전층(180), 및 유전층(180) 상의 상부 전극(200)을 포함할 수 있다.A capacitor structure CS may be disposed on the etch stop layer 162 . The capacitor structure CS includes a lower electrode 170 electrically connected to the capacitor contact 150 with a landing pad 152 therebetween, a dielectric layer 180 conformally covering the lower electrode 170, and a dielectric layer ( 180) may include an upper electrode 200.

도 1에 도시된 것과 같이, 하부 전극(170) 및 커패시터 콘택(150)은 제1 방향(X 방향) 및 제2 방향(Y 방향)을 따라 반복적으로 배열될 수 있다. 도 1에 도시되지는 않았지만, 랜딩 패드(152)는 하부 전극(170)과 제3 방향(Z 방향)에서 중첩되며, 제1 방향(X 방향) 및 제2 방향(Y 방향)으로 이격되어 매트릭스 형상으로 배열될 수 있다. 다만, 이에 한정되지 않으며, 몇몇 실시예에서, 커패시터 콘택(150)은 제1 방향(X 방향) 및 제2 방향(Y 방향)을 따라 반복적으로 배열되나, 하부 전극(170)은 허니콤 구조와 같은 육각형 형상으로 배열될 수 있다. 이 경우, 랜딩 패드(152)는 커패시터 콘택(150)의 일부분과 제3 방향(Z 방향)에서 중첩되는 한편, 하부 전극(170) 전체와 제3 방향(Z 방향)에서 중첩되도록 배치될 수 있다.As shown in FIG. 1 , the lower electrode 170 and the capacitor contact 150 may be repeatedly arranged along a first direction (X direction) and a second direction (Y direction). Although not shown in FIG. 1 , the landing pad 152 overlaps the lower electrode 170 in the third direction (Z direction) and is spaced apart in the first direction (X direction) and the second direction (Y direction) to form a matrix. can be arranged in shape. However, it is not limited thereto, and in some embodiments, the capacitor contacts 150 are repeatedly arranged along the first direction (X direction) and the second direction (Y direction), but the lower electrode 170 has a honeycomb structure and may be arranged in the same hexagonal shape. In this case, the landing pad 152 may be disposed to overlap a portion of the capacitor contact 150 in the third direction (Z direction) and to overlap the entire lower electrode 170 in the third direction (Z direction). .

또한, 도 1에 도시된 것과 같이, 하부 전극(170)은 평면상 원형의 단면을 갖는 것으로 도시하였으나, 이에 한정되는 것은 아니며, 몇몇 실시예에서, 하부 전극(170)의 수평 단면은 타원형, 또는 사각형, 라운드진 사각형, 마름모꼴, 사다리꼴 등과 같은 다양한 다각형 및 다양한 라운드진 다각형일 수 있다.In addition, as shown in FIG. 1 , the lower electrode 170 is illustrated as having a circular cross section on a plane, but is not limited thereto, and in some embodiments, the horizontal cross section of the lower electrode 170 is an elliptical, or It may be various polygons such as rectangles, rounded rectangles, rhombuses, trapezoids, etc., and various rounded polygons.

하부 전극(170)은 랜딩 패드(152) 상에 배치될 수 있고, 하부 전극(170)의 바닥부는 식각 정지층(162)의 개구부(162H) 내에 배치될 수 있다. 하부 전극(170)의 바닥부 폭은 랜딩 패드(152)의 폭보다 더 작을 수 있고, 이에The lower electrode 170 may be disposed on the landing pad 152 , and a bottom portion of the lower electrode 170 may be disposed within the opening 162H of the etch stop layer 162 . The width of the bottom of the lower electrode 170 may be smaller than the width of the landing pad 152, so

따라 하부 전극(170)의 바닥면 전체가 랜딩 패드(152)와 접촉할 수 있다.Accordingly, the entire bottom surface of the lower electrode 170 may contact the landing pad 152 .

하부 전극(170)은 제1 하부 전극층(171)과 제2 하부 전극층(172)을 포함할 수 있다.The lower electrode 170 may include a first lower electrode layer 171 and a second lower electrode layer 172 .

제1 하부 전극층(171)은 랜딩 패드(152) 상에서 아래가 막힌 실린더 또는 컵 형상으로 형성될 수 있으며, 제1 하부 전극층(171)의 바닥면은 랜딩 패드(152)의 상부면과 접촉할 수 있다.The first lower electrode layer 171 may be formed on the landing pad 152 in the shape of a closed cylinder or cup, and the bottom surface of the first lower electrode layer 171 may contact the top surface of the landing pad 152. there is.

제2 하부 전극층(172)은 제1 하부 전극층(171) 상에 배치되며, 제2 하부 전극층(172)의 측면 및 바닥면은 제1 하부 전극층(171)에 의해 둘러싸여 있을 수 있다. 즉, 제1 하부 전극층(171)은 제2 하부 전극층(172)의 측면과 바닥면 상에 컨포멀하게 형성될 수 있다.The second lower electrode layer 172 is disposed on the first lower electrode layer 171 , and side and bottom surfaces of the second lower electrode layer 172 may be surrounded by the first lower electrode layer 171 . That is, the first lower electrode layer 171 may be conformally formed on the side surface and the bottom surface of the second lower electrode layer 172 .

제2 하부 전극층(172)은 수직 방향인 제3 방향(Z 방향)으로 연장하는 필라(pillar) 또는 기둥 형상을 가지며, 종횡비에 따라 랜딩 패드(152)에 가까울수록 좁아지는 경사진 측면을 가질 수 있다.The second lower electrode layer 172 may have a pillar or pillar shape extending in a vertical third direction (Z direction), and may have an inclined side surface that narrows as it approaches the landing pad 152 according to an aspect ratio. there is.

몇몇 실시예에서, 제1 하부 전극층(171)과 제2 하부 전극층(172)은 상이한 물질을 포함할 수 있다. 예를 들어, 제1 하부 전극층(171)은 제1 물질(M)로 도핑되어 있는 제2 물질을 포함하고, 제2 하부 전극층(172)은 상기 제1 물질(M) 및 제2 물질과 상이한 제3 물질을 포함할 수 있다. 다만, 이에 한정되는 것은 아니며, 제1 하부 전극층(171)은 제1 물질(M)로 도핑되어 있는 제2 물질을 포함하고, 제2 하부 전극층(172)은 제2 물질을 포함할 수도 있다.In some embodiments, the first lower electrode layer 171 and the second lower electrode layer 172 may include different materials. For example, the first lower electrode layer 171 includes a second material doped with the first material M, and the second lower electrode layer 172 is different from the first material M and the second material. A third material may be included. However, it is not limited thereto, and the first lower electrode layer 171 may include a second material doped with the first material M, and the second lower electrode layer 172 may include the second material.

또한, 제3 물질은 상기 제2 물질이 비해 강성이 높을 수 있다. 이에 따라, 제2 하부 전극층(172)의 강성은 제1 하부 전극층(171)의 강성에 비해 높을 수 있다. 강성은 물체에 외부 힘이 가해질 때 생기는 변형(deformation)에 대해 저항하는 정도를 나타내는 물리량이다. 반대의 개념으로 유연성(flexibility)이 있다. 예를 들어 어떠한 물체에 외부 힘이 가해졌을 때, 물질이 쉽게 휘어지거나 모양 또는 부피가 변하는 높은 유연성을 갖고 있다면 이 물질은 낮은 강성을 갖고, 반대로 물체에 힘이 가해져도 모양이나 부피가 잘 변하지 않는다면 높은 강성을 갖고 낮은 유연성을 갖는다.Also, the third material may have higher rigidity than the second material. Accordingly, the stiffness of the second lower electrode layer 172 may be higher than that of the first lower electrode layer 171 . Stiffness is a physical quantity that indicates the degree of resistance to deformation that occurs when an external force is applied to an object. The opposite concept is flexibility. For example, when an external force is applied to an object, if the material has high flexibility that easily bends or changes shape or volume, then the material has low stiffness. It has high stiffness and low flexibility.

제1 하부 전극층(171)이 높은 강성을 갖는 제2 하부 전극층(172)의 측면과 바닥면 상에 배치됨에 따라, 하부 전극(170)이 종횡비가 커지는 형상을 갖더라도 외력에 의해 쉽게 휘어지거나, 형상이 변하는 것을 방지할 수 있다. 이에 따라, 후술될 하부 전극(170)의 형성 공정 및 후속 공정에서 하부 전극(170)이 휘어져 인접한 하부 전극(170)과 접촉하거나, 하부 전극(170)이 손상되는 것을 방지하여 전기적 특성 또는 신뢰성이 향상된 커패시터를 포함하는 반도체 장치를 제공할 수 있다.As the first lower electrode layer 171 is disposed on the side surface and the bottom surface of the second lower electrode layer 172 having high rigidity, even if the lower electrode 170 has a shape with an increased aspect ratio, it is easily bent by an external force, or shape change can be prevented. Accordingly, electrical characteristics or reliability are improved by preventing the lower electrode 170 from being bent and contacting the adjacent lower electrode 170 or from damaging the lower electrode 170 in the forming process and subsequent processes of the lower electrode 170 to be described later. A semiconductor device including an improved capacitor may be provided.

몇몇 실시예에서, 상기 제1 물질(M)은 도펀트일 수 있다. 상기 제1 물질(M)은 예를 들어, 니오븀(Nb), 바나듐(V), 크롬(Cr), 탄탈륨(Ta), 몰리브덴(Mo), 텅스텐(W), 코발트(Co), 로듐(Rh), 이리듐(Ir), 또는 이들을 조합한 금속 물질일 수 있으나, 이에 한정되는 것은 아니며, 상기 제1 물질(M)은 다양하게 변경될 수 있다.In some embodiments, the first material M may be a dopant. The first material M may be, for example, niobium (Nb), vanadium (V), chromium (Cr), tantalum (Ta), molybdenum (Mo), tungsten (W), cobalt (Co), or rhodium (Rh). ), iridium (Ir), or a metal material in combination thereof, but is not limited thereto, and the first material (M) may be variously changed.

도 3에 도시된 바와 같이, 제1 하부 전극층(171)은 제2 하부 전극층(172)의 일 측면 상에 배치되고, 제2 하부 전극층(172)과 접촉하는 제1 면(171S1)과 후술될 유전층(180)과 접촉하는 제2 면(171S2)을 포함할 수 있다. 또한, 제1 하부 전극층(171)은 제2 하부 전극층(172)의 일 측면과 마주보는 타 측면 상에 배치되고, 제2 하부 전극층(172)과 접촉하는 제3 면(171S3)과 유전층(180)과 접촉하는 제4 면(171S4)을 더 포함할 수 있다.As shown in FIG. 3 , the first lower electrode layer 171 is disposed on one side of the second lower electrode layer 172 and is in contact with the second lower electrode layer 172 and a first surface 171S1, which will be described later. A second surface 171S2 contacting the dielectric layer 180 may be included. In addition, the first lower electrode layer 171 is disposed on the other side opposite to one side of the second lower electrode layer 172, and the third surface 171S3 contacting the second lower electrode layer 172 and the dielectric layer 180 ) and may further include a fourth surface 171S4 in contact with.

즉, 제2 하부 전극층(172)을 사이에 두고 대향하는 제1 하부 전극층(171)의 제1 면(171S1)과 제3 면(171S3) 각각은 단면상 제1 하부 전극층(171)의 내측면에 해당하고, 제2 면(171S2)과 제4 면(171S4) 각각은 제1 면(171S1)과 제3 면(171S3)의 반대면으로써, 단면상 제1 하부 전극층(171)의 외측면일 수 있다.That is, each of the first surface 171S1 and the third surface 171S3 of the first lower electrode layer 171 facing each other with the second lower electrode layer 172 interposed therebetween is on the inner surface of the first lower electrode layer 171 in cross section. Correspondingly, each of the second surface 171S2 and the fourth surface 171S4 is opposite to the first surface 171S1 and the third surface 171S3 and may be an outer surface of the first lower electrode layer 171 in cross section. .

도 3과 함께 도 4를 더 참조하면, 도 4에서 X축은 하부 전극(170) 내에서의 위치를 의미하고, Y축은 하부 전극(170) 내에서의 위치에 따른 제1 물질(M)의 농도를 의미한다. 구체적으로, 그래프 상에서 원점에 가까울수록 제2 하부 전극층(172)의 일 측면 상에 배치된 제1 하부 전극층(171)에 가까워지는 것을 의미하고, 원점으로부터 멀어질수록 제2 하부 전극층(172)의 타 측면 상에 배치된 제1 하부 전극층(171)에 가까워지는 것을 의미한다. 즉, 그래프 상에서 원점에 가까울수록 제2 하부 전극층(172)의 중심부로부터 제1 하부 전극층(171)의 제2 면(171S2)에 가까워지는 것을 의미하고, 원점으로부터 멀어질수록 제2 하부 전극층(172)의 중심부로부터 제1 하부 전극층(171)의 제4 면(171S4)에 가까워지는 것을 의미한다.Referring further to FIG. 4 together with FIG. 3 , in FIG. 4 , the X-axis means the position within the lower electrode 170, and the Y-axis represents the concentration of the first material M according to the position within the lower electrode 170. means Specifically, it means that the closer to the origin on the graph, the closer to the first lower electrode layer 171 disposed on one side of the second lower electrode layer 172, and the farther from the origin, the closer the second lower electrode layer 172 is. It means getting closer to the first lower electrode layer 171 disposed on the other side. That is, as it approaches the origin on the graph, it means that the center of the second lower electrode layer 172 gets closer to the second surface 171S2 of the first lower electrode layer 171, and as it gets farther from the origin, the second lower electrode layer 172 ) means being closer to the fourth surface 171S4 of the first lower electrode layer 171.

또한, 상기 제1 물질(M)은 위에서 상술한 물질 중 어느 하나 일 수 있다. 예를 들어, 제1 물질(M)은 니오븀(Nb)일 수 있으며, 이하에서는, 제1 물질(M)이 니오듐(Nb)임을 기준으로 설명한다.In addition, the first material (M) may be any one of the materials described above. For example, the first material M may be niobium (Nb), and hereinafter, the first material M will be described based on niodium (Nb).

도 4에 도시된 바와 같이, 단면상 제1 하부 전극층(171)의 내측면으로부터 외측면에 가까워질수록 니오븀(Nb)의 농도는 증가할 수 있다. 즉, 제1 하부 전극층(171)의 내측면인 제1 면(171S1)과 제3 면(171S3)에서 니오븀(Nb)의 농도가 최대이고, 제1 하부 전극층(171)의 내측면인 제1 면(171S1)과 제3 면(171S3)에서 제1 하부 전극층(171)의 외측면인 제2 면(171S2)과 제4 면(171S4)으로 갈수록 니오븀(Nb)의 농도가 감소하며, 제2 면(171S2)과 제4 면(171S4)에서 니오븀(Nb)의 농도가 최소일 수 있다. 상술한 제1 하부 전극층(171)에 도핑된 니오븀(Nb)의 농도 구배는 도 10 내지 도 13을 참조하여 후술되는 제조 공정에 의한 농도 구배일 수 있다. 이에 대한 상세한 설명은 도 10 내지 도 13을 참조하여 후술된다. As shown in FIG. 4 , the concentration of niobium (Nb) may increase as the cross-sectional view approaches the outer surface from the inner surface of the first lower electrode layer 171 . That is, the concentration of niobium (Nb) is the highest on the first surface 171S1 and the third surface 171S3, which are the inner surfaces of the first lower electrode layer 171, and the first lower electrode layer 171, which is the inner surface of the first lower electrode layer 171. The concentration of niobium (Nb) decreases from the surface 171S1 and the third surface 171S3 toward the second surface 171S2 and the fourth surface 171S4, which are outer surfaces of the first lower electrode layer 171, and The concentration of niobium (Nb) in the surface 171S2 and the fourth surface 171S4 may be minimal. The above-described concentration gradient of niobium (Nb) doped in the first lower electrode layer 171 may be a concentration gradient by a manufacturing process described later with reference to FIGS. 10 to 13 . A detailed description thereof will be described later with reference to FIGS. 10 to 13 .

또한, 제2 하부 전극층(172)은 비도핑된 상태일 수 있으며, 제2 하부 전극층(172)은 니오븀(Nb)을 포함하지 않을 수 있다. Also, the second lower electrode layer 172 may be in an undoped state, and the second lower electrode layer 172 may not include niobium (Nb).

이와 같이, 하부 전극(170)이 도핑된 제1 하부 전극층(171)을 포함하는 경우, 커패시터 구조체(CS)의 커패시턴스를 증가시킬 수 있다. 즉, 비도핑된 제2 하부 전극층(172)과 유전층(180) 사이에 도핑된 제1 하부 전극층(171)이 개재되는 경우, 증가된 유전 상수를 가지는 유전층(180)을 하부 전극(170) 상에 형성할 수 있다. 이는, 유전층(180)과 직접 접촉하며, 도핑된 제1 하부 전극층(171)이 제1 하부 전극층(171) 상에 형성되는 유전층(180)의 결정 상에 영향을 미치기 때문일 수 있다.As such, when the lower electrode 170 includes the doped first lower electrode layer 171 , the capacitance of the capacitor structure CS may be increased. That is, when the doped first lower electrode layer 171 is interposed between the undoped second lower electrode layer 172 and the dielectric layer 180, the dielectric layer 180 having an increased dielectric constant is placed on the lower electrode 170. can be formed in This may be because the doped first lower electrode layer 171 directly contacts the dielectric layer 180 and affects the crystal phase of the dielectric layer 180 formed on the first lower electrode layer 171 .

또한, 몇몇 실시예에서, 상기 제2 물질은 텅스텐(W), 루테늄(Ru), 티타늄(Ti), 탄탈륨(Ta), 니오븀(Nb), 이리듐(Ir), 몰리브덴(Mo) 등의 금속, 티타늄 질화물(TiN), 탄탈륨 질화물(TaN), 니오븀 질화물(NbN), 몰리브덴 질화물(MoN), 텅스텐 질화물(WN) 등의 도전성 금속 질화물, 및 이리듐 산화물(IrO2), 루테늄 산화물(RuO2), 스트론튬 루테늄 산화물(SrRuO3) 등의 도전성 금속 산화물 중에서 선택된 적어도 하나를 포함하며, 상기 제3 물질은 TSN(Ti-Si-N)을 포함할 수 있다. 다만, 제2 물질과 제3 물질은 상술한 물질들에 한정되지 않으며, 다양하게 변형될 수 있다. 또한, 몇몇 실시예에서, 제2 물질과 제3 물질은 동일한 물질일 수 있다. 예를 들어, 제2 물질 및 제3 물질은 티타늄 질화물(TiN)을 포함할 수 있다.Further, in some embodiments, the second material is a metal such as tungsten (W), ruthenium (Ru), titanium (Ti), tantalum (Ta), niobium (Nb), iridium (Ir), molybdenum (Mo), Conductive metal nitrides such as titanium nitride (TiN), tantalum nitride (TaN), niobium nitride (NbN), molybdenum nitride (MoN), tungsten nitride (WN), and iridium oxide (IrO2), ruthenium oxide (RuO2), strontium ruthenium It includes at least one selected from conductive metal oxides such as oxide (SrRuO3), and the third material may include TSN (Ti-Si-N). However, the second material and the third material are not limited to the above materials and may be variously modified. Also, in some embodiments, the second material and the third material may be the same material. For example, the second material and the third material may include titanium nitride (TiN).

하부 전극(170)의 양 측면 상에는 서포터(supporter)(190)가 배치될 수 있다. 즉, 서포터(190)는 하부 전극의 상부에 위치하는 양 측면 상에 배치되며, 제1 하부 전극층(171)과 접촉할 수 있다.Supporters 190 may be disposed on both side surfaces of the lower electrode 170 . That is, the supporter 190 is disposed on both side surfaces of the lower electrode and may contact the first lower electrode layer 171 .

또한, 서포터(190)와 제2 하부 전극층(172) 사이에 제1 하부 전극층(171)이 개재됨에 따라, 서포터(190)과 제2 하부 전극층(172)은 제1 하부 전극층(171)을 사이에 두고 서로 이격되어 배치될 수 있다.In addition, as the first lower electrode layer 171 is interposed between the supporter 190 and the second lower electrode layer 172, the supporter 190 and the second lower electrode layer 172 are interposed between the first lower electrode layer 171. It can be placed on and spaced apart from each other.

서포터(190)는 하부 전극(170)과 이에 인접한 다른 하부 전극(170)과의 사이에 배치되며, 몰드층(도 16의 ‘MD’참조)의 제거 공정 또는 유전층(180) 형성 공정에서 하부 전극(170)이 쓰러지거나 무너지는 것을 방지하는 지지 부재로 기능할 수 있다. 서포터(190)는 실리콘 질화물, 실리콘 질산화물, 실리콘 보론 질화물(SiBN), 또는 실리콘 탄화질화물(SiCN)을 포함할 수 있다. 다만, 이에 한정되지 않으며, 서포터(190)가 포함하는 물질은 다양하게 변형될 수 있다.The supporter 190 is disposed between the lower electrode 170 and another lower electrode 170 adjacent thereto, and is a lower electrode in a process of removing a mold layer (refer to 'MD' in FIG. 16) or forming a dielectric layer 180. (170) can function as a support member to prevent it from falling or collapsing. The supporter 190 may include silicon nitride, silicon nitride, silicon boron nitride (SiBN), or silicon carbon nitride (SiCN). However, it is not limited thereto, and the material included in the supporter 190 may be variously modified.

하부 전극(170)의 측면 및 상부면 상에는 유전층(180)이 배치될 수 있다. 유전층(180)은 하부 전극(170)의 측면으로부터 서포터(190) 상부면 및 하부면 상으로 연장될 수 있고, 식각 정지층(162) 상에도 배치될 수 있다. 즉, 유전층(180)은 제1 하부 전극층(171)과 접촉하며, 제1 하부 전극층(171)의 측면 상에 배치되고, 유전층(180)은 제1 하부 전극층(171)을 사이에 두고, 제2 하부 전극층(172)과 이격되어 제2 하부 전극층(172)의 측면 상에 배치될 수 있다.A dielectric layer 180 may be disposed on side surfaces and top surfaces of the lower electrode 170 . The dielectric layer 180 may extend from the side of the lower electrode 170 to the upper and lower surfaces of the supporter 190 and may also be disposed on the etch stop layer 162 . That is, the dielectric layer 180 contacts the first lower electrode layer 171 and is disposed on a side surface of the first lower electrode layer 171, and the dielectric layer 180 has the first lower electrode layer 171 therebetween, and the first lower electrode layer 171 is disposed therebetween. It may be spaced apart from the second lower electrode layer 172 and disposed on a side surface of the second lower electrode layer 172 .

몇몇 실시예에서, 유전층(180)은 지르코늄 산화물, 하프늄 산화물, 티타늄 산화물, 니오븀 산화물, 탄탈륨 산화물, 이트륨 산화물, 스트론튬 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 스칸듐 산화물, 및 란탄족 화물 중 적어도 하나를 포함할 수 있다.In some embodiments, dielectric layer 180 may include at least one of zirconium oxide, hafnium oxide, titanium oxide, niobium oxide, tantalum oxide, yttrium oxide, strontium titanium oxide, barium strontium titanium oxide, scandium oxide, and lanthanides. can

또한, 유전층(180)은 테트라고날 결정상을 우세하게(predominantly) 갖도록 형성된 하프늄 산화물을 포함할 수 있다. 또한, 몇몇 실시예에서, 유전층(180)은 제1 유전층과 제2 유전층의 적층 구조로 형성되는 다층 구조를 가질 수 있고, 상기 제1 유전층 및 상기 제2 유전층 중 적어도 하나는 테트라고날 결정상을 우세하게 갖도록 형성된 하프늄 산화물을 포함할 수 있다.In addition, the dielectric layer 180 may include hafnium oxide formed to predominantly have a tetragonal crystal phase. Also, in some embodiments, the dielectric layer 180 may have a multi-layer structure formed by stacking a first dielectric layer and a second dielectric layer, and at least one of the first dielectric layer and the second dielectric layer has a tetragonal crystal phase predominately. It may include hafnium oxide formed to have a similar shape.

유전층(180) 상에는 하부 전극(170)을 커버하는 상부 전극(200)이 배치될 수 있다. 상부 전극(200)은 예를 들어, 루테늄(Ru), 티타늄(Ti), 탄탈륨(Ta), 니오븀(Nb), 이리듐(Ir), 몰리브덴(Mo), 텅스텐(W) 등의 금속, 티타늄 질화물(TiN), 탄탈륨 질화물(TaN), 니오븀 질화물(NbN), 몰리브덴 질화물(MoN), 텅스텐 질화물(WN) 등의 도전성 금속질화물, 및 이리듐 산화물(IrO2), 루테늄 산화물(RuO2), 스트론튬 루테늄 산화물(SrRuO3) 등의 도전성 금속 산화물 중에서 선택된 적어도 하나를 포함할 수 있다. 다만, 상부 전극(200)이 포함하는 물질을 이에 한정되지 않으며, 다양하게 변형될 수 있다.An upper electrode 200 covering the lower electrode 170 may be disposed on the dielectric layer 180 . The upper electrode 200 is, for example, a metal such as ruthenium (Ru), titanium (Ti), tantalum (Ta), niobium (Nb), iridium (Ir), molybdenum (Mo), tungsten (W), or titanium nitride. Conductive metal nitrides such as (TiN), tantalum nitride (TaN), niobium nitride (NbN), molybdenum nitride (MoN), and tungsten nitride (WN), and iridium oxide (IrO2), ruthenium oxide (RuO2), strontium ruthenium oxide ( It may include at least one selected from conductive metal oxides such as SrRuO3). However, the material included in the upper electrode 200 is not limited thereto and may be variously modified.

몇몇 실시예에서, 상부 전극(200)은 단일 물질층 또는 복수의 물질층들의 적층 구조로 형성될 수 있다. 예를 들어, 상부 전극(200)은 티타늄 질화물(TiN)의 단일층 또는 니오븀 질화물(NbN)의 단일층으로 형성될 수 있다.In some embodiments, the upper electrode 200 may be formed of a single material layer or a stacked structure of a plurality of material layers. For example, the upper electrode 200 may be formed of a single layer of titanium nitride (TiN) or a single layer of niobium nitride (NbN).

또한, 몇몇 실시예에서, 상부 전극(200)은 티타늄 질화물(TiN)을 포함하는 제1 상부 전극층과 니오븀 질화물(NbN)을 포함하는 제2 상부 전극층으로 이루어지는 적층 구조로 형성될 수 있다.Also, in some embodiments, the upper electrode 200 may have a stacked structure including a first upper electrode layer including titanium nitride (TiN) and a second upper electrode layer including niobium nitride (NbN).

이하, 도 5 및 도 6을 참조하여, 반도체 장치의 다른 실시예들에 대해 설명한다. 이하의 실시예에서, 이전에 설명한 실시예와 동일한 구성에 대해서는 동일한 참조 부호로 지칭하며, 중복 설명은 생략하거나 간략화하고, 차이점을 위주로 설명하기로 한다.Hereinafter, other exemplary embodiments of a semiconductor device will be described with reference to FIGS. 5 and 6 . In the following embodiments, the same reference numerals refer to components identical to those of the previously described embodiments, and redundant descriptions will be omitted or simplified, and description will focus on differences.

도 5 및 도 6은 몇몇 실시예에 따른 도 1의 Ⅰ-Ⅰ'을 따라 절단한 단면도이다.5 and 6 are cross-sectional views taken along line II′ of FIG. 1 according to some embodiments.

도 5에 도시된 커패시터 구조체(CS_1)을 포함하는 반도체 장치(100_1)에 따르면, 도 2에 도시된 커패시터 구조체(CS)을 포함하는 반도체 장치(100)와 달리, 하부 전극(170)의 양 측면 상에 배치되는 제1 서포터(191) 및 제2 서포터(192)를 포함할 수 있다.According to the semiconductor device 100_1 including the capacitor structure CS_1 shown in FIG. 5 , unlike the semiconductor device 100 including the capacitor structure CS shown in FIG. 2 , both side surfaces of the lower electrode 170 It may include a first supporter 191 and a second supporter 192 disposed on the top.

도 5를 참조하면, 하부 전극(170)의 측면 상에는 제1 서포터(191) 및 제2 서포터(192)가 서로 수직 방향인 제3 방향(Z 방향)에서 이격되어 배치될 수 있다.Referring to FIG. 5 , a first supporter 191 and a second supporter 192 may be spaced apart from each other in a third direction (Z direction) perpendicular to each other on a side surface of the lower electrode 170 .

구체적으로, 제1 서포터(191)는 하부 전극(170)의 최상부에 위치하는 제1 하부 전극층(171)의 양 측면 상에 배치되고, 제2 서포터(192)는 제1 서포터(191)와 제3 방향(Z 방향)에서 이격되며, 하부 전극(170)의 중심부에 위치하는 제1 하부 전극층(171)의 양 측면 상에 배치될 수 있다. 즉, 제1 서포터(191)와 제2 서포터(192)는 제1 하부 전극층(171)과 직접 접촉하고, 제2 하부 전극층(172)과는 제1 하부 전극층(171)을 사이에 두고 이격되어 배치될 수 있다.Specifically, the first supporter 191 is disposed on both side surfaces of the first lower electrode layer 171 positioned on the uppermost part of the lower electrode 170, and the second supporter 192 is connected to the first supporter 191. They may be spaced apart in three directions (Z direction) and disposed on both side surfaces of the first lower electrode layer 171 positioned at the center of the lower electrode 170 . That is, the first supporter 191 and the second supporter 192 directly contact the first lower electrode layer 171 and are spaced apart from the second lower electrode layer 172 with the first lower electrode layer 171 interposed therebetween. can be placed.

도 5에서는 하부 전극(170)의 일 측면 상에 하나의 제1 서포터(191)와 하나의 제2 서포터(192)가 배치되는 것으로 도시하였지만, 하부 전극(170)의 일 측면 상에 배치되는 제1 서포터(191) 및 제2 서포터(192) 각각의 개수는 달라질 수 있다. 예를 들어, 몇몇 실시예에서, 하부 전극(170)의 일 측면 상에 추가적으로 서포터가 더 배치될 수 있다. 이때, 추가되는 서포터는 제1 서포터(191)와 제2 서포터(192) 사이에 배치될 수 있다.5 shows that one first supporter 191 and one second supporter 192 are disposed on one side of the lower electrode 170, but a second supporter 191 and one second supporter 192 are disposed on one side of the lower electrode 170. The number of each of the first supporter 191 and the second supporter 192 may vary. For example, in some embodiments, a supporter may be additionally disposed on one side of the lower electrode 170 . In this case, the added supporter may be disposed between the first supporter 191 and the second supporter 192 .

또한, 몇몇 실시예에서, 제2 서포터(192)와 식각 정지층(162) 사이에 위치하는 하부 전극(170)의 일 측면 상에 추가적으로 서포터가 더 배치될 수 있다.Also, in some embodiments, a supporter may be additionally disposed on one side of the lower electrode 170 positioned between the second supporter 192 and the etch stop layer 162 .

상기 복수의 제1 서포터(191)와 상기 복수의 제2 서포터(192)는 수직 방향인 제3 방향(Z 방향)을 따라 실질적으로 동일한 이격 거리로 이격되어 배치될 수 있다. 다만, 이에 한정되지 않으며, 상기 복수의 제1 서포터(191)와 상기 복수의 제2 서포터(192)는 제3 방향(Z 방향)을 따라 상이한 이격 거리로 이격되어 배치될 수 있다.The plurality of first supporters 191 and the plurality of second supporters 192 may be spaced apart from each other by substantially the same distance along the third direction (Z direction), which is a vertical direction. However, it is not limited thereto, and the plurality of first supporters 191 and the plurality of second supporters 192 may be spaced apart from each other at different distances along the third direction (Z direction).

본 실시예에 따른, 커패시터 구조체(CS_1)이 하부 전극(170)의 측면 상에 배치되는 제1 서포터(191) 및 제2 서포터(192)를 포함하는 경우, 몰드층(도 16의 ‘MD’참조)의 제거 공정 또는 후속 공정에서 하부 전극(170)이 쓰러지거나 무너지는 것을 효과적으로 방지할 수 있으며, 이에 따라, 전기적 특성 또는 신뢰성이 향상된 커패시터를 포함하는 반도체 장치를 제공할 수 있다.When the capacitor structure CS_1 according to the present embodiment includes the first supporter 191 and the second supporter 192 disposed on the side surface of the lower electrode 170, the mold layer ('MD' in FIG. 16 ) It is possible to effectively prevent the lower electrode 170 from collapsing or collapsing in the removal process or a subsequent process of the reference), and thus, a semiconductor device including a capacitor having improved electrical characteristics or reliability can be provided.

도 6에 도시된 커패시터 구조체(CS_2)을 포함하는 반도체 장치(100_2)에 따르면, 도 2 및 도 5에 도시된 커패시터 구조체들(CS, CS_1)을 포함하는 반도체 장치들(100, 100_1)과 달리, 하부 전극(170)의 양 측면 상에 서포터들(190, 191, 192)이 배치되지 않을 수 있다.According to the semiconductor device 100_2 including the capacitor structure CS_2 shown in FIG. 6 , unlike the semiconductor devices 100 and 100_1 including the capacitor structures CS and CS_1 shown in FIGS. 2 and 5 , , Supporters 190 , 191 , and 192 may not be disposed on both sides of the lower electrode 170 .

도 6을 참조하면, 하부 전극(170)의 양 측면 상에 도 2 및 도 5에 도시된 서포터들(190, 191, 192)이 배치되지 않음에 따라, 식각 정지층(162)의 상부면보다 높은 레벨에 위치하는 제1 하부 전극층(171)의 측면은 유전층(180)에 의해 전부 커버될 수 있다.Referring to FIG. 6 , since the supporters 190 , 191 , and 192 shown in FIGS. 2 and 5 are not disposed on both side surfaces of the lower electrode 170 , the upper surface of the etch stop layer 162 is higher than that of the supporters 190 , 191 , and 192 . A side surface of the first lower electrode layer 171 positioned at the level may be entirely covered by the dielectric layer 180 .

즉, 도 2 및 도 5에 도시된 실시예서 식각 정지층(162)의 상부면보다 높은 레벨에 위치하는 제1 하부 전극층(171)의 측면의 일부는 서포터들(190,191,192)과 접촉하고, 측면의 나머지 일부는 유전층(180)과 접촉하는 것과 달리, 도 6에 도시된 실시예에서는 제1 하부 전극층(171)의 측면의 전부가 유전층(180)과 접촉할 수 있다.That is, in the embodiments shown in FIGS. 2 and 5 , a part of the side surface of the first lower electrode layer 171 positioned at a level higher than the upper surface of the etch stop layer 162 contacts the supporters 190 , 191 , and 192 , and the rest of the side surface. Unlike a portion of which is in contact with the dielectric layer 180 , all of the side surfaces of the first lower electrode layer 171 may be in contact with the dielectric layer 180 in the embodiment shown in FIG. 6 .

본 실시예에 따른, 커패시터 구조체(CS_2)이 하부 전극(170)의 측면 상에 서포터들(190, 191, 192)이 배치되지 않는 경우에도, 몰드층(도 16의 ‘MD’참조)의 제거 공정 또는 후속 공정에서 제1 하부 전극층(171)보다 높은 강성을 갖는 제2 하부 전극층(172)에 의해 하부 전극(170)이 쓰러지거나 무너지는 것을 방지함에 따라, 하부 전극(170)의 제조 공정 과정 및 이후 공정에서 하부 전극(170)의 형상이 변화하는 것을 방지할 수 있다. According to this embodiment, even when the supporters 190, 191, and 192 are not disposed on the side of the lower electrode 170 of the capacitor structure CS_2, the mold layer (see 'MD' in FIG. 16) is removed. Manufacturing process of the lower electrode 170 as the lower electrode 170 is prevented from collapsing or collapsed by the second lower electrode layer 172 having a higher rigidity than the first lower electrode layer 171 in a process or a subsequent process. And it is possible to prevent the shape of the lower electrode 170 from changing in subsequent processes.

이하, 도 7 내지 도 19를 참조하여, 반도체 장치의 제조 방법에 대해 설명한다. 이하에서는, 이전에 설명한 동일한 구성에 대해서는 동일한 참조 부호로 지칭하며, 중복 설명은 생략하거나 간략화하고, 차이점을 위주로 설명하기로 한다.Hereinafter, a method of manufacturing a semiconductor device will be described with reference to FIGS. 7 to 19 . Hereinafter, the same reference numerals refer to the same components described previously, and redundant descriptions will be omitted or simplified, and the differences will be mainly described.

도 7 내지 도 19는 일 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 개략적인 단면도들이다.7 to 19 are schematic cross-sectional views illustrating a method of manufacturing a semiconductor device according to an exemplary embodiment.

도 7을 참조하면, 기판(110)에 소자 분리 트렌치(112T)를 형성하고, 소자 분리 트렌치(112T) 내에 소자 분리막(112)을 형성할 수 있다. 소자 분리막(112)에 의해 기판(110)에 활성 영역(AC)이 정의될 수 있다.Referring to FIG. 7 , device isolation trenches 112T may be formed in the substrate 110 , and device isolation layers 112 may be formed in the device isolation trenches 112T. An active region AC may be defined on the substrate 110 by the device isolation layer 112 .

이어, 포토 및 식각 공정을 이용하여 기판(110)에 게이트 라인 트렌치(120T)를 형성할 수 있다. 게이트 라인 트렌치(120T)는 상호 평행하게 연장되며, 활성 영역(AC)을 가로지르는 라인 형상을 가질 수 있다.Next, a gate line trench 120T may be formed in the substrate 110 using a photo and etching process. The gate line trenches 120T may extend parallel to each other and have a line shape crossing the active region AC.

이어, 게이트 라인 트렌치(120T)의 내벽 상에 게이트 절연층(122)을 형성할 수 있다. 게이트 절연층(122) 상에 게이트 라인 트렌치(120T) 내부를 채우는 게이트 도전층(미도시)을 형성한 후 에치백 공정에 의해 상기 게이트 도전층 일부를 소정의 높이만큼 제거하여 게이트 전극(124)을 형성할 수 있다.Subsequently, a gate insulating layer 122 may be formed on an inner wall of the gate line trench 120T. After forming a gate conductive layer (not shown) filling the inside of the gate line trench 120T on the gate insulating layer 122, a portion of the gate conductive layer is removed to a predetermined height by an etch-back process to form a gate electrode 124 can form

이후, 게이트 라인 트렌치(120T)의 잔류 부분을 채우도록 절연 물질을 형성하고, 기판(110)의 상부면이 노출될 때까지 상기 절연 물질을 평탄화함에 따라 게이트 라인 트렌치(120T)의 내벽 상에 게이트 캡핑층(126)을 형성할 수 있다.Thereafter, an insulating material is formed to fill the remaining portion of the gate line trench 120T, and the insulating material is planarized until the top surface of the substrate 110 is exposed, thereby forming a gate on the inner wall of the gate line trench 120T. A capping layer 126 may be formed.

이어, 기판(110)에 불순물 이온을 주입하여 제1 소스/드레인 영역(114A) 및 제2 소스/드레인 영역(114B)을 형성할 수 있다. 제1 소스/드레인 영역(114A) 및 제2 소스/드레인 영역(114B)은 게이트 구조물(120) 양측에 위치할 수 있다. Subsequently, impurity ions may be implanted into the substrate 110 to form a first source/drain region 114A and a second source/drain region 114B. The first source/drain region 114A and the second source/drain region 114B may be positioned on both sides of the gate structure 120 .

이어, 기판(110) 상에 비트 라인 구조물(130)과 비트 라인 구조물(130)을 둘러싸는 제1 절연층(142) 및 제2 절연층(144)을 형성할 수 있다. 예를 들어, 제1 절연층(142)을 먼저 형성하고, 제1 절연층(142)에 제1 소스/드레인 영역(114A)의 상부면을 노출하는 개구부(미도시)를 형성할 수 있다. 제1 절연층(142) 상에 상기 개구부를 채우는 비트 라인 콘택(132)을 형성할 수 있다.Next, the bit line structure 130 and the first insulating layer 142 and the second insulating layer 144 surrounding the bit line structure 130 may be formed on the substrate 110 . For example, the first insulating layer 142 may be formed first, and then an opening (not shown) exposing the upper surface of the first source/drain region 114A may be formed in the first insulating layer 142 . A bit line contact 132 filling the opening may be formed on the first insulating layer 142 .

이어, 제1 절연층(142) 상에 도전층(미도시) 및 절연층(미도시)을 순차적으로 형성하고, 상기 절연층과 상기 도전층을 패터닝하여 기판(110)의 상부면에 평행하게 연장되는 비트 라인(134) 및 비트 라인 캡핑층(136)을 형성할 수 있다.Subsequently, a conductive layer (not shown) and an insulating layer (not shown) are sequentially formed on the first insulating layer 142, and the insulating layer and the conductive layer are patterned to be parallel to the upper surface of the substrate 110. An extended bit line 134 and a bit line capping layer 136 may be formed.

이어, 비트 라인 콘택(132), 비트 라인(134), 및 비트 라인 캡핑층(136)Then, bit line contact 132, bit line 134, and bit line capping layer 136

의 측면 상에 비트 라인 스페이서(138)를 형성할 수 있다. 제1 절연층(142) 상에 비트 라인 구조물(130)을 커버하는 제2 절연층(144)을 형성할 수 있다.A bit line spacer 138 may be formed on a side surface of the bit line spacer 138 . A second insulating layer 144 covering the bit line structure 130 may be formed on the first insulating layer 142 .

이어, 제1 절연층(142) 및 제2 절연층(144)에 제2 소스/드레인 영역(114B)의 상부면을 노출하는 개구부(미도시)를 형성하고, 상기 개구부 내에 커패시터 콘택(150)을 형성할 수 있다. 몇몇 실시예에서, 상기 개구부 내부에 하부 콘택 패턴(미도시), 금속 실리사이드층(미도시), 배리어층(미도시) 및 상부 콘택 패턴(미도시)을 순차적으로 형성함에 의해 커패시터 콘택(150)이 형성될 수 있다.Subsequently, an opening (not shown) exposing the upper surface of the second source/drain region 114B is formed in the first insulating layer 142 and the second insulating layer 144, and a capacitor contact 150 is formed in the opening. can form In some embodiments, the capacitor contact 150 is formed by sequentially forming a lower contact pattern (not shown), a metal silicide layer (not shown), a barrier layer (not shown), and an upper contact pattern (not shown) inside the opening. can be formed.

이어, 커패시터 콘택(150) 및 제2 절연층(144) 상에 제3 절연층(146)을 형성하고, 제3 절연층(146)에 커패시터 콘택(150)의 상부면을 노출하는 개구부(미도시)를 형성하고, 상기 개구부 내에 랜딩 패드(152)를 형성할 수 있다.Subsequently, a third insulating layer 146 is formed on the capacitor contact 150 and the second insulating layer 144, and an opening (not shown) exposing the upper surface of the capacitor contact 150 is formed in the third insulating layer 146. ) may be formed, and a landing pad 152 may be formed in the opening.

이어, 랜딩 패드(152) 및 제3 절연층(146) 상에 식각 정지층(162) 및 몰드 구조체(MS)을 순서대로 형성할 수 있다. 몰드 구조체(MS)는 식각 정지층(162) 상에 순차적으로 적층되는 몰드층(MD), 예비 서포터층(190P)을 포함할 수 있다. 다만, 이에 한정되지 않으며, 몇몇 실시예에서, 몰드 구조체(MS)는 복수의 제1 예비 서포터층(미도시), 제2 예비 서포터층(미도시), 및 몰드층(MD)을 포함할 수 있으며, 제1 예비 서포터층, 제2 예비 서포터층, 및 몰드층(MD)은 서로 교대로 배치될 수 있다.Subsequently, an etch stop layer 162 and the mold structure MS may be sequentially formed on the landing pad 152 and the third insulating layer 146 . The mold structure MS may include a mold layer MD and a preliminary supporter layer 190P sequentially stacked on the etch stop layer 162 . However, it is not limited thereto, and in some embodiments, the mold structure MS may include a plurality of first preliminary supporter layers (not shown), a second preliminary supporter layer (not shown), and a mold layer MD. In addition, the first preliminary supporter layer, the second preliminary supporter layer, and the mold layer MD may be alternately disposed.

몰드층(MD)과 식각 정지층(162)은 서로에 대하여 식각 선택비를 갖는 물질들을 포함할 수 있다. 예를 들어, 몰드층(MD)이 실리콘 산화물을 포함하는 경우, 식각 정지층(162)은 실리콘 질화물, 실리콘 질산화물, 또는 실리콘 탄화 질화물(SiCN)을 포함할 수 있다.The mold layer MD and the etch stop layer 162 may include materials having an etch selectivity to each other. For example, when the mold layer MD includes silicon oxide, the etch stop layer 162 may include silicon nitride, silicon nitride, or silicon carbon nitride (SiCN).

또한, 몰드층(MD)과 예비 서포터층(190P)는 서로에 대하여 식각 선택비를 갖는 물질들을 포함할 수 있다. 예를 들어, 몰드층(MD)이 실리콘 산화물을 포함하는 경우, 예비 서포터층(190P)은 실리콘 질화물, 실리콘 질산질화물, 실리콘 보론 질화물(SiBN), 또는 실리콘 탄화질화물(SiCN)을 포함할 수 있다.Also, the mold layer MD and the preliminary supporter layer 190P may include materials having an etch selectivity to each other. For example, when the mold layer MD includes silicon oxide, the preliminary supporter layer 190P may include silicon nitride, silicon oxynitride, silicon boron nitride (SiBN), or silicon carbonitride (SiCN). .

이어, 예비 서포터층(190P), 몰드층(MD), 및 식각 정지층(162)을 패터닝할 수 있다. 예비 서포터층(190P), 몰드층(MD), 및 식각 정지층(162)은 동일한 마스크를 이용하여 패터닝할 수 있다. 예비 서포터층(190P)을 패터닝하면 도 8에 도시된 바와 같이, 서포터(190)가 형성될 수 있다. 몰드층(MD)에는 몰드 개구부(MDH)가 형성될 수 있으며, 식각 정지층(162)에는 개구부(162H)가 형성될 수 있다. 몰드 개구부(MDH) 및 개구부(162H)는 예비 서포터층(190P)이 제거된 부분에 대응할 수 있다. 몰드 개구부(MDH) 및 개구부(162H)는 실질적으로 동일한 평면 형상을 가질 수 있다. 몰드층(MD) 및 식각 정지층(162)에 각각 몰드 개구부(MDH) 및 개구부(162H)를 형성함에 따라 랜딩 패드(152)의 상부면이 노출될 수 있다.Subsequently, the preliminary supporter layer 190P, the mold layer MD, and the etch stop layer 162 may be patterned. The preliminary supporter layer 190P, the mold layer MD, and the etch stop layer 162 may be patterned using the same mask. When the preliminary supporter layer 190P is patterned, the supporter 190 may be formed as shown in FIG. 8 . A mold opening MDH may be formed in the mold layer MD, and an opening 162H may be formed in the etch stop layer 162 . The mold opening MDH and the opening 162H may correspond to a portion from which the preliminary supporter layer 190P is removed. The mold opening MDH and the opening 162H may have substantially the same planar shape. As the mold opening MDH and the opening 162H are formed in the mold layer MD and the etch stop layer 162, respectively, the upper surface of the landing pad 152 may be exposed.

이어, 도 9를 참조하면, 몰드 개구부(MDH) 내에 제1 예비 하부 전극층(171P)을 형성할 수 있다. 제1 예비 하부 전극층(171P)은 몰드 개구부(MDH)를 완전히 채우지 않고, 몰드 개구부(MDH)의 측면 및 바닥을 컨포멀하게 덮을 수 있다. 또한, 제1 예비 하부 전극층(170P)은 서포터(190)의 상부면도 덮을 수 있다Next, referring to FIG. 9 , a first preliminary lower electrode layer 171P may be formed in the mold opening MDH. The first preliminary lower electrode layer 171P may conformally cover the side surface and bottom of the mold opening MDH without completely filling the mold opening MDH. In addition, the first preliminary lower electrode layer 170P may also cover the upper surface of the supporter 190.

예를 들어, 제1 예비 하부 전극층(171P)의 형성 공정은 화학 기상 증착(Chemical Vapor Deposition, CVD) 공정, 금속 유기 CVD(MOCVD) 공정, 원자층 증착(Atomic Layer Deposition, ALD) 공정, 또는 금속 유기 ALD(MOALD) 공정일 수 있다. 다만, 제1 예비 하부 전극층(171P)의 형성 공정은 이에 한정되지 않으며, 다양하게 변경될 수 있다.For example, the forming process of the first preliminary lower electrode layer 171P is a chemical vapor deposition (CVD) process, a metal organic CVD (MOCVD) process, an atomic layer deposition (ALD) process, or a metal It may be an organic ALD (MOALD) process. However, the process of forming the first preliminary lower electrode layer 171P is not limited thereto and may be variously changed.

이어, 도 10 및 도 11을 참조하면, 소스 물질층(SL)을 제1 예비 하부 전극층(171P) 상에 형성할 수 있다. 소스 물질층(SL)은 몰드 개구부(MDH)를 완전히 채우지 않고, 제1 예비 하부 전극층(171P)을 컨포멀하게 덮을 수 있다.Next, referring to FIGS. 10 and 11 , a source material layer SL may be formed on the first preliminary lower electrode layer 171P. The source material layer SL may conformally cover the first preliminary lower electrode layer 171P without completely filling the mold opening MDH.

도 10의 B 영역을 확대한 도 11에 도시된 바와 같이, 소스 물질층(SL)은 상술한 제1 물질(M)을 포함할 수 있다. 소스 물질층(SL) 내에서 제1 물질(M)은 균일하게 분포할 수 있다. 상기 제1 물질(M)은 이후 공정에서 소스 물질층(SL)에서 제1 예비 하부 전극층(171P)으로 이동하여, 제1 예비 하부 전극층(171P)을 도핑시키는 도펀트일 수 있다. 제1 물질(M)은 예를 들어, 니오븀(Nb), 바나듐(V), 크롬(Cr), 탄탈륨(Ta), 몰리브덴(Mo), 텅스텐(W), 코발트(Co), 로듐(Rh), 이리듐(Ir), 또는 이들을 조합한 금속 물질일 수 있으나, 이에 한정되는 것은 아니며, 상기 제1 물질(M)은 다양하게 변경될 수 있다.As shown in FIG. 11 in which region B of FIG. 10 is enlarged, the source material layer SL may include the above-described first material M. The first material M may be uniformly distributed in the source material layer SL. The first material M may be a dopant that moves from the source material layer SL to the first preliminary lower electrode layer 171P in a subsequent process to dope the first preliminary lower electrode layer 171P. The first material M may include, for example, niobium (Nb), vanadium (V), chromium (Cr), tantalum (Ta), molybdenum (Mo), tungsten (W), cobalt (Co), or rhodium (Rh). , iridium (Ir), or a metal material in combination thereof, but is not limited thereto, and the first material (M) may be variously changed.

이어, 도 12 및 도 13을 참조하면, 소스 물질층(SL) 내의 제1 물질(M)을 제1 예비 하부 전극층(171P) 내로 이동시킬 수 있다. 예를 들어, 소스 물질층(SL) 및 제1 예비 하부 전극층(171P)에 어닐링(annealing) 공정을 수행하여 소스 물질층(SL) 내의 제1 물질(M)을 제1 예비 하부 전극층(171P)으로 확산시킬 수 있으며, 이에 따라, 제1 예비 하부 전극층(171P)이 도핑될 수 있다.Next, referring to FIGS. 12 and 13 , the first material M in the source material layer SL may be moved into the first preliminary lower electrode layer 171P. For example, by performing an annealing process on the source material layer SL and the first preliminary lower electrode layer 171P, the first material M in the source material layer SL is formed in the first preliminary lower electrode layer 171P. , and thus, the first preliminary lower electrode layer 171P may be doped.

즉, 도 12의 C 영역을 확대한 도 13에 도시된 바와 같이, 소스 물질층(SL) 내의 제1 물질(M)은 단면상 제1 예비 하부 전극층(171P)의 내측면으로부터 제1 예비 하부 전극층(171P)의 외측면을 향해 확산될 수 있다. 즉, 제1 물질(M)은 소스 물질층(SL)과 접촉하는 제1 예비 하부 전극층(171P)의 제1 측면(171PS1)으로부터 몰드층(MD)과 접촉하는 제1 예비 하부 전극층(171P)의 제2 측면(171PS2)을 향해 확산될 수 있다.That is, as shown in FIG. 13 , which is an enlarged region C of FIG. 12 , the first material M in the source material layer SL is the first preliminary lower electrode layer from the inner surface of the first preliminary lower electrode layer 171P in cross section. It can diffuse toward the outer surface of (171P). That is, the first material M is applied from the first side surface 171PS1 of the first preliminary lower electrode layer 171P in contact with the source material layer SL to the first preliminary lower electrode layer 171P in contact with the mold layer MD. may be diffused toward the second side surface 171PS2.

제1 예비 하부 전극층(171P) 및 소스 물질층(SL)에 대한 어닐링 공정은 예를 들어, 암모니아(NH3) 분위기, 질소(N2) 분위기, 아르곤(Ar) 분위기, 또는 이들의 조합 하에서 이루어질 수 있다. 또한, 어닐링 공정은 약 200℃ 내지 약 800℃, 예를 들어, 약 400℃ 내지 약 600℃의 온도에서 수행될 수 있다 다만, 어닐링 공정의 온도는 상기 상술한 수치 범위에 한정되지 않으며, 다양하게 변경될 수 있다.The annealing process for the first preliminary lower electrode layer 171P and the source material layer SL may be performed under, for example, an ammonia (NH 3 ) atmosphere, a nitrogen (N 2 ) atmosphere, an argon (Ar) atmosphere, or a combination thereof. can In addition, the annealing process may be performed at a temperature of about 200 ° C to about 800 ° C, for example, about 400 ° C to about 600 ° C. However, the temperature of the annealing process is not limited to the above-described numerical range, and variously can be changed.

이어, 도 13 및 도 14를 참조하면, 소스 물질층(SL) 내의 제1 물질(M)을 제1 예비 하부 전극층(171P) 내로 이동시킨 후, 소스 물질층(SL)을 제거할 수 있다.Next, referring to FIGS. 13 and 14 , after the first material M in the source material layer SL is moved into the first preliminary lower electrode layer 171P, the source material layer SL may be removed.

소스 물질층(SL)을 제거함에 따라, 제1 예비 하부 전극층(171P)이 노출될 수 있으며, 제1 예비 하부 전극층(171P)은 도핑된 상태일 수 있다. 상술한 바와 같이, 제1 물질(M)을 제1 예비 하부 전극층(171P)의 내측면으로부터 제1 예비 하부 전극층(171P)의 외측면을 향해 확산시킴에 따라, 제1 예비 하부 전극층(171P)의 내측면에 가까운 부분에는 상대적으로 제1 물질(M)이 높은 밀도를 가지고 분포할 수 있다. 반대로, 제1 예비 하부 전극층(171P)의 외측면에 가까운 부분에는 상대적으로 제1 물질(M)이 낮은 밀도를 가지고 분포할 수 있다. 따라서, 제1 예비 하부 전극층(171P) 내로 확산된 제1 물질(M)의 농도는 제1 예비 하부 전극층(171P)의 내측면에서 외측면으로 갈수록 작아질 수 있다.As the source material layer SL is removed, the first preliminary lower electrode layer 171P may be exposed, and the first preliminary lower electrode layer 171P may be doped. As described above, as the first material M is diffused from the inner surface of the first preliminary lower electrode layer 171P toward the outer surface of the first preliminary lower electrode layer 171P, the first preliminary lower electrode layer 171P is formed. In a portion close to the inner surface of the first material M may be distributed with a relatively high density. Conversely, the first material M may be distributed with a relatively low density in a portion close to the outer surface of the first preliminary lower electrode layer 171P. Accordingly, the concentration of the first material M diffused into the first preliminary lower electrode layer 171P may decrease from the inner surface to the outer surface of the first preliminary lower electrode layer 171P.

이어, 도 15 및 도 16을 참조하면, 제1 예비 하부 전극층(171P) 상에 몰드 개구부(MDH)의 내부를 채우는 제2 예비 하부 전극층(172P)을 형성할 수 있다. 또한, 제2 예비 하부 전극층(172P)은 서포터(190)의 상부면 상에도 형성될 수 있다.Next, referring to FIGS. 15 and 16 , a second preliminary lower electrode layer 172P filling the inside of the mold opening MDH may be formed on the first preliminary lower electrode layer 171P. In addition, the second preliminary lower electrode layer 172P may also be formed on the upper surface of the supporter 190 .

제1 하부 전극층(171)은 제2 물질을 포함하고, 제2 하부 전극층(172)은 제2 물질과 상이하며, 상기 제2 물질보다 강성이 높은 제3 물질을 포함할 수 있다. 예를 들어, 제2 물질은 루테늄(Ru), 티타늄(Ti), 탄탈륨(Ta), 니오븀(Nb), 이리듐(Ir), 몰리브덴(Mo), 텅스텐(W) 등의 금속, 티타늄 질화물(TiN), 탄탈륨 질화물(TaN), 니오븀 질화물(NbN), 몰리브덴 질화물(MoN), 텅스텐 질화물(WN) 등의 도전성 금속 질화물, 및 이리듐 산화물(IrO2), 루테늄 산화물(RuO2), 스트론튬 루테늄 산화물(SrRuO3) 등의 도전성 금속 산화물 중에서 선택된 적어도 하나를 포함하며, 상기 제3 물질은 TSN(Ti-Si-N)을 포함할 수 있다. 다만, 제2 물질과 제3 물질은 상술한 물질들에 한정되지 않으며, 다양하게 변형될 수 있다. 경우에 따라 제1 하부 전극층(171) 및 제2 하부 전극층(172)이 제2 물질을 포함할 수도 있다.The first lower electrode layer 171 may include a second material, and the second lower electrode layer 172 may include a third material that is different from the second material and has higher stiffness than the second material. For example, the second material is a metal such as ruthenium (Ru), titanium (Ti), tantalum (Ta), niobium (Nb), iridium (Ir), molybdenum (Mo), tungsten (W), titanium nitride (TiN ), conductive metal nitrides such as tantalum nitride (TaN), niobium nitride (NbN), molybdenum nitride (MoN), and tungsten nitride (WN), and iridium oxide (IrO 2 ), ruthenium oxide (RuO 2 ), strontium ruthenium oxide ( It includes at least one selected from conductive metal oxides such as SrRuO 3 ), and the third material may include TSN (Ti-Si-N). However, the second material and the third material are not limited to the above materials and may be variously modified. In some cases, the first lower electrode layer 171 and the second lower electrode layer 172 may include a second material.

몇몇 실시예에서, 제2 예비 하부 전극층(172P)의 형성 공정은 화학 기상 증착(Chemical Vapor Deposition, CVD) 공정, 금속 유기 CVD(MOCVD) 공정, 원자층 증착(Atomic Layer Deposition, ALD) 공정, 또는 금속 유기 ALD(MOALD) 공정일 수 있다. 다만, 제2 예비 하부 전극층(172P)의 형성 공정은 이에 한정되지 않으며, 다양하게 변형될 수 있다.In some embodiments, the process of forming the second preliminary lower electrode layer 172P is a chemical vapor deposition (CVD) process, a metal organic CVD (MOCVD) process, an atomic layer deposition (ALD) process, or It may be a metal organic ALD (MOALD) process. However, the process of forming the second preliminary lower electrode layer 172P is not limited thereto and may be variously modified.

또한, 몇몇 실시예에서, 제2 예비 하부 전극층(172P)의 증착 공정은 물질층 형성 사이클을 복수 회 반복하여 수행될 수 있고, 상기 물질층 형성 사이클은, 제1 전구체 소스를 투입하는 단계, 여분의 제1 전구체 소스를 퍼지하는 단계, 제2 전구체 소스를 투입하는 단계, 및 여분의 제2 전구체 소스를 퍼지하는 단계를 포함할 수 있다.Also, in some embodiments, the deposition process of the second preliminary lower electrode layer 172P may be performed by repeating a material layer forming cycle a plurality of times, and the material layer forming cycle includes inputting a first precursor source, a redundant It may include purging the first precursor source, introducing a second precursor source, and purging an extra second precursor source.

이어, 제1 예비 하부 전극층(171P) 및 제2 예비 하부 전극층(172P) 중 서포터(190)을 덮는 부분과 제2 예비 하부 전극층(172P) 중 서포터(190)의 상부면보다 돌출된 부분이 제거될 수 있다. 예를 들어, 에치 백(etch Back) 또는 폴리싱(polishing)이 수행될 수 있다.Subsequently, the portion covering the supporter 190 among the first preliminary lower electrode layer 171P and the second preliminary lower electrode layer 172P and the portion protruding from the upper surface of the supporter 190 among the second preliminary lower electrode layer 172P are removed. can For example, etch back or polishing may be performed.

이에 의해, 하부 전극(170)이 완성될 수 있다. 즉, 제1 예비 하부 전극층(171P)의 남아 있는 부분은 하부 전극(170)의 제1 하부 전극층(171)이 되고, 제2 예비 하부 전극층(172P)의 남아 있는 부분은 하부 전극(170)의 제2 하부 전극층(172)이 될 수 있다.As a result, the lower electrode 170 may be completed. That is, the remaining part of the first preliminary lower electrode layer 171P becomes the first lower electrode layer 171 of the lower electrode 170, and the remaining part of the second preliminary lower electrode layer 172P becomes the lower electrode 170. It may become the second lower electrode layer 172 .

이어, 도 17을 참조하면, 몰드층(MD)을 제거하여, 서포터(190)와 식각 정지층(162) 사이에 빈 공간(OP)을 형성할 수 있다. 즉, 몰드층(MD)을 제거함으로써 식각 정지층(162)의 상부면, 제1 하부 전극층(171)의 측면, 및 서포터(190)의 하부면이 빈 공간(OP)에 노출될 수 있다.Next, referring to FIG. 17 , an empty space OP may be formed between the supporter 190 and the etch stop layer 162 by removing the mold layer MD. That is, by removing the mold layer MD, the upper surface of the etch stop layer 162 , the side surface of the first lower electrode layer 171 , and the lower surface of the supporter 190 may be exposed to the empty space OP.

몰드층(MD)을 제거하는 공정에서 서포터(190)는 제거되지 않으며, 서로 이웃한 하부 전극(170)들이 서포터(190)에 연결되고 이들에 의해 지지될 수 있다.In the process of removing the mold layer MD, the supporter 190 is not removed, and the lower electrodes 170 adjacent to each other may be connected to and supported by the supporter 190 .

또한, 상술한 바와 같이, 제2 하부 전극층(172)이 강성이 높은 물질을 포함함에 따라, 몰드층(MD)을 제거하는 공정에서 하부 전극(170)이 휘어지거나 변형되는 것을 방지하여, 종횡비를 갖는 하부 전극(170)의 본래 형상을 유지할 수 있다.In addition, as described above, since the second lower electrode layer 172 includes a material having high rigidity, it prevents the lower electrode 170 from being bent or deformed in the process of removing the mold layer MD, thereby reducing the aspect ratio. The original shape of the lower electrode 170 may be maintained.

이어, 도 18을 참조하면, 유전층(180)을 하부 전극(170)과 서포터(190) 상에 컨포멀하게 형성할 수 있다.Next, referring to FIG. 18 , a dielectric layer 180 may be conformally formed on the lower electrode 170 and the supporter 190 .

예를 들어, 유전층(180)의 형성 공정은 화학 기상 증착(Chemical Vapor Deposition, CVD) 공정, 금속 유기 CVD(MOCVD) 공정, 원자층 증착(Atomic Layer Deposition, ALD) 공정, 또는 금속 유기 ALD(MOALD) 공정일 수 있다. 다만, 유전층(180)의 형성 공정은 이에 한정되지 않으며, 다양하게 변형될 수 있다.For example, the formation process of the dielectric layer 180 is a chemical vapor deposition (CVD) process, a metal organic CVD (MOCVD) process, an atomic layer deposition (ALD) process, or a metal organic ALD (MOALD) process. ) can be fair. However, the formation process of the dielectric layer 180 is not limited thereto and may be variously modified.

유전층(180)은 하프늄 산화물을 사용하여 형성될 수 있고, 하부 전극(170)과 접촉하는 유전층(180) 부분은 테트라고날 결정상을 우세하게 갖도록 형성될 수 있다.The dielectric layer 180 may be formed using hafnium oxide, and a portion of the dielectric layer 180 contacting the lower electrode 170 may have a tetragonal crystal phase predominately.

도 10 내지 도 13을 참조하여 상술한 바와 같이, 소스 물질층(SL) 내의 제1 물질(M)을 단면상 제1 예비 하부 전극층(171P)의 내측면으로부터 제1 예비 하부 전극층(171P)의 외측면을 향해 확산시키므로, 제1 예비 하부 전극층(171P)의 외측면에 잔존하는 물질, 소스 물질층(SL) 등을 제거하기 위한 공정이 생략될 수 있고, 몰드층(MD)을 제거하지 않은 상태에서 제1 예비 하부 전극층(171P)을 형성하므로, 제1 예비 하부 전극층(171P)의 외측면은 상대적으로 고른 표면 거칠기를 가질 수 있다.As described above with reference to FIGS. 10 to 13 , the first material M in the source material layer SL is spread from the inner surface of the first preliminary lower electrode layer 171P to the outer surface of the first preliminary lower electrode layer 171P in cross-section. Since the diffusion is directed toward the side surface, a process for removing the material remaining on the outer surface of the first preliminary lower electrode layer 171P, the source material layer SL, and the like may be omitted, and the mold layer MD may not be removed. Since the first preliminary lower electrode layer 171P is formed in , an outer surface of the first preliminary lower electrode layer 171P may have a relatively even surface roughness.

또한, 도 16을 참조하여 설명한 몰드층(MD)의 제거 공정에서 몰드층(MD)과 접촉하던 제1 하부 전극층(171)의 외측면의 표면의 일부가 함께 제거될 수 있다. 다만, 상술한 바와 같이, 제1 하부 전극층(171)에 도핑된 제1 물질(도 13의 ‘M’참조)의 농도는 단명상 제2 하부 전극층(172)과 접촉하는 내측면에서 최대이며, 몰드층(MD)과 접촉하던 외측면에서 최소이므로, 외측면의 표면의 일부가 몰드층(MD)과 함께 제거되더라도 제1 하부 전극층(171)은 높은 도핑 농도를 유지할 수 있다.In addition, in the process of removing the mold layer MD described with reference to FIG. 16 , a part of the outer surface of the first lower electrode layer 171 that is in contact with the mold layer MD may be removed together. However, as described above, the concentration of the first material (refer to 'M' in FIG. 13) doped in the first lower electrode layer 171 is maximum on the inner surface in contact with the second lower electrode layer 172, Since the outer surface in contact with the mold layer MD is minimal, the first lower electrode layer 171 may maintain a high doping concentration even if a part of the surface of the outer surface is removed together with the mold layer MD.

따라서, 제1 하부 전극층(171) 상에 형성되는 유전층(180)의 결정성이 향상될 수 있으며, 더 큰 유전 상수를 갖는 유전층(180)을 형성할 수 있다.Accordingly, the crystallinity of the dielectric layer 180 formed on the first lower electrode layer 171 may be improved, and the dielectric layer 180 having a higher dielectric constant may be formed.

이어, 도 19를 참조하면, 유전층(180) 상에 하부 전극(170) 및 서포터(190)를 커버하는 상부 전극(200)을 형성할 수 있다. 즉, 하부 전극(170)의 측면 및 상부면을 덮도록 상부 전극(200)을 형성할 수 있다. 또한, 식각 정지층(162)과 서포터(190)의 상부면 및 바닥면을 덮도록 상부 전극(200)을 형성할 수 있다. 이와 같은 과정에 의해 도 19에 도시된 커패시터 구조체(CS)를 포함하는 반도체 장치(100)를 완성할 수 있다.Next, referring to FIG. 19 , an upper electrode 200 covering the lower electrode 170 and the supporter 190 may be formed on the dielectric layer 180 . That is, the upper electrode 200 may be formed to cover the side surface and upper surface of the lower electrode 170 . In addition, the upper electrode 200 may be formed to cover the etch stop layer 162 and the top and bottom surfaces of the supporter 190 . Through this process, the semiconductor device 100 including the capacitor structure CS shown in FIG. 19 may be completed.

도 7 내지 도 19를 참조하여 설명한 반도체 장치(100)의 제조 공정은 일 실시예에 불과할 뿐 한정되지 않으며, 몇몇 실시예에서 상술한 제조 공정 단계의 일부가 생략되거나, 제조 공정 단계가 추가될 수 있다.The manufacturing process of the semiconductor device 100 described with reference to FIGS. 7 to 19 is only one embodiment and is not limited, and in some embodiments, some of the manufacturing process steps described above may be omitted or additional manufacturing process steps may be added. there is.

이상에서 본 발명의 실시예에 대하여 상세하게 설명하였지만 본 발명의 권리범위는 이에 한정되는 것은 아니고 다음의 청구범위에서 정의하고 있는 본 발명의 기본 개념을 이용한 당업자의 여러 변형 및 개량 형태 또한 본 발명의 권리범위에 속하는 것이다.Although the embodiments of the present invention have been described in detail above, the scope of the present invention is not limited thereto, and various modifications and improvements of those skilled in the art using the basic concept of the present invention defined in the following claims are also included in the scope of the present invention. that fall within the scope of the right.

100: 반도체 장치
110: 기판
120: 게이트 구조물
130: 비트 라인 구조물
150: 커패시터 콘택
152: 랜딩 패드
170: 하부 전극
171: 제1 하부 전극층
172: 제2 하부 전극층
180: 유전층
190: 서포터
200: 상부 전극
CS: 커패시터 구조체
MS: 몰드 구조체
MD: 몰드층
SL: 소스 물질층
100: semiconductor device
110: substrate
120: gate structure
130: bit line structure
150: capacitor contact
152: landing pad
170: lower electrode
171: first lower electrode layer
172: second lower electrode layer
180: dielectric layer
190: Supporter
200: upper electrode
CS: capacitor structure
MS: mold structure
MD: mold layer
SL: source material layer

Claims (10)

기판, 및
상기 기판 위에 위치하는 커패시터 구조체를 포함하고,
상기 커패시터 구조체는,
서로 이격되어 있는 복수의 하부 전극들,
상기 복수의 하부 전극들 사이에 위치하는 서포터,
상기 복수의 하부 전극들을 덮는 상부 전극, 및
상기 하부 전극들과 상기 상부 전극 사이에 위치하는 유전층을 포함하고,
상기 복수의 하부 전극들 각각은
제1 하부 전극층, 및
상기 제1 하부 전극층에 의해 둘러싸여 있는 제2 하부 전극층을 포함하고,
상기 제1 하부 전극층은 제1 물질로 도핑되어 있는 제2 물질을 포함하고,
상기 제2 하부 전극층은 상기 제1 물질 및 상기 제2 물질과 상이한 제3 물질을 포함하고,
상기 제1 물질의 도핑 농도는 상기 제2 하부 전극층에 가까울수록 증가하는 반도체 장치.
substrate, and
Including a capacitor structure located on the substrate,
The capacitor structure,
A plurality of lower electrodes spaced apart from each other;
A supporter positioned between the plurality of lower electrodes;
An upper electrode covering the plurality of lower electrodes, and
A dielectric layer positioned between the lower electrodes and the upper electrode,
Each of the plurality of lower electrodes is
a first lower electrode layer, and
A second lower electrode layer surrounded by the first lower electrode layer;
The first lower electrode layer includes a second material doped with a first material,
The second lower electrode layer includes a third material different from the first material and the second material,
The semiconductor device of claim 1 , wherein the doping concentration of the first material increases as it is closer to the second lower electrode layer.
제1 항에서,
상기 제3 물질은 상기 제2 물질에 비해 강성이 높은 반도체 장치.
In paragraph 1,
The third material has higher rigidity than the second material.
제2항에서,
상기 제2 물질은 TiN, TaN, NbN, MoN, 및 WN 중 적어도 어느 하나를 포함하고,
상기 제3 물질은 TSN(Ti-Si-N)을 포함하는 반도체 장치.
In paragraph 2,
The second material includes at least one of TiN, TaN, NbN, MoN, and WN,
The semiconductor device of claim 1 , wherein the third material includes TSN (Ti-Si-N).
제1항에서,
상기 제1 물질은 Nb, V, Cr, Ta, Mo, W, Co, Rh, 및 Ir 중 적어도 어느 하나를 포함하는 반도체 장치.
In paragraph 1,
The semiconductor device of claim 1 , wherein the first material includes at least one of Nb, V, Cr, Ta, Mo, W, Co, Rh, and Ir.
제1항에서,
상기 서포터는,
상기 복수의 하부 전극들의 상부 영역 사이에 위치하는 제1 서포터, 및
상기 복수의 하부 전극들의 중심부 영역 사이에 위치하는 제2 서포터를 포함하는 반도체 장치.
In paragraph 1,
The supporter,
A first supporter positioned between upper regions of the plurality of lower electrodes, and
A semiconductor device comprising a second supporter positioned between central regions of the plurality of lower electrodes.
제1항에서,
상기 유전층은 상기 서포터와 상기 상부 전극 사이에 더 위치하는 반도체 장치.
In paragraph 1,
The semiconductor device of claim 1 , wherein the dielectric layer is further positioned between the supporter and the upper electrode.
기판 위에 몰드층 및 서포터를 적층하는 단계,
상기 몰드층 및 상기 서포터를 관통하는 개구부를 형성하는 단계,
상기 개구부 내에 제1 하부 전극층을 형성하는 단계,
상기 제1 하부 전극층 위에 소스 물질층을 형성하는 단계,
열처리 공정을 진행하여 상기 소스 물질층에 포함되어 있는 제1 물질을 상기 제1 하부 전극층 내부로 확산시키는 단계,
상기 소스 물질층을 제거하는 단계,
상기 제1 하부 전극층의 제1 면 위에 제2 하부 전극층을 형성하는 단계,
상기 몰드층을 제거하는 단계,
상기 제1 하부 전극층의 제2 면 위에 유전층을 형성하는 단계, 및
상기 유전층 위에 상부 전극을 형성하는 단계를 포함하고,
상기 소스 물질층은 상기 제1 물질을 포함하는 산화물로 이루어지는 반도체 장치의 제조 방법.
Laminating a mold layer and a supporter on a substrate;
Forming an opening penetrating the mold layer and the supporter;
Forming a first lower electrode layer in the opening;
Forming a source material layer on the first lower electrode layer;
Proceeding a heat treatment process to diffuse the first material included in the source material layer into the first lower electrode layer;
removing the source material layer;
Forming a second lower electrode layer on the first surface of the first lower electrode layer;
removing the mold layer;
forming a dielectric layer on the second surface of the first lower electrode layer; and
Forming an upper electrode on the dielectric layer,
The method of claim 1 , wherein the source material layer is made of an oxide containing the first material.
제7항에서,
상기 제1 물질은 Nb, V, Cr, Ta, Mo, W, Co, Rh, 및 Ir 중 적어도 어느 하나를 포함하는 반도체 장치의 제조 방법.
In paragraph 7,
The method of claim 1 , wherein the first material includes at least one of Nb, V, Cr, Ta, Mo, W, Co, Rh, and Ir.
제8항에서,
상기 제1 물질을 상기 제1 하부 전극층 내부로 확산시켜 상기 제1 하부 전극층이 상기 제1 물질로 도핑되고,
상기 제1 물질의 도핑 농도는 상기 제2 하부 전극층에 가까울수록 증가하는 반도체 장치의 제조 방법.
In paragraph 8,
The first material is diffused into the first lower electrode layer so that the first lower electrode layer is doped with the first material;
A method of manufacturing a semiconductor device in which the doping concentration of the first material increases as it is closer to the second lower electrode layer.
제7항에서,
상기 제1 하부 전극층은 TiN, TaN, NbN, MoN, 및 WN 중 적어도 어느 하나를 포함하고,
상기 제2 하부 전극층은 TSN(Ti-Si-N)을 포함하는 반도체 장치의 제조 방법.
In paragraph 7,
The first lower electrode layer includes at least one of TiN, TaN, NbN, MoN, and WN,
The second lower electrode layer is a method of manufacturing a semiconductor device including TSN (Ti-Si-N).
KR1020230023671A 2023-02-22 2023-02-22 Semiconductor device and method for fabricating the same KR20230047970A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230023671A KR20230047970A (en) 2023-02-22 2023-02-22 Semiconductor device and method for fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020230023671A KR20230047970A (en) 2023-02-22 2023-02-22 Semiconductor device and method for fabricating the same

Publications (1)

Publication Number Publication Date
KR20230047970A true KR20230047970A (en) 2023-04-10

Family

ID=85984590

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230023671A KR20230047970A (en) 2023-02-22 2023-02-22 Semiconductor device and method for fabricating the same

Country Status (1)

Country Link
KR (1) KR20230047970A (en)

Similar Documents

Publication Publication Date Title
US11929393B2 (en) Integrated circuit devices and methods of manufacturing the same
US20200058731A1 (en) Semiconductor device
US11929389B2 (en) Integrated circuit device
TW202236613A (en) Semiconductor device
CN112786595A (en) Semiconductor memory device
KR20230047970A (en) Semiconductor device and method for fabricating the same
KR20230123345A (en) Semiconductor memory devices
US20230397404A1 (en) Integrated circuit device
US20240130110A1 (en) Semiconductor device
US20230413525A1 (en) Semiconductor memory device
TWI806235B (en) Semiconductor structure having composite mold layer
TWI835549B (en) Semiconductor device
US20240015948A1 (en) Integrated circuit device and method of manufacturing the same
US20220344341A1 (en) Semiconductor devices having air gaps
US20240147710A1 (en) Semiconductor device
US20230328961A1 (en) Semiconductor device
US20220189967A1 (en) Semiconductor memory device
KR20230047974A (en) Semiconductor device and method for fabricating the same
KR20240002974A (en) Semiconductor device
TW202349670A (en) Capacitor structure and semiconductor memory device including the structure
TW202412268A (en) Semiconductor device
KR20230094833A (en) Semiconductor memory devices
KR20200019553A (en) Semiconductor devices

Legal Events

Date Code Title Description
G15R Request for early publication