KR20220167375A - Euv 광원에서 가스 흐름을 제어하기 위한 장치 및 방법 - Google Patents

Euv 광원에서 가스 흐름을 제어하기 위한 장치 및 방법 Download PDF

Info

Publication number
KR20220167375A
KR20220167375A KR1020227035623A KR20227035623A KR20220167375A KR 20220167375 A KR20220167375 A KR 20220167375A KR 1020227035623 A KR1020227035623 A KR 1020227035623A KR 20227035623 A KR20227035623 A KR 20227035623A KR 20220167375 A KR20220167375 A KR 20220167375A
Authority
KR
South Korea
Prior art keywords
flow
gas
droplet
euv radiation
vessel
Prior art date
Application number
KR1020227035623A
Other languages
English (en)
Inventor
4세 존 탐 스튜얼트
마크 가이 랑글루아
웨 마
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220167375A publication Critical patent/KR20220167375A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001Production of X-ray radiation generated from plasma
    • H05G2/003Production of X-ray radiation generated from plasma the plasma being generated from a material in a liquid or gas state
    • H05G2/005Production of X-ray radiation generated from plasma the plasma being generated from a material in a liquid or gas state containing a metal as principal radiation generating component
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001Production of X-ray radiation generated from plasma
    • H05G2/008Production of X-ray radiation generated from plasma involving an energy-carrying beam in the process of plasma generation

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • General Physics & Mathematics (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Environmental & Geological Engineering (AREA)
  • Optics & Photonics (AREA)
  • X-Ray Techniques (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

극자외 방사선을 생성하기 위한 소스와 방법이 제공되며, 소스 내에 도입되거나 소스로부터 배기되는 가스의 흐름 특성이 지배적인 소스 동작 모드, 예를 들면 소스가 온-액적 동작 모드에 있는지 또는 오프-액적 동작 모드에 있는지에 따라 적어도 부분적으로 변경된다.

Description

EUV 광원에서 가스 흐름을 제어하기 위한 장치 및 방법
관련 출원에 대한 상호 참조
본 출원은, 2020년 4월 13일에 출원되고 발명의 명칭이 "APPARATUS FOR AND METHOD OF CONTROLLING GAS FLOW IN AN EUV LIGHT SOURCE"인 미국 출원 제63/009,127호에 대해 우선권을 주장하며, 이러한 문헌의 내용은 전체로서 원용에 의해 본원에 통합된다.
기술분야
본 개시내용은 용기 내에서 소스 또는 타겟 재료의 방전 또는 레이저 어블레이션(ablation)을 통해 생성된 플라즈마로부터 극자외("EUV") 방사선을 생성하기 위한 장치 및 방법에 관한 것이다. 이러한 응용예에서는, 광학 요소가 예를 들어 반도체 포토리소그래피 및 검사에 사용하기 위해 방사선을 집광하고 지향시키는 데에 사용된다.
극자외 방사선, 예를 들어 약 50 nm 이하의 파장을 갖는 전자기 방사선(종종 소프트 x-선이라고도 함) 및 약 13.5 nm의 파장의 방사선을 포함하는 전자기 방사선이 기판, 예컨대 실리콘 웨이퍼에 매우 작은 피처를 생성하기 위해 포토리소그래피 공정에서 사용될 수 있다.
EUV 방사선을 생성하는 방법은 타겟 재료를 플라즈마 상태로 변환하는 것을 포함한다. 타겟 재료는 바람직하게는 전자기 스펙트럼의 EUV 부분에 하나 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 포함한다. 타겟 재료는 고체, 액체 또는 기체일 수 있다. 종종 레이저 생성 플라즈마("LPP")라고 지칭되는 그러한 한 가지 방법에서는, 레이저 빔을 이용하여 요구되는 선방출 원소를 갖는 타겟 재료를 조사함으로써 요구되는 플라즈마가 생성될 수 있다.
한 가지 LPP 기법은 타겟 재료 액적의 스트림을 생성하고 액적의 적어도 몇몇을 하나 이상의 레이저 방사선 펄스로 조사하는 것을 수반한다. 이러한 LPP 소스는 레이저 에너지를 적어도 하나의 EUV 방출 원소를 갖는 타겟 재료에 결합하여 고도로 이온화된 플라즈마를 생성함으로써 EUV 방사선을 생성하게 된다.
이러한 프로세스를 위해, 플라즈마는 통상적으로 밀봉된 용기, 예를 들어 진공 챔버 내에서 생성되고, 결과로 생성되는 EUV 방사선은 다양한 유형의 계측 장비를 사용하여 모니터링된다. EUV 방사선을 생성하는 것 외에도, 플라즈마를 생성하는 데에 사용되는 프로세스는 또한 일반적으로 대역외 방사선, 고에너지 이온, 및 잔해, 예를 들어 잔여 타겟 재료의 원자 및/또는 덩어리/미세액적을 포함할 수 있는 바람직하지 않은 부산물을 플라즈마 챔버에 생성한다.
고에너지 방사선은 플라즈마로부터 모든 방향으로 방출된다. 하나의 일반적인 배열에서는, 수직-근방-입사 미러(종종 "콜렉터 미러" 또는 간단히 "콜렉터"라고 함)가 방사선의 적어도 일부를 집광하고, 지향시키고, 일부 배열에서는 중간 위치에 포커싱하기 위해 배치된다. 그러면 집광된 방사선은 중간 위치로부터 광학계, 레티클, 검출기들의 세트로, 그리고 궁극적으로 실리콘 웨이퍼로 중계될 수 있다.
스펙트럼의 EUV 부분에서는, 일반적으로 콜렉터, 조명기 및 투영 광학 상자를 포함하는 시스템 내의 광학 요소를 위해 반사형 광학계를 사용하는 것이 필수적으로 여겨진다. 이들 반사형 광학계는 언급된 바와 같은 수직 입사 광학계 또는 그레이징 입사 광학계로서 구현될 수 있다. 관련되는 파장에서, 콜렉터는 다층 미러("MLM")로 구현되는 것이 유리하다. 명칭에서 알 수 있는 바와 같이, 이러한 MLM은 일반적으로 기초 또는 기판 위에 교번하는 재료 층(MLM 스택)으로 이루어진다. 시스템 광학계는 MLM으로 구현되지 않더라도 코팅된 광학 요소로 구성될 수도 있다.
광학 요소, 특히 콜렉터는 EUV 방사선을 집광하고 재지향시키기 위해 플라즈마가 있는 용기 내에 배치되어야 한다. 챔버 내의 환경은 광학 요소에 해롭고, 따라서 예를 들어 반사율을 저하시킴으로써 유용한 수명을 제한한다. 환경 내의 광학 요소는 타겟 재료의 고에너지 이온 또는 입자에 노출될 수 있다. 본질적으로 레이저 기화 프로세스로부터의 잔해인 타겟 재료의 입자가 광학 요소의 노출된 표면을 오염시킬 수 있다. 타겟 재료의 입자는 또한 MLM 표면에 물리적 손상 및 국부적 가열을 유발할 수 있다.
일부 시스템에서는, 약 0.5 내지 약 3 mbar 범위 압력의 H2 가스가 잔해 완화를 위한 버퍼 가스로서 진공 챔버에서 사용된다. 가스가 없는 상태에서 진공 압력에서, 조사 영역으로부터 배출되는 타겟 재료 잔해로부터 콜렉터를 적절하게 보호하는 것은 어려울 수 있다. 수소는 약 13.5 nm의 파장을 갖는 EUV 방사선에 대해 상대적으로 투명하므로 He, Ar 또는 약 13.5 nm에서 더 높은 흡수율을 나타내는 기타 가스와 같은 다른 후보 가스보다 선호된다.
H2 가스는 플라즈마에 의해 생성된 타겟 재료의 고에너지 잔해(이온, 원자 및 클러스터)를 늦추기 위해 진공 챔버 내에 도입된다. 잔해는 가스 분자와의 충돌로 인해 느려진다. 이러한 목적을 위해, 또한 잔해 궤적에 반대일 수 있고 콜렉터로부터 멀어지는 방향일 수 있는 H2 가스의 흐름이 사용된다. 이는 콜렉터의 광학 코팅에 대한 침착, 주입 및 스퍼터링 타겟 재료의 손상을 줄이는 역할을 한다.
따라서, 타겟 재료를 변형시키는 프로세스는 입자를 생성하고 표면 상에 잔여 타겟 재료를 침착시키게 되는데, 잔여 타겟 재료를 동반하는 가스의 배기 경로뿐만 아니라 조사 사이트와 표면 사이에 장애물이 없는 경로가 있다. 예를 들어, 이러한 가스가 챔버에 있는 베인의 상단을 가로질러 기계식 펌프까지 펌핑되면, 곧 재료가 모든 차가운 금속 부품에 침착된다. 타겟 재료가 주석인 경우, 이는 콜렉터 광학계 상에 떨어져 배기 경로를 막을 수 있는 주석 울(wool)의 성장으로 이어질 수 있다.
주석과 같은 타겟 재료가 플라즈마를 생성하도록 레이저 방사선으로 조명될 때, 타겟 재료의 특정 부분이 잔해가 된다. 예를 들어, 타겟 재료 잔해는 Sn 증기, SnH4 증기, Sn 원자, Sn 이온, Sn 클러스터, Sn 마이크로입자, Sn 나노입자 및 Sn 침착물을 포함할 수 있다. Sn 잔해가 EUV 콜렉터 또는 EUV 용기의 하나 이상의 내부 용기 벽 상에 축적되면 EUV 콜렉터 효율, 수명 및 가용성이 감소할 수 있다.
소스 용기로부터의 주석 잔해는 중간 초점을 통과하여 EUV 소스로부터 스캐너까지 이를 수 있으며, 이는 예를 들어 EUV 시스템 생산성과 소유 비용에 그 수명이 중요한 값비싼 광학 요소인 스캐너의 조명기를 오염시킬 수 있다. 위에서 설명한 바와 같이, 주석 오염의 한 형태는 소스 용기 내의 중간 초점 근처의 벽으로부터 용융 주석의 배출 또는 "스핏(spitting)"이다. 주석 잔해가 스캐너에 도달하는 것을 방지하기 위해 사용되는 한 가지 기술은 2017년 3월 28일자로 발행된 특허 제9,606,445호(발명의 명칭 "Lithographic Apparatus and Method of Manufacturing a Device")에 개시된 바와 같이 주석 오염을 억제하기 위해 중간 초점에 동적 가스 록을 적용하는 것을 수반하며, 이러한 문헌의 전체 내용은 원용에 의해 본원에 통합된다.
EUV 광을 생성하는 프로세는 타겟 재료가 용기의 벽 상에 침착되게 할 수도 있다. 용기 벽 상의 타겟 재료 침착을 제어하는 것은 생산에 배치된 EUV 소스의 수용가능한 긴 수명을 달성하는 데에 중요하다. 또한, 조사 사이트로부터의 타겟 재료 플럭스를 관리하는 것은 폐기물 타겟 재료 완화 시스템이 의도한 대로 작동하도록 하기 위해 중요하다.
다음은 실시예에 대한 기본적인 이해를 제공하기 위해 하나 이상의 실시예에 대한 요약을 제시한다. 이러한 요약은 모든 예기된 실시예의 광범위한 개요가 아니며, 모든 실시예의 핵심 또는 중요 요소를 식별하거나 임의의 또는 모든 실시예의 범위를 한정하려는 것이 아니다. 그 유일한 목적은 하나 이상의 실시예의 일부 개념을 이후에 제시되는 보다 상세한 설명의 서두로서 단순화된 형태로 제시하려는 것이다.
실시예의 일 양태에 따르면, EUV 소스를 둘러싸는 챔버 안으로의 가스 흐름 특성의 동적 변화를 허용함으로써 프로세스 윈도우를 최적화하기 위한 시스템이 개시된다.
일 실시예의 다른 양태에 따르면, 타겟 재료의 액적의 레이저 조사에 의해 EUV 방사선을 생성하기 위한 장치가 제공되는데, 장치는: 용기; 유입구 경로를 따른 흐름으로 상기 용기에 가스를 추가하기 위해 용기의 내부에 가스의 소스를 연결하도록 구성되고 배열된 적어도 하나의 유입구 경로를 규정하는 유입구 구조; 상기 용기 내의 가스가 유출구 경로를 따라 용기 밖으로 흐를 수 있도록 용기의 내부에 연결되도록 구성되고 배열된 적어도 하나의 유출구 경로를 규정하는 유출구 구조; 유입구 경로 및 유출구 경로 중 하나에 선택적으로 배열되고, 장치가 동작 중인 모드에 적어도 부분적으로 기초하여 용기 안팎으로 가스의 흐름의 특성을 조절하도록 구성된 가변 흐름 조절기; 및 흐름 제어기의 동작을 제어하도록 구성된 제어기를 포함한다. 상기 제어기는 예측형(look-ahead) 제어 프로세스를 사용하여 동작하도록 구성될 수 있다. 장치는, 유입구 경로 및 유출구 경로 중 나머지 하나에 선택적으로 배열되고, 장치가 동작 중인 모드에 적어도 부분적으로 기초하여 용기 안팎으로 가스의 흐름의 특성을 조절하도록 구성된 제2 가변 흐름 조절기를 더 포함할 수 있다.
장치는, 장치가 하나의 모드에 있는 경우 레이저에 의해 조사될 때 액적이 EUV 방사선을 생성하는 온-액적(on-droplet) 동작 모드와, 장치가 또 다른 모드에 있는 경우 레이저에 의해 조사되지 않을 때 액적이 EUV 방사선을 생성하는 데에 사용되지 않는 오프-액적(off-droplet) 동작 모드를 가질 수 있다. 가변 흐름 조절기는, 부분적으로 또는 전적으로 유입구 경로에 선택적으로 배열되거나 전혀 유입구 경로에 배열되지 않을 수 있고, 장치가 동작 중인 모드에 적어도 부분적으로 기초하여 용기 안으로 가스의 흐름의 특성을 조절하도록 구성될 수 있다. 특성은 유량, 흐름 속도, 흐름 프로파일 및 흐름 조성 중 하나 또는 조합일 수 있다. 흐름 조성은 온-액적 모드 동안에는 활성 가스를 함유하지 않고 오프-액적 모드 동안에 활성 가스를 함유하도록 될 수 있다. 활성 가스는 산소를 포함할 수 있다. 유입구 구조는 콜렉터 원뿔을 포함할 수 있다.
가변 흐름 조절기는 흐름 장애물, 및 상기 흐름 장애물에 기계적으로 결합되고 흐름 장애물을 적어도 부분적으로 흐름 경로 내로 이동시키도록 구성된 모터를 포함할 수 있다. 이곳과 다른 곳에서 "모터"는 원동력을 생성하기 위한 모든 디바이스를 포함한다. 모터는 리니어 모터를 포함할 수 있다. 모터는 솔레노이드를 포함할 수 있다. 흐름 경로에 배치될 때 흐름 장애물은 흐름에 속이 꽉찬(solid) 단면을 제공하게 되거나 적어도 하나의 구멍을 갖는 단면을 흐름에 제공하게 될 수 있다. 흐름 장애물은 개방된 관형 형상을 가질 수 있고, 흐름 경로에 배치될 때 흐름 장애물이 가스 중 일부를 재지향시키도록 배향될 수 있다. 흐름 장애물은 공기역학적 형상을 가질 수 있다. 가변 흐름 조절기는 질량 흐름 제어기를 포함할 수 있다.
가변 흐름 조절기는: 가스 소스와 유체 연통하도록 구성된 밸브, 및 상기 밸브를 유입구에 각각 연결하는 복수의 유체 도관을 포함하는 매니폴드를 포함하되, 복수의 유체 도관 각각은 개개의 도관을 통한 유량을 개개의 값으로 제한하는 개개의 흐름 제한기를 포함할 수 있고, 상기 밸브는 가스가 복수의 흐름 도관 중 하나를 통해 흐르는 것을 허용하도록 배치된다.
일 실시예의 다른 양태에 따르면, 타겟 재료의 액적의 레이저 조사에 의해 EUV 방사선을 생성하기 위한 장치가 제공되는데, 장치는: 가스의 소스에 연결되고 흐름 경로를 따른 흐름으로 용기에 가스를 추가하도록 구성된 적어도 하나의 유입구를 갖는 용기; 액적을 용기 안으로 용기 내의 조사 사이트에 도입하도록 구성되는 액적 생성기 - 장치가 온-액적 모드에 있는 경우 레이저에 의해 조사될 때 액적이 EUV 방사선을 생성하는 데에 사용되고, 장치가 오프-액적 모드에 있는 경우 레이저에 의해 조사되지 않을 때 액적이 EUV 방사선을 생성하는 데에 사용되지 않음 -; 흐름 경로에 선택적으로 배열되고, 장치가 온-액적 모드에 있는지 또는 오프-액적 모드에 있는지에 적어도 부분적으로 기초하여 용기 안으로 가스의 흐름의 특성을 조절하도록 구성되는 가변 흐름 조절기를 포함한다.
일 실시예의 다른 양태에 따르면, EUV 방사선을 생성하기 위한 장치 내에서 가스 소스로부터 용기 안으로 가스의 흐름의 특성을 조절하기 위한 흐름 조절기가 제공되는데, 가스 소스와 유체 연통하도록 구성된 유입구; 및 상기 용기 내로의 유입구와 유체 연통하도록 구성된 배출구, 및 장치의 동작 모드에 적어도 부분적으로 기초하여 유입구로부터 유출구로의 흐름 경로를 따라 조절기를 통한 가스의 흐름을 선택적으로 방해하는 흐름 제한기를 포함한다. 흐름 제한기는 흐름 장애물, 및 상기 흐름 장애물에 기계적으로 결합되고 흐름 장애물을 전적으로 흐름 경로 밖의 위치, 전적으로 흐름 경로 내의 위치, 또는 부분적으로 흐름 경로에 있는 위치로 이동시키도록 구성된 모터를 포함할 수 있다. 흐름 제한기는: 가스 소스와 유체 연통하도록 구성된 밸브 및 상기 밸브를 유입구에 각각 연결하는 복수의 유체 도관을 포함하는 매니폴드를 포함하되, 복수의 유체 도관 각각은 개개의 도관을 통한 유량을 개개의 값으로 제한하는 개개의 흐름 제한기를 포함할 수 있고, 상기 밸브는 가스가 복수의 흐름 도관 중 하나를 통해 흐르는 것을 허용하도록 배치된다.
실시예의 다른 양태에 따르면, 용기 내에서 타겟 재료의 액적의 레이저 조사에 의해 EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법이 제공되며, 액적이 EUV 방사선을 생성하는 데에 사용되지 않는 오프-액적 모드에서 장치를 동작시키는 단계; 동작시키는 단계와 동시에, 장치가 오프-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 용기 안으로 및 용기 밖으로 중 적어도 하나로 가스의 흐름의 특성을 조절하는 단계; 액적이 EUV 방사선을 생성하는 데에 사용되는 온-액적 모드에서 장치를 동작시키는 것으로 전환하는 단계; 및 전환 단계와 동시에, 장치가 온-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 용기 안으로 및 용기 밖으로 중 적어도 하나로 가스의 흐름의 특성을 조절하는 단계를 포함한다. 방법은 예측형 프로세스에 따라 동작하는 제어기의 제어 하에 수행될 수 있다. 특성은 유량, 흐름 속도, 흐름 프로파일 및 흐름 조성 중 하나 또는 조합일 수 있다. 흐름 조성은 온-액적 모드 동안에는 활성 가스를 함유하지 않고 오프-액적 모드 동안에 활성 가스를 함유하는 것일 수 있다. 활성 가스는 산소를 포함할 수 있다. 장치는 흐름 장애물 및 상기 흐름 장애물을 이동시키기 위한 모터를 포함할 수 있고, 상기 장치가 오프-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 상기 용기 안으로 가스의 흐름의 특성을 조절하는 단계는, 상기 흐름 장애물을 용기 안으로의 가스의 흐름 경로 내로 적어도 부분적으로 이동시키는 것을 포함한다. 장치는: 상기 가스의 소스와 유체 연통하도록 구성된 밸브, 및 상기 밸브를 용기에 각각 연결하는 복수의 유체 도관을 포함하는 매니폴드를 포함하되, 복수의 유체 도관 각각은 개개의 도관을 통한 유량을 개개의 값으로 제한하는 개개의 흐름 제한기를 포함할 수 있고, 상기 밸브는 가스가 복수의 흐름 도관 중 하나를 통해 흐르는 것을 허용하도록 배치되며, 장치가 오프-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 용기 안으로 가스의 흐름의 특성을 조절하는 단계는, 밸브를 동작시켜 복수의 도관 중 선택된 도관을 가스의 소스와 유체 연통하도록 배치하는 단계를 포함한다.
다양한 실시예들의 구조 및 동작뿐만 아니라 본 발명의 추가 실시예들, 특징들 및 장점들에 대하여 첨부된 도면들을 참조하여 이하에서 상세히 설명할 것이다.
도 1은 실시예의 일 양태에 따른 레이저 생성 플라즈마 EUV 방사선 소스 시스템에 대한 전체적인 개괄적인 개념에 대한 축적에 맞지 않는 개략도이다.
도 2는 레이저 생성 플라즈마 EUV 방사선 소스 시스템에 사용되는 배기 시스템 및 용기의 가능한 배열을 보여주는 축적에 맞지 않는 도면이다.
도 3a는 실시예의 양태에 따라 용기 내로 가스를 도입하기 위한 시스템의 가능한 배열의 축적에 맞지 않는 절단 개략도이다.
도 3b는 실시예의 양태에 따라 용기 안으로 및/또는 용기 밖으로 가스의 흐름을 제어하기 위한 시스템의 가능한 배열의 축적에 맞지 않는 절단 개략도이다.
도 4a는 실시예의 양태에 따라 용기 및 가스 유입구의 가능한 배열의 축적에 맞지 않는 절단 개략도이다.
도 4b는 실시예의 양태에 따라 용기 및 가스 유입구의 가능한 배열의 축적에 맞지 않는 절단 개략도이다.
도 5는 실시예의 양태에 따라 용기 및 가스 유입구의 가능한 배열의 축적에 맞지 않는 절단 개략도이다.
도 6는 실시예의 양태에 따라 용기 및 가스 유입구의 가능한 배열의 축적에 맞지 않는 절단 개략도이다.
도 7은 실시예의 양태에 따라 용기 내로 가스를 도입하기 위한 시스템의 가능한 배열의 축적에 맞지 않는 절단 개략도이다.
도 8은 실시예의 양태에 따라 용기 내로 가스를 도입하기 위한 프로세스의 흐름도이다.
도 9는 실시예의 또 다른 양태에 따라 용기 내로 가스를 도입하기 위한 프로세스의 흐름도이다.
본 발명의 다양한 실시예들의 구조 및 동작뿐만 아니라 본 발명의 추가적인 특징들 및 장점들에 대하여 첨부된 도면들을 참조하여 이하에서 상세히 설명할 것이다. 본 발명은 본 명세서에서 설명되는 특정 실시예에 제한되지 않는다는 점에 유의해야 한다. 이러한 실시예는 단지 예시의 목적으로 여기에 제시된다. 추가적인 실시예는 본 명세서에 포함된 교시에 기초할 때 통상의 기술자에게 명백할 것이다.
다양한 실시예들에 관하여 이제 도면을 참조해 설명하며, 도면에서는 유사한 도면부호가 전체적으로 유사한 요소를 지칭하기 위해 사용된다. 다음의 내용에서는, 설명의 목적으로, 하나 이상의 실시예들에 대한 철저한 이해를 촉진하기 위해 수많은 특정 세부 사항들이 제시된다. 그러나, 일부 또는 모든 경우에, 아래에서 설명하는 특정 설계 세부사항을 채택하지 않고도 아래에서 설명하는 임의의 실시예가 실시될 수 있음이 명백할 것이다. 다른 경우에는, 하나 이상의 실시예의 설명을 용이하게 하기 위해 공지된 구조 및 디바이스가 블록도 형태로 도시되어 있다.
하지만 이러한 실시예를 상세하게 설명하기 전에, 본 발명의 실시예가 구현될 수 있는 예시적인 환경을 제시하는 것이 유익할 것이다. 다음의 설명 및 청구범위에서 "위", "아래", "상부", "하부", "수직", "수평" 및 유사한 용어가 사용될 수 있다. 이러한 용어는 달리 지시되지 않는 한 중력에 대한 배향이 아닌 상대적 배향만을 나타내기 위한 의도이다.
도 1을 처음 참조하면, 예시적인 EUV 방사선 소스, 예를 들어 본 발명의 실시예의 일 양태에 따른 레이저 생성 플라즈마 EUV 방사선 소스(10)의 개략도가 도시되어 있다. 도시된 바와 같이, EUV 방사선 소스(10)는 펄스형 또는 연속형 레이저 소스(22)를 포함할 수 있으며, 이는 예를 들어 1차 초점(PF)으로 초점이 맞춰지는 10.6 μm 또는 1 μm 의 방사선 빔(12)을 생성하는 펄스형 가스 방전 CO2 레이저 소스일 수 있다. 펄스형 가스 방전 CO2 레이저 소스는 높은 파워 및 높은 펄스 반복율로 동작하는 DC 또는 RF 여기(excitation)를 가질 수 있다.
EUV 방사선 소스(10)는 또한 액적 또는 연속적인 액체 스트림의 형태로 타겟 재료를 전달하기 위한 타겟 전달 시스템(24)을 포함한다. 이러한 예에서 타겟 재료는 액체이지만 고체 또는 기체일 수도 있다. 타겟 재료는 주석 또는 주석 화합물로 구성될 수 있지만, 이와 다른 재료가 사용될 수 있다. 도시된 시스템에서 타겟 재료 전달 시스템(24)은 타겟 재료의 액적(14)을 진공 챔버(26)의 내부로 콜렉터(30)의 PF에 있는 조사 영역으로 도입하고, 이러한 영역에서 타겟 재료가 플라즈마를 생성하도록 조사될 수 있다. 진공 챔버(26)에는 라이너가 제공될 수 있다. 일부 경우에, 타겟 재료가 조사 영역을 향하여 또는 그로부터 멀어지도록 조향될 수 있게 하기 위해 타겟 재료 상에 전하가 배치된다. 본 명세서에서 사용될 때 조사 영역은 타겟 재료 조사가 일어날 수 있거나 일어나도록 의도된 영역이며, 실제로 조사가 일어나고 있지 않을 때에도 조사 영역이라는 점에 유의해야 한다. EUV 광원은 또한 빔 조향 시스템(32)을 포함할 수 있다.
도시된 시스템에서, 컴포넌트들은 액적(14)이 실질적으로 수평으로 이동하도록 배열된다. 레이저 소스(22)로부터 조사 영역을 향하는 방향, 즉 빔(12)의 공칭 전파 방향이 Z축으로 취해질 수 있다. 액적(14)이 타겟 재료 전달 시스템(24)으로부터 조사 영역까지 취하는 경로는 X축으로서 취해질 수 있다. 따라서 도 1은 XZ 평면에 수직이다. EUV 방사선 소스(10)의 배향은 도시된 바와 같이 중력에 대해 회전되는 것이 바람직하며, 화살표 G는 하부로 향하는 중력에 대한 선호 배향을 나타낸다. 이러한 배향은 EUV 소스에 적용되지만, 스캐너 등과 같은 광학적으로 하류에 있는 컴포넌트에 반드시 적용되는 것은 아니다. 또한, 액적(14)이 실질적으로 수평으로 이동하는 시스템이 도시되어 있지만, 통상의 기술자라면 액적이 수직으로 또는 중력에 대해 일정 각도로(90도(수평) 내지 0도(수직), 90도(수평) 및 0도(수직)를 포함함) 이동하는 다른 배열이 사용될 수 있음을 이해할 것이다.
EUV 방사선 소스(10)는 또한 빔 조향 시스템(32)과 함께 EUV 광원 제어기 시스템(60), 레이저 발사 제어 시스템(65)을 포함할 수 있다. EUV 방사선 소스(10)는 또한 타겟 위치 검출 시스템과 같은 검출기를 포함할 수 있으며, 이는 예를 들어 조사 영역에 대한 타겟 액적의 절대 또는 상대 위치를 나타내는 출력을 생성하는 하나 이상의 액적 이미저(70)를 포함할 수 있고, 이러한 출력을 타겟 위치 검출 피드백 시스템(62)에 제공한다.
도 1에 도시된 바와 같이, 타겟 재료 전달 시스템(24)은 타겟 전달 제어 시스템(90)을 포함할 수 있다. 타겟 전달 제어 시스템(90)은 신호, 예를 들어 위에서 기술된 타겟 오차, 또는 시스템 제어기(60)에 의해 제공된 타겟 오차로부터 유도된 특정한 양에 응답하여 조사 영역을 통한 타겟 액적(14)의 경로를 조정하도록 동작 가능하다. 이것은 예를 들어 타겟 전달 메커니즘(92)이 타겟 액적(14)을 방출하는 지점을 재배치함으로써 달성될 수 있다. 액적 방출 지점은 예를 들어 타겟 전달 메커니즘(92)을 기울이거나 타겟 전달 메커니즘(92)을 측방향으로 병진이동시킴으로써 재배치될 수 있다. 타겟 전달 메커니즘(92)은 챔버(26) 내로 연장되고, 압력 하에 타겟 전달 메커니즘(92)에 타겟 재료를 배치하도록 가스 소스 및 타겟 재료가 바람직하게는 외부에서 공급된다.
계속해서 도 1을 참조하면, 방사선 소스(10)는 또한 하나 이상의 광학 요소를 포함할 수 있다. 이하의 논의에서, 콜렉터(30)는 그러한 광학 요소의 예로서 사용되지만, 논의는 다른 광학 요소에도 적용된다. 콜렉터(30)는 수직 입사 반사기일 수 있으며, 예를 들어 열-유발 층간 확산을 효과적으로 차단하기 위해 각 계면에 B4 C, ZrC, Si3 N4 또는 C와 같은 추가적인 얇은 장벽 층이 증착된 MLM으로 구현될 수 있다. 알루미늄(Al) 또는 실리콘(Si)과 같은 다른 기판 재료도 사용할 수 있다. 콜렉터(30)는 레이저 방사선(12)이 조사 영역을 통과하여 도달할 수 있도록 중앙 개구를 갖는 장형 타원체의 형태일 수 있다. 콜렉터(30)는, 앞서 언급한 바와 같이 조사 영역에 1차 초점(PF)을 갖고 콜렉터(30)의 광축(OA) 상에 중간 초점(IF)을 갖는 예를 들면 타원체의 형상일 수 있고, 중간 초점에서 EUV 방사선은 EUV 방사선 소스(10)로부터 출력되어 예컨대 집적 회로 리소그래피 스캐너(50)에 입력될 수 있으며, 집적 회로 리소그래피 스캐너는 예를 들어 레티클 또는 마스크(54)를 사용하여 공지된 방식으로 실리콘 웨이퍼 작업물(52)을 처리하기 위해 이러한 방사선을 사용한다. 그 후 실리콘 웨이퍼 작업물(52)은 집적 회로 디바이스를 얻기 위해 공지된 방식으로 추가적으로 처리된다.
도 2에서 실선 이중 화살표는 잔해 전파의 방향을 나타낸다. 윤곽선 화살표는 H2 흐름을 위한 유리한 배열을 보여준다. 배출구(42)는 H2 가 챔버(26)를 빠져나가는 배기 포트로서 기능한다. 화살표 G는 일 실시예에서 중력 방향을 나타낸다.
도 3a는 그러한 흐름을 생성하는 배열의 개략도이다. 도 3a에 도시된 바와 같이, 수소는 콜렉터(30)의 중앙 개구에 위치된 원뿔형 유입구(44)를 통해 챔버(26) 내로 흐를 뿐만 아니라(원뿔 흐름) 중간 초점(IF) 근처의 위치로부터 챔버(26)의 상부로부터도 흐른다. 또한 광축(OA) 상에 콜렉터(30)의 1차 초점(PF)의 위치가 도시되어 있다. 수소는 콜렉터(30)로부터 멀리 유출구(42)를 통해 흐른다. 챔버(26)의 상부로부터 유입되는 수소는 또한 유출구(42)를 통해 흐른다. 도 3a에는 또한 챔버(26) 안으로 가스를 강제하기 위한 팬 모듈(46)이 도시되어 있다. 팬 필터 유닛일 수 있는 팬 모듈(46)은 도관(56)을 통해 가스 소스(48)에 연결된다. 또한, 용기 내로 가스를 도입하는 복수의 노즐을 포함하는 샤워헤드가 내부 용기 벽의 적어도 일부를 따라 배치될 수 있다. 2018년 1월 5일에 출원되고 2018년 7월 12일에 공개된 국제 출원 공개 번호 WO 2018/127565(발명의 명칭 "Guiding Device and Associated System")를 참조하되, 이러한 문헌의 내용은 원용에 의해 전체로서 본원에 통합된다.
이해할 수 있는 바와 같이, 위에서 설명된 바와 같은 EUV 소스는 타겟 재료 잔해로부터 콜렉터, 계측 광학계 및 용기 내부 표면을 보호하기 위해 수소 흐름에 의존한다. 이러한 시스템이 현재 구성되어 있는 바, 수소 흐름 레시피(예컨대, 콜렉터 원뿔 흐름, 콜렉터 주변 흐름, 라이너 상의 샤워 흐름 등에 대한 유량의 특정한 선택을 포함)는 레시피가 동작 중에 변경되지 않는다는 점에서 정적이다.
그 결과 EUV 파워의 변화나 노출 패턴의 변화에 대해 흐름 레시피가 최적화되지 않을 수 있다. 이것은 바람직하지 않은 작은 프로세스 윈도우로 이어질 수 있다. 예를 들어, 높은 콜렉터 원뿔 유량은, 플라즈마로부터 벗어나는 이온으로부터의 모멘텀 전달을 더 잘 극복하고 따라서 액적/플라즈마 안정성을 개선하도록 콜렉터 보호에 유리할 수 있다. 더 높은 콜렉터 원뿔 유량의 단점은, 동반된 주석이 배기(exhaust)를 오버슈트하여 용기 내부에 높은 침착율을 초래하는 것이고, 이는 용기 및 콜렉터 수명에 영향을 미치게 된다.
정적인 흐름 레시피는 이방성인 이온 분포에서 특히 문제가 될 수 있다. 이러한 경우 흐름 레시피는, 콜렉터 원뿔로의 흐름을 증가시켜 과도한 주석 침착으로부터 콜렉터 및 용기 벽을 보호하기 위해 총 흐름의 재균형(rebalancing)을 요한다. 요구되는 원뿔 흐름은 너무 높을 수 있어, 플라즈마가 없을 때(즉, 오프 액적) 흐름이 배기(이러한 예에서는 비대칭 배기)를 오버슈트하고 용기 내로 다시 재순환되어 액적이 불안정해질 수 있다. 이것은, 만족스러운 액적 안정성을 유지하면서도 동시에 수용가능한 한계 이하로 주석 침착을 유지하는 단일한 정적인 프로세스 윈도우가 없을 수 있는 상황의 일례이다.
따라서, 실시예의 일 양태에 따르면, EUV 소스에 대한 프로세스 윈도우는 특정 사용 케이스에 기초하여 수소 유량을 변화시킴으로써 최적화된다. 예를 들어, 특정한 장래의 응용예에 대하여, 각도 이온 분포는 일부 플라즈마 레시피에 대해 상당히 이방성인 것으로 예기된다. 이러한 플라즈마 레시피는 현재 및 더 높은 EUV 파워 레벨에서 채용될 수 있다. 일부 이온 분포의 경우, 온-액적 및 오프-액적 양자 모두의 요구사항에 대해 어떠한 프로세스 윈도우도 존재하지 않는다. 실시예의 일 양태에 따르면, 프로세스 윈도우를 최적화하기 위해 유량이 변경될 수 있다. 보다 구체적으로, 콜렉터 원뿔 흐름이 변경될 수 있다. 이것은 원뿔 흐름의 유량을 제어하기 위해 고속 작동 쓰로틀링 요소를 사용하여 달성할 수 있다.
콜렉터를 향한 증가된 이온 모멘텀은, 콜렉터 및 용기 보호 요구사항을 충족하기 위해 흐름의 재균형을 요할 수 있다. 특히, 증가된 원뿔 흐름이 필요할 수 있다. 그러나 원뿔 흐름은 제한 없이 증가할 수는 없는데, 플라즈마가 존재하지 않을 때, 예를 들어 EUV 노출 전후에, 과도한 원뿔 흐름으로 인해 유동이 재순환되고 액적이 불안정해질 수 있기 때문이다.
따라서 이용가능한 총 수소 흐름을 기초로 하여, 단일 흐름 설정으로, 용기 및 콜렉터에 대한 주석 침착 제한을 충족하는 동시에 액적 안정성 요구사항을 또한 충족하는 것은 불가능할 수 있다. 본질적으로 프로세스 윈도우의 중심은 플라즈마의 존재 또는 부존재에 기초하여 시프트된다. 실시예의 일 양태에 따르면, 콜렉터 원뿔 흐름 설정을 온-액적 동안에는 더 높이고 오프-액적 동안에는 더 낮게 동적으로 조정함으로써, 시프트되는 프로세스 윈도우 문제와 연관된 이슈이다. 원뿔 흐름에서 그러한 조정을 위한 시간 프레임은 용기 내의 흐름 재정렬 시간 척도에 맞추어야 한다. 액적 푸시아웃(pushout) 측정에 기초하여, 흐름 재정렬을 위한 시간 척도는 약 20ms 정도이다. 따라서 흐름 통제기용 액추에이터는 적어도 유사한 응답 시간/대역폭으로 동작할 수 있어야 한다.
이제 도 3b를 참조할 때, 실시예의 일 양태에 따르면, 챔버(26)에 진입하고/하거나 챔버(26)를 떠나는 가스의 조성 및 유량과 같은 흐름 특성을 제어하기 위한 배열은 팬 모듈(46)을 포함할 수 있고, 이는 도 4A, 4B, 5, 6와 관련하여 이하에서 설명하는 것처럼 가변 흐름 조절기를 포함한다. 팬 모듈(46)의 가변 흐름 조절기는 제어기(47)의 제어 하에 동작하고, 이러한 제어기는 전용 하드웨어 제어기일 수 있거나 하드웨어와 소프트웨어 양자 모두로 구성되고 여러 컴포넌트에 걸쳐 분산된 제어 시스템일 수 있다. 제어기(47)는 또한 제1 가스 소스(48) 및 제2 가스 소스(49)와 제어가능하게 유체 연통하는 제어가능한 혼합 밸브(64)를 제어한다. 제어가능한 혼합 밸브(64)는 팬 모듈(46)을 통해 챔버(26) 내로 흐르는 가스의 조성을 제어하기 위해 사용될 수 있다. 챔버(26) 내로 유입되는 가스의 조성은 시스템의 동작 모드에 따라 변화될 수 있다. 예를 들어, 온-액적 동작 중에 한 가지 유형의 가스(단일 종의 가스 또는 둘 이상의 가스의 혼합물일 수 있음)가 제1 가스 소스(48)로부터 챔버(26) 내로 흐르고, 오프-액적 동작 중에 제2 유형의 가스가 제2 가스 소스(49)로부터 챔버(26) 내로 도입될 수 있다. 예를 들어, 플라즈마 생성 동안 원치 않는 부작용을 생성할 수 있는 산소와 같은 활성 가스가 콜렉터 표면 수리와 같은 목적을 위해 오프-액적 동작 중에 도입될 수 있다.
도 3b에 또한 도시되어 있는 바와 같이 배출구(42) 중 하나로부터 배출구 흐름 경로에 배기 흐름 조절기(66)가 배치되어 있다. 배기 흐름 조절기(66)는 배출구 흐름 경로 중 하나에 배열되는 것으로 도시되어 있는데, 통상의 기술자라면 배기 흐름 조절기(66)가 추가의 유출 경로에도 배치될 수 있다는 점을 이해할 것이다. 배기 흐름 조절기(66)는 가스가 배출구 포트(42)를 통해 챔버(26)를 떠나는 속도를 변경하도록 제어기(47)의 제어 하에 동작한다. 구조의 가변적인 흐름이 예를 들어 용기 압력을 제어하는 데에 사용될 수 있다. 예를 들어, 용기 압력은 온-오프 액적 전이 동안에 바람직하지 않게 변동될 수 있다. 배기 흐름 조절기(66)의 제어는 이러한 압력 변동을 보상하고 프로세스 안정성에 기여하도록 사용될 수 있다.
또한 일반적으로 수소 흐름은 EUV 소스가 최대 파워로 동작 중인 사용 케이스를 위해 구성된다. 그러나 최대 파워 미만으로 소스를 동작시키는 것이 유리할 수 있는 응용예가 있을 수 있다. 적은 양(dose)의 타겟에서 가스 흐름은 주석과 같은 타겟 재료로 오염되지만, 원뿔 흐름 내의 가스가 배기로 배출되도록 원뿔 흐름을 충분히 감속시키기에 이온으로부터의 모멘텀 전달이 충분하지 않다. 따라서 배기(exhaust) 위에서 용기 내에 주석 오염이 발생한다. 이는 콜렉터 원뿔 흐름을 줄임으로써 완화될 수 있다. 이온으로부터의 파워 부하가 훨씬 감소하기 때문에 콜렉터 보호를 위해 감소된 콜렉터 원뿔 흐름이 수용가능하다. 스캐너에 의해 적은 양의 타겟이 요청되는 경우, 원뿔 흐름의 흐름 설정은 자동화된 방식으로 감소될 수 있다.
어떤 경우에는 액적 생성기 시작 및 종료 중에 흐름을 변경하는 것이 잠재적인 이점이 될 수도 있다. 정체 영역이 형성되는 것을 방지하기 위해 콜렉터의 면을 가로지르는 가스 흐름("우산 흐름")을 시간에 따라 변경하는 것도 유용할 수 있다. 언급한 바와 같이, 흐름 자체의 양과 스윕(sweep)을 제어하는 것 외에도, 기계적 수단을 이용하여 흐름의 형상을 수정하는 것이 유리할 수 있다.
언급한 바와 같이, 온-액적 동작, 즉 플라즈마가 생성될 때의 동작 중에, 플라즈마는 (실질적으로 물의 흐름 내에서 바위처럼) 기체 흐름을 방해하는 물리적 요소와 유사한 방식으로 거동하며, 흐름을 방향전환하고 특히 기둥(plume)의 중심에서 속도를 줄이게 된다. 이러한 효과를 제어하기 위해, 예를 들어 기계적 흐름 블록 형태의 장애물이 콜렉터 미러와 용기의 바닥 사이에 팬 필터 유닛(FFU) 내의 위치에 흐름 경로의 중심부 내로 이동될 수 있다. 이러한 기계적 블록은, 50 내지 80 kHz 에 이르는 주파수로 작동할 수 있도록, 공지된 기술(예를 들면, 하드 디스크 판독-기록 헤드를 이동하는 데에 사용되는 리니어 모터의 유형)을 사용하여 빠르게 이동될 수 없을 정도로 그렇게 거대하지는 않게 구성될 수 있다. 헤드가 있는 이러한 종류의 일반적인 보이스 코일 리니어 모터는 15-20 msec의 전체 범위 작동 시간으로 평가된다. 기업용(Enterprise duty) 모터는 훨씬 더 빠르다.
장애물과 지지대의 재료 선택과 구성 기술은 매우 경량이 되도록 선택될 수 있다. 지지 암과 블록의 엠보싱을 최소화하면 원뿔 흐름에 배치할 때 과도한 편향을 받지 않을 만큼 충분히 강직하게 만들 수 있다.
장애물은 다양한 형상 중 하나일 수 있다. 예를 들어, 흐름에 속이 꽉찬(solid) 면을 제공하게 되도록 속이 꽉찬 형태일 수 있으며, 요구되는 결과를 달성하기 위해 흐름의 재지향을 조정하도록 공기역학적인 형상을 가질 수 있다. 얇은 속이 빈 형상의 블록, 속이 빈 중심부를 갖는 장애물, 그리고 흐름 또는 흐름의 일부를 특정 위치로 방향전환시키는 형상과 같은 흐름 블록의 추가적인 형상이 사용될 수도 있다. 또 다른 옵션으로는, 아이리스(iris) 또는 나이프 엣지를 사용하여 용기 내부 또는 주변의 흐름을 제한할 수 있다. 또 다른 옵션으로, 유량은 일정하게 유지될 수 있지만, 예를 들어 좁은 제트로부터 더 넓은 흐름으로 흐름 패턴을 변경함으로써 가스 속도가 수정될 수 있다.
도 4a는 흐름 장애물(100)이 콜렉터 원뿔(44)에 가스를 공급하는 흐름 경로 내에 배치되는 배열을 나타낸다. 장애물(100)은 팬 모듈(46)에 배치될 수 있다. 장애물(100)은 암(110)에 의해 부착되며, 암(110)은 흐름 경로 안팎으로 장애물(100)을 이동시킬 수 있는 모터(120)에 차례로 부착된다. 장애물은 흐름 경로의 전체로, 또는 부분적으로 흐름 경로 안으로, 또는 완전히 흐름 경로 안으로 이동하도록 만들어질 수 있다는 점을 이해할 것이다. 이곳 및 다른 곳에서 사용되는 "모터"라는 용어는 움직임을 제공, 부여 또는 생성하는 임의의 디바이스를 의미하도록 사용된다. 도시된 예에서, 모터(120)는 보이스 코일 리니어 모터이다. 위에서 설명한 장애물(100)을 이동시키기 위한 메커니즘에 추가하여, 솔레노이드, 브러시 및 브러시리스 모터, 공압 작동기, 압전 요소 등과 같이, 장애물(100)을 원뿔 흐름 스트림 안팎으로 이동시키기 위한 다른 메커니즘이 사용될 수 있다. 또한, 이러한 모든 메커니즘은, 주어진 응용예에 필요한 주파수에 특정한 오버슈트 및 응답 시간을 향상시키는 동적 특성을 가지도록, 질량체, 스프링, 댐퍼 및 비례, 적분 및 미분("PID") 파라미터를 사용하여 튜닝될 수 있다.
도 4b는 100의 장애물이 공기역학적 형태를 갖는 것을 제외하고는 도 4b와 유사한 배열을 도시한다. 도 5는 장애물(100)이 관형 형상을 갖고 흐름을 특정 위치로 지향시킬 수 있도록 배향된다는 점을 제외하고는 도 4a와 유사한 배열을 도시한다. 장애물(100)은 또한 특정 사용 케이스에 따라 다른 형상을 가질 수 있다. 이러한 실시예는, 단순히 흐름을 위쪽으로 방향전환시키는 것보다 더 효과적인 방식으로 오버슈트를 줄이거나 제거하기 위해 사용될 수 있다는 점에서 추가적인 이점을 가질 수 있다. 도 6은 질량 흐름 제어기(130)가 가변 흐름 조절기로 사용되는 배열을 도시한다. 충분히 빠른 흐름 제어기는 여러 가능한 배열 중 임의의 것을 사용하여 구현될 수 있다. 일례로, 급속 질량 흐름 제어기(MFC)는 기존의 배열보다 소스에 더 가까운 위치로 배치될 수 있다. 25ms 만큼 낮은 응답 시간을 갖는 MFC가 상업적으로 이용가능하다.
또 다른 배열에서 흐름 제어는, 챔버 유량을 변조하는 2개 이상의 유량 사이에서 빠르게 전이하도록 2개 이상의 미리 설정된 오리피스 또는 흐름 제한기 사이를 전환함으로써 달성된다. 이러한 다중-오리피스 배열은 EUV 볼륨으로 전달되는 흐름의 급속한 변화를 보장하기 위해 용기 옆에 배치될 수 있다. 도 7은 흐름 제한기(170, 180, 190) 중 하나에 선택적으로 연결된 스위칭 밸브(150)에 의해 챔버(26)로의 흐름이 조절되는 배열을 도시한다. 보다 구체적으로, 질량 흐름 제어기(160)로부터의 가스는 도관(56) 내에서, 매니폴드 내의 흐름 제어기(170, 180, 190) 중 하나에 도관(56)을 선택적으로 연결하는 스위치 또는 밸브(150)로 흐른다. 밸브(150)는 시스템 내의 다른 곳, 예를 들어 스캐너에서 생성된 신호의 제어 하에 동작될 수 있다. 흐름 제한기(170, 180, 190)는 유리하게는 서로 상이한 흐름 임피던스를 가질 수 있다. 따라서 챔버(26) 안으로의 도관(200)을 따른 유량은 밸브(150)가 어느 흐름 제어기를 도관(56)에 연결하는지에 따라 달라질 것이다. 이러한 방식으로 유량을 신속하게 변경할 수 있다. 대안적인 배열에서, 전환 밸브(150)는 각각이 상이한 압력 하에 있는 별개의 가스 소스에 연결될 수 있다. 밸브(150)는 도관(200)을 통해 소스들 중 하나를 챔버(26)에 선택적으로 연결할 것이다.
따라서, 실시예의 일 양태에 따르면, 파워 및/또는 노출 패턴의 특정한 사용 케이스에 따라 주석 관리 성능, 액적 안정성 및 플라즈마 안정성의 프로세스 윈도우를 최적화하기 위해 시변 수소 유량의 사용이 이루어질 수 있다. 버스트 내에서 시변 수소 흐름을 사용하면 주석 관리 성능, 액적 안정성 및 플라즈마 안정성의 온-액적 및 오프-액적 프로세스 윈도우 요구사항 양자 모두를 충족하게 된다. 중앙의 원뿔 흐름 내부에 위치한 기계적 흐름 블록이, 챔버 내부의 온 액적과 오프 액적 H2 흐름 간의 차이를 제한하거나 제거하도록 오프 액적 시간에 대한 흐름을 수정하기 위해 사용될 수 있다. 흐름 블록은 또한 라이너 흐름을 생성하는 모듈 내부의 추가적인 흐름의 방향과 위치를 수정함으로써 라이너 흐름의 주석 완화 효과를 향상시키도록 중앙의 원뿔 흐름의 일부를 물리적으로 재지향시키기 위해 사용될 수 있다. 이러한 기계적 블록은 온 액적 및 오프 액적 조건 사이에 액적 불안정성을 줄이거나 제거하도록 중앙의 원뿔로부터 나오는 흐름을 수정하기 위해 사용될 수 있다. 기계적 흐름 블록은 또한 타겟 재료의 오프-액적 흐름에 의해 챔버 내로 끌려들어오는 주석의 양을 줄이거나 제거하기 위해 사용될 수 있다.
도 8은 이방성 이온의 존재 하에 동적 흐름 제어가 사용될 수 있는 실시예의 일 양태에 따른 프로세스를 나타낸다. 프로세스는 소스가 오프 액적으로 동작하는 중인 초기 상태(S10), 즉 어떠한 EUV 플라즈마도 생성되지 않는 상태에서 시작될 것이다. 단계 S20에서 원뿔 흐름은 액적의 안정성을 유지하는 값, 예를 들어 100 slm으로 설정될 수 있다. 단계 S30에서 EUV 노출이 시작되고, 즉 소스가 온-액적 모드에서 동작하기 시작한다. 단계 S40에서(단계 S30 와 동시에 진행될 수 있거나 시스템이 피드-포워드 제어를 사용하는 경우에는 S30 이전에 시작될 수 있음), 원뿔 흐름은 액적 안정성이 아니라 그 대신 주석 잔해의 부착을 최소화하기 위해 최적화된 값으로, 예컨대 120 slm 으로 설정된다. 이러한 동작은 단계 S50에서 EUV 노출이 중단될 때까지 계속된다. 그런 다음, 단계 S60에서(단계 S50과 동시에 진행될 수 있거나 또는 시스템이 피드-포워드 제어를 사용하는 경우에는 단계 S50에서 중지 결정이 내려지기 전에 시작될 수 있음), 원뿔 흐름은 주석 잔해의 부착을 최소화하는 대신 액적 안정성을 위해 최적화된 값으로 설정된다.
도 9는 시스템의 동작 모드에 따라 가스 조성을 변화시키기 위한 프로세스를 나타내는 흐름도이다. 도 9의 프로세스는 그 자체로 또는 도 8과 관련하여 설명한 프로세스와 조합되어 사용될 수 있음을 이해할 것이다. 단계 S10에서, 시스템은 오프-액적 동작으로 시작된다. 오프-액적 동작 중에, 단계 S70에서, 흐름 조성은 산소와 같은 활성 가스를 포함하도록 설정된다. 이것은 온-액적 동작 중에 플라즈마 생성을 방해할 수 있는 가스 유형일 수 있다. 단계 S30에서는 시스템이 온-액적 동작으로 전환하는지 여부가 결정된다. 시스템이 온-액적 동작으로 전환되는 경우, 단계 S80에서 흐름 조성은 활성 가스를 포함하지 않는 것으로 구축된다. 단계 S50에서는 시스템이 다시 오프-액적 동작으로 전환하는지 여부가 결정된다. 그렇지 않다면, 활성 가스를 포함하지 않는 가스 조성이 단계 S60에서 유지된다. 그렇지 않으면, 시스템은 단계 S10에서 오프-액적 동작으로 복귀한다.
위의 설명은 주로 원뿔 흐름을 제어하는 것에 관하여 이루어진 것이지만 그러한 원리는 이와 다른 유입구를 통해 챔버 안으로의 가스 흐름을 제어하는 데에 적용할 수 있다는 점을 이해할 것이다.
따라서 실시예들은, 용기 벽 상에 침착된 주석 양의 감소, 콜렉터 상에 침착된 주석 양의 감소, 플라즈마 제어를 위한 프로세스 윈도우의 크기 증가, 및 액적 안정성을 위한 프로세스 윈도우의 크기 증가를 포함하는 여러 이점을 제공할 잠재력을 가진다.
상기 설명은 하나 이상의 실시예에 대한 예시를 포함한다. 물론, 전술한 실시예를 설명하기 위해 컴포넌트 또는 방법의 모든 가능한 조합을 기술하는 것은 가능하지 않고, 통상의 기술자라면 다양한 실시예의 수많은 추가 조합 및 치환이 가능하다는 점을 인식할 수 있을 것이다. 따라서, 기술된 실시예는 첨부된 청구 범위의 사상 및 범위 내에 있는 이러한 모든 변경, 수정 및 변형을 포함하도록 의도된다. 또한, "포함하는"이라는 용어가 상세한 설명 또는 청구 범위에서 사용되는 한, 그러한 용어는 "포함하는"이 청구항에서 전이 어구로 사용될 때 해석되는 경우와 유사한 방식으로 비배타적인 것으로 의도된다. 또한, 기술된 양태들 및/또는 실시예들의 요소들이 단수로 기술되거나 청구될 수 있지만, 단수로 제한된다고 명시적으로 언급되지 않는 한 복수가 예상된다. 또한, 달리 언급하지 않는 한, 임의의 양태 및/또는 실시예의 전부 또는 일부가 임의의 다른 양태 및/또는 실시예의 전부 또는 일부와 함께 이용될 수도 있다.
본 발명의 다른 양태는 다음의 번호가 매겨진 조항으로 제시된다.
1. 타겟 재료의 액적의 레이저 조사에 의해 EUV 방사선을 생성하기 위한 장치로서,
용기;
유입구 경로를 따른 흐름으로 상기 용기에 가스를 추가하기 위해 용기의 내부에 가스의 소스를 연결하도록 구성되고 배열된 적어도 하나의 유입구 경로를 규정하는 유입구 구조;
상기 용기 내의 가스가 유출구 경로를 따라 용기 밖으로 흐를 수 있도록 용기의 내부에 연결되도록 구성되고 배열된 적어도 하나의 유출구 경로를 규정하는 유출구 구조;
유입구 경로 및 유출구 경로 중 하나에 선택적으로 배열되고, 장치가 동작 중인 모드에 적어도 부분적으로 기초하여 용기 안팎으로 가스의 흐름의 특성을 조절하도록 구성된 가변 흐름 조절기; 및
흐름 제어기의 동작을 제어하도록 구성된 제어기를 포함하는, EUV 방사선 생성 장치.
2. 제1조항에 있어서, 상기 제어기는 예측형(look-ahead) 제어 프로세스를 사용하여 동작하도록 구성되는, EUV 방사선 생성 장치.
3. 제1조항에 있어서, 유입구 경로 및 유출구 경로 중 나머지 하나에 선택적으로 배열되고, 장치가 동작 중인 모드에 적어도 부분적으로 기초하여 용기 안팎으로 가스의 흐름의 특성을 조절하도록 구성된 제2 가변 흐름 조절기를 더 포함하는, EUV 방사선 생성 장치.
4. 제1조항에 있어서, 상기 장치는, 장치가 하나의 모드에 있는 경우 레이저에 의해 조사될 때 액적이 EUV 방사선을 생성하는 온-액적(on-droplet) 동작 모드와, 장치가 또 다른 모드에 있는 경우 레이저에 의해 조사되지 않을 때 액적이 EUV 방사선을 생성하는 데에 사용되지 않는 오프-액적(off-droplet) 동작 모드를 갖는, EUV 방사선 생성 장치.
5. 제1조항에 있어서, 상기 가변 흐름 조절기가 유입구 경로에 선택적으로 배열되고, 장치가 동작 중인 모드에 적어도 부분적으로 기초하여 용기 안으로 가스의 흐름의 특성을 조절하도록 구성되는, EUV 방사선 생성 장치.
6. 제5조항에 있어서, 상기 특성은 유량인, EUV 방사선 생성 장치.
7. 제5조항에 있어서, 상기 특성은 흐름 속도인, EUV 방사선 생성 장치.
8. 제5조항에 있어서, 상기 특성은 흐름 프로파일인, EUV 방사선 생성 장치.
9. 제5조항에 있어서, 상기 특성은 흐름 조성인, EUV 방사선 생성 장치.
10. 제9조항에 있어서, 혼합 밸브, 상기 혼합 밸브와 유체 연통하는 제1 가스의 소스, 및 상기 혼합 밸브와 유체 연통하는 제2 가스의 소스를 더 포함하고, 혼합 밸브는 유입구 구조와 유체 연통하도록 배열되고, 제어기의 제어 하에 제1 가스, 제2 가스, 및 제1 가스와 제2 가스의 혼합물 중 하나를 유입구 구조에 제공하도록 동작하는, EUV 방사선 생성 장치.
11. 제9조항에 있어서, 상기 흐름 조성은 온-액적 모드 동안에는 활성 가스를 함유하지 않고 오프-액적 모드 동안에 활성 가스를 함유하는, EUV 방사선 생성 장치.
12. 제11조항에 있어서, 상기 활성 가스는 산소를 포함하는, EUV 방사선 생성 장치.
13. 제1조항에 있어서, 상기 유입구 구조는 콜렉터 원뿔을 포함하는, EUV 방사선 생성 장치.
14. 제1조항에 있어서, 가변 흐름 조절기는 흐름 장애물, 및 상기 흐름 장애물에 기계적으로 결합되고 흐름 장애물을 적어도 부분적으로 흐름 경로 내로 이동시키도록 구성된 모터를 포함하는, EUV 방사선 생성 장치.
15. 제14조항에 있어서, 상기 모터는 리니어 모터를 포함하는, EUV 방사선 생성 장치.
16. 제14조항에 있어서, 상기 모터는 솔레노이드를 포함하는, EUV 방사선 생성 장치.
17. 제14조항에 있어서, 흐름 경로에 배치될 때 흐름 장애물은 흐름에 속이 꽉찬(solid) 단면을 제공하게 되는, EUV 방사선 생성 장치.
18. 제14조항에 있어서, 흐름 경로에 배치될 때 흐름 장애물은 적어도 하나의 구멍을 갖는 단면을 흐름에 제공하게 되는, EUV 방사선 생성 장치.
19. 제14조항에 있어서, 흐름 장애물은 개방된 관형 형상을 갖고, 흐름 경로에 배치될 때 흐름 장애물이 가스 중 일부를 재지향시키도록 배향되는, EUV 방사선 생성 장치.
20. 제14조항에 있어서, 상기 흐름 장애물은 공기역학적 형상을 갖는, EUV 방사선 생성 장치.
21. 제1조항에 있어서, 상기 가변 흐름 조절기는 질량 흐름 제어기를 포함하는, EUV 방사선 생성 장치.
22. 제1조항에 있어서, 상기 가변 흐름 조절기는:
가스 소스와 유체 연통하도록 구성된 밸브, 및
상기 밸브를 유입구에 각각 연결하는 복수의 유체 도관을 포함하는 매니폴드를 포함하되, 복수의 유체 도관 각각은 개개의 도관을 통한 유량을 개개의 값으로 제한하는 개개의 흐름 제한기를 구비하고,
상기 밸브는 가스가 복수의 흐름 도관 중 하나를 통해 흐르는 것을 허용하도록 배치되는, EUV 방사선 생성 장치.
23. 타겟 재료의 액적의 레이저 조사에 의해 EUV 방사선을 생성하기 위한 장치로서,
가스의 소스에 연결되고 흐름 경로를 따른 흐름으로 용기에 가스를 추가하도록 구성된 적어도 하나의 유입구를 갖는 용기;
액적을 용기 안으로 용기 내의 조사 사이트에 도입하도록 구성되는 액적 생성기 - 장치가 온-액적 모드에 있는 경우 레이저에 의해 조사될 때 액적이 EUV 방사선을 생성하는 데에 사용되고, 장치가 오프-액적 모드에 있는 경우 레이저에 의해 조사되지 않을 때 액적이 EUV 방사선을 생성하는 데에 사용되지 않음 -;
흐름 경로에 선택적으로 배열되고, 장치가 온-액적 모드에 있는지 또는 오프-액적 모드에 있는지에 적어도 부분적으로 기초하여 용기 안으로 가스의 흐름의 특성을 조절하도록 구성되는 가변 흐름 조절기를 포함하는, EUV 방사선 생성 장치.
24. EUV 방사선을 생성하기 위한 장치 내에서 가스 소스로부터 용기 안으로 가스의 흐름의 특성을 조절하기 위한 흐름 조절기로서, 상기 흐름 조절기는:
가스 소스와 유체 연통하도록 구성된 유입구; 및
상기 용기 내로의 유입구와 유체 연통하도록 구성된 배출구, 및
장치의 동작 모드에 적어도 부분적으로 기초하여 유입구로부터 유출구로의 흐름 경로를 따라 조절기를 통한 가스의 흐름을 선택적으로 방해하는 흐름 제한기를 포함하는, 흐름 조절기.
25. 제24조항에 있어서, 흐름 제한기는 흐름 장애물, 및 상기 흐름 장애물에 기계적으로 결합되고 흐름 장애물을 전적으로 흐름 경로 밖의 위치, 전적으로 흐름 경로 내의 위치, 또는 부분적으로 흐름 경로에 있는 위치로 이동시키도록 구성된 모터를 포함하는, 흐름 조절기.
26. 제24조항에 있어서, 상기 흐름 제한기는:
가스 소스와 유체 연통하도록 구성된 밸브, 및
상기 밸브를 유입구에 각각 연결하는 복수의 유체 도관을 포함하는 매니폴드를 포함하되, 복수의 유체 도관 각각은 개개의 도관을 통한 유량을 개개의 값으로 제한하는 개개의 흐름 제한기를 구비하고,
상기 밸브는 가스가 복수의 흐름 도관 중 하나를 통해 흐르는 것을 허용하도록 배치되는, 흐름 조절기.
27. 용기 내에서 타겟 재료의 액적의 레이저 조사에 의해 EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법으로서,
액적이 EUV 방사선을 생성하는 데에 사용되지 않는 오프-액적 모드에서 장치를 동작시키는 단계;
동작시키는 단계와 동시에, 장치가 오프-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 용기 안으로 및 용기 밖으로 중 적어도 하나로 가스의 흐름의 특성을 조절하는 단계;
액적이 EUV 방사선을 생성하는 데에 사용되는 온-액적 모드에서 장치를 동작시키는 것으로 전환하는 단계; 및
전환 단계와 동시에, 장치가 온-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 용기 안으로 및 용기 밖으로 중 적어도 하나로 가스의 흐름의 특성을 조절하는 단계를 포함하는, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
28. 제27조항에 있어서, 상기 방법은 예측형 프로세스에 따라 동작하는 제어기의 제어 하에 수행되는, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
29. 제27조항에 있어서, 상기 특성은 유량인, 방법.
30. 제27조항에 있어서, 상기 특성은 흐름 속도인, 방법.
31. 제27조항에 있어서, 상기 특성은 흐름 프로파일인, 방법.
32. 제27조항에 있어서, 상기 특성은 흐름 조성인, 방법.
33. 제32조항에 있어서, 상기 흐름 조성은 온-액적 모드 동안에는 활성 가스를 함유하지 않고 오프-액적 모드 동안에 활성 가스를 함유하는, 방법.
34. 제33조항에 있어서, 상기 활성 가스는 산소를 포함하는, 방법.
35. 제27조항에 있어서, 상기 장치는 흐름 장애물 및 상기 흐름 장애물을 이동시키기 위한 모터를 포함하고, 상기 장치가 오프-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 상기 용기 안으로 가스의 흐름의 특성을 조절하는 단계는, 상기 흐름 장애물을 용기 안으로의 가스의 흐름 경로 내로 적어도 부분적으로 이동시키는 것을 포함하는, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
36. 제27조항에 있어서, 장치는: 상기 가스의 소스와 유체 연통하도록 구성된 밸브, 및 상기 밸브를 용기에 각각 연결하는 복수의 유체 도관을 포함하는 매니폴드를 포함하되, 복수의 유체 도관 각각은 개개의 도관을 통한 유량을 개개의 값으로 제한하는 개개의 흐름 제한기를 구비하고, 상기 밸브는 가스가 복수의 흐름 도관 중 하나를 통해 흐르는 것을 허용하도록 배치되며, 장치가 오프-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 용기 안으로 가스의 흐름의 특성을 조절하는 단계는, 밸브를 동작시켜 복수의 도관 중 선택된 도관을 가스의 소스와 유체 연통하도록 배치하는 단계를 포함하는, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
다른 구현예들도 청구의 범위 내에 있다.

Claims (36)

  1. 타겟 재료의 액적의 레이저 조사에 의해 EUV 방사선을 생성하기 위한 장치로서,
    용기;
    유입구 경로를 따른 흐름으로 상기 용기에 가스를 추가하기 위해 용기의 내부에 가스의 소스를 연결하도록 구성되고 배열된 적어도 하나의 유입구 경로를 규정하는 유입구 구조;
    상기 용기 내의 가스가 유출구 경로를 따라 용기 밖으로 흐를 수 있도록 용기의 내부에 연결되도록 구성되고 배열된 적어도 하나의 유출구 경로를 규정하는 유출구 구조;
    유입구 경로 및 유출구 경로 중 하나에 선택적으로 배열되고, 장치가 동작 중인 모드에 적어도 부분적으로 기초하여 용기 안팎으로 가스의 흐름의 특성을 조절하도록 구성된 가변 흐름 조절기; 및
    흐름 제어기의 동작을 제어하도록 구성된 제어기를 포함하는, EUV 방사선 생성 장치.
  2. 제1항에 있어서,
    상기 제어기는 예측형(look-ahead) 제어 프로세스를 사용하여 동작하도록 구성되는, EUV 방사선 생성 장치.
  3. 제1항에 있어서,
    유입구 경로 및 유출구 경로 중 나머지 하나에 선택적으로 배열되고, 장치가 동작 중인 모드에 적어도 부분적으로 기초하여 용기 안팎으로 가스의 흐름의 특성을 조절하도록 구성된 제2 가변 흐름 조절기를 더 포함하는, EUV 방사선 생성 장치.
  4. 제1항에 있어서,
    상기 장치는, 장치가 하나의 모드에 있는 경우 레이저에 의해 조사될 때 액적이 EUV 방사선을 생성하는 온-액적(on-droplet) 동작 모드와, 장치가 또 다른 모드에 있는 경우 레이저에 의해 조사되지 않을 때 액적이 EUV 방사선을 생성하는 데에 사용되지 않는 오프-액적(off-droplet) 동작 모드를 갖는, EUV 방사선 생성 장치.
  5. 제1항에 있어서,
    상기 가변 흐름 조절기가 유입구 경로에 선택적으로 배열되고, 장치가 동작 중인 모드에 적어도 부분적으로 기초하여 용기 안으로 가스의 흐름의 특성을 조절하도록 구성되는, EUV 방사선 생성 장치.
  6. 제5항에 있어서,
    상기 특성은 유량인, EUV 방사선 생성 장치.
  7. 제5항에 있어서,
    상기 특성은 흐름 속도인, EUV 방사선 생성 장치.
  8. 제5항에 있어서,
    상기 특성은 흐름 프로파일인, EUV 방사선 생성 장치.
  9. 제5항에 있어서,
    상기 특성은 흐름 조성인, EUV 방사선 생성 장치.
  10. 제9항에 있어서,
    혼합 밸브, 상기 혼합 밸브와 유체 연통하는 제1 가스의 소스, 및 상기 혼합 밸브와 유체 연통하는 제2 가스의 소스를 더 포함하고, 혼합 밸브는 유입구 구조와 유체 연통하도록 배열되고, 제어기의 제어 하에 제1 가스, 제2 가스, 및 제1 가스와 제2 가스의 혼합물 중 하나를 유입구 구조에 제공하도록 동작하는, EUV 방사선 생성 장치.
  11. 제9항에 있어서,
    상기 흐름 조성은 온-액적 모드 동안에는 활성 가스를 함유하지 않고 오프-액적 모드 동안에 활성 가스를 함유하는, EUV 방사선 생성 장치.
  12. 제11항에 있어서,
    상기 활성 가스는 산소를 포함하는, EUV 방사선 생성 장치.
  13. 제1항에 있어서,
    상기 유입구 구조는 콜렉터 원뿔을 포함하는, EUV 방사선 생성 장치.
  14. 제1항에 있어서,
    가변 흐름 조절기는 흐름 장애물, 및 상기 흐름 장애물에 기계적으로 결합되고 흐름 장애물을 적어도 부분적으로 흐름 경로 내로 이동시키도록 구성된 모터를 포함하는, EUV 방사선 생성 장치.
  15. 제14항에 있어서,
    상기 모터는 리니어 모터를 포함하는, EUV 방사선 생성 장치.
  16. 제14항에 있어서,
    상기 모터는 솔레노이드를 포함하는, EUV 방사선 생성 장치.
  17. 제14항에 있어서,
    흐름 경로에 배치될 때 흐름 장애물은 흐름에 속이 꽉찬(solid) 단면을 제공하게 되는, EUV 방사선 생성 장치.
  18. 제14항에 있어서,
    흐름 경로에 배치될 때 흐름 장애물은 적어도 하나의 구멍을 갖는 단면을 흐름에 제공하게 되는, EUV 방사선 생성 장치.
  19. 제14항에 있어서,
    흐름 장애물은 개방된 관형 형상을 갖고, 흐름 경로에 배치될 때 흐름 장애물이 가스 중 일부를 재지향시키도록 배향되는, EUV 방사선 생성 장치.
  20. 제14항에 있어서,
    상기 흐름 장애물은 공기역학적 형상을 갖는, EUV 방사선 생성 장치.
  21. 제1항에 있어서,
    상기 가변 흐름 조절기는 질량 흐름 제어기를 포함하는, EUV 방사선 생성 장치.
  22. 제1항에 있어서,
    상기 가변 흐름 조절기는:
    가스 소스와 유체 연통하도록 구성된 밸브, 및
    상기 밸브를 유입구에 각각 연결하는 복수의 유체 도관을 포함하는 매니폴드를 포함하되, 복수의 유체 도관 각각은 개개의 도관을 통한 유량을 개개의 값으로 제한하는 개개의 흐름 제한기를 구비하고,
    상기 밸브는 가스가 복수의 흐름 도관 중 하나를 통해 흐르는 것을 허용하도록 배치되는, EUV 방사선 생성 장치.
  23. 타겟 재료의 액적의 레이저 조사에 의해 EUV 방사선을 생성하기 위한 장치로서,
    가스의 소스에 연결되고 흐름 경로를 따른 흐름으로 용기에 가스를 추가하도록 구성된 적어도 하나의 유입구를 갖는 용기;
    액적을 용기 안으로 용기 내의 조사 사이트에 도입하도록 구성되는 액적 생성기 - 장치가 온-액적 모드에 있는 경우 레이저에 의해 조사될 때 액적이 EUV 방사선을 생성하는 데에 사용되고, 장치가 오프-액적 모드에 있는 경우 레이저에 의해 조사되지 않을 때 액적이 EUV 방사선을 생성하는 데에 사용되지 않음 -;
    흐름 경로에 선택적으로 배열되고, 장치가 온-액적 모드에 있는지 또는 오프-액적 모드에 있는지에 적어도 부분적으로 기초하여 용기 안으로 가스의 흐름의 특성을 조절하도록 구성되는 가변 흐름 조절기를 포함하는, EUV 방사선 생성 장치.
  24. EUV 방사선을 생성하기 위한 장치 내에서 가스 소스로부터 용기 안으로 가스의 흐름의 특성을 조절하기 위한 흐름 조절기로서, 상기 흐름 조절기는:
    가스 소스와 유체 연통하도록 구성된 유입구; 및
    상기 용기 내로의 유입구와 유체 연통하도록 구성된 배출구, 및
    장치의 동작 모드에 적어도 부분적으로 기초하여 유입구로부터 유출구로의 흐름 경로를 따라 조절기를 통한 가스의 흐름을 선택적으로 방해하는 흐름 제한기를 포함하는, 흐름 조절기.
  25. 제24항에 있어서,
    흐름 제한기는 흐름 장애물, 및 상기 흐름 장애물에 기계적으로 결합되고 흐름 장애물을 전적으로 흐름 경로 밖의 위치, 전적으로 흐름 경로 내의 위치, 또는 부분적으로 흐름 경로에 있는 위치로 이동시키도록 구성된 모터를 포함하는, 흐름 조절기.
  26. 제24항에 있어서,
    상기 흐름 제한기는:
    가스 소스와 유체 연통하도록 구성된 밸브, 및
    상기 밸브를 유입구에 각각 연결하는 복수의 유체 도관을 포함하는 매니폴드를 포함하되, 복수의 유체 도관 각각은 개개의 도관을 통한 유량을 개개의 값으로 제한하는 개개의 흐름 제한기를 구비하고,
    상기 밸브는 가스가 복수의 흐름 도관 중 하나를 통해 흐르는 것을 허용하도록 배치되는, 흐름 조절기.
  27. 용기 내에서 타겟 재료의 액적의 레이저 조사에 의해 EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법으로서,
    액적이 EUV 방사선을 생성하는 데에 사용되지 않는 오프-액적 모드에서 장치를 동작시키는 단계;
    동작시키는 단계와 동시에, 장치가 오프-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 용기 안으로 및 용기 밖으로 중 적어도 하나로 가스의 흐름의 특성을 조절하는 단계;
    액적이 EUV 방사선을 생성하는 데에 사용되는 온-액적 모드에서 장치를 동작시키는 것으로 전환하는 단계; 및
    전환 단계와 동시에, 장치가 온-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 용기 안으로 및 용기 밖으로 중 적어도 하나로 가스의 흐름의 특성을 조절하는 단계를 포함하는, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
  28. 제27항에 있어서,
    상기 방법은 예측형 프로세스에 따라 동작하는 제어기의 제어 하에 수행되는, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
  29. 제27항에 있어서,
    상기 특성은 유량인, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
  30. 제27항에 있어서,
    상기 특성은 흐름 속도인, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
  31. 제27항에 있어서,
    상기 특성은 흐름 프로파일인, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
  32. 제27항에 있어서,
    상기 특성은 흐름 조성인, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
  33. 제32항에 있어서,
    상기 흐름 조성은 온-액적 모드 동안에는 활성 가스를 함유하지 않고 오프-액적 모드 동안에 활성 가스를 함유하는, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
  34. 제33항에 있어서,
    상기 활성 가스는 산소를 포함하는, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
  35. 제27항에 있어서,
    상기 장치는 흐름 장애물 및 상기 흐름 장애물을 이동시키기 위한 모터를 포함하고, 상기 장치가 오프-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 상기 용기 안으로 가스의 흐름의 특성을 조절하는 단계는, 상기 흐름 장애물을 용기 안으로의 가스의 흐름 경로 내로 적어도 부분적으로 이동시키는 것을 포함하는, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
  36. 제27항에 있어서,
    상기 장치는:
    상기 가스의 소스와 유체 연통하도록 구성된 밸브, 및 상기 밸브를 용기에 각각 연결하는 복수의 유체 도관을 포함하는 매니폴드를 포함하되, 복수의 유체 도관 각각은 개개의 도관을 통한 유량을 개개의 값으로 제한하는 개개의 흐름 제한기를 구비하고, 상기 밸브는 가스가 복수의 흐름 도관 중 하나를 통해 흐르는 것을 허용하도록 배치되며, 장치가 오프-액적 모드에서 동작하는 것에 적어도 부분적으로 기초하여 용기 안으로 가스의 흐름의 특성을 조절하는 단계는, 밸브를 동작시켜 복수의 도관 중 선택된 도관을 가스의 소스와 유체 연통하도록 배치하는 단계를 포함하는, EUV 방사선을 생성하기 위한 장치의 동작을 제어하는 방법.
KR1020227035623A 2020-04-13 2021-03-16 Euv 광원에서 가스 흐름을 제어하기 위한 장치 및 방법 KR20220167375A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063009127P 2020-04-13 2020-04-13
US63/009,127 2020-04-13
PCT/EP2021/056744 WO2021209214A1 (en) 2020-04-13 2021-03-16 Apparatus for and method of controlling gas flow in an euv light source

Publications (1)

Publication Number Publication Date
KR20220167375A true KR20220167375A (ko) 2022-12-20

Family

ID=75173268

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227035623A KR20220167375A (ko) 2020-04-13 2021-03-16 Euv 광원에서 가스 흐름을 제어하기 위한 장치 및 방법

Country Status (4)

Country Link
KR (1) KR20220167375A (ko)
CN (1) CN115380626A (ko)
TW (1) TW202215906A (ko)
WO (1) WO2021209214A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN118476317A (zh) * 2021-12-29 2024-08-09 Asml荷兰有限公司 极紫外光源中的碎片减少
EP4386480A1 (en) * 2022-12-15 2024-06-19 ASML Netherlands B.V. Flushing system and method for a lithographic apparatus

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7671349B2 (en) * 2003-04-08 2010-03-02 Cymer, Inc. Laser produced plasma EUV light source
JP6280116B2 (ja) 2012-08-03 2018-02-14 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置および方法
NL2020238A (en) 2017-01-06 2018-07-23 Asml Netherlands Bv Guiding device and associated system
US10990026B2 (en) * 2018-08-14 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography apparatus and cleaning method thereof
US10877378B2 (en) * 2018-09-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Vessel for extreme ultraviolet radiation source

Also Published As

Publication number Publication date
TW202215906A (zh) 2022-04-16
CN115380626A (zh) 2022-11-22
WO2021209214A1 (en) 2021-10-21

Similar Documents

Publication Publication Date Title
JP6043789B2 (ja) レーザ生成プラズマ光源内の緩衝ガス流安定化のためのシステム及び方法
US7812329B2 (en) System managing gas flow between chambers of an extreme ultraviolet (EUV) photolithography apparatus
US8785892B2 (en) Laser-produced-plasma EUV light source
KR20220167375A (ko) Euv 광원에서 가스 흐름을 제어하기 위한 장치 및 방법
KR101503897B1 (ko) 극자외선(euv) 포토리소그래피 장치의 챔버간 가스 흐름을 관리하는 시스템
JP2016174006A (ja) Euv非出力期間中のlpp駆動レーザー出力のための方法
JP2017509000A (ja) 放射源装置およびリソグラフィ装置
JP7536840B2 (ja) 極端紫外線光源のための供給システム
JP7536784B2 (ja) 極端紫外光源の保護システム
US11940736B2 (en) Tin trap device, extreme ultraviolet light generation apparatus, and electronic device manufacturing method
WO2022002662A1 (en) Apparatus for and method of accelerating droplets in a droplet generator for an euv source
US20240292510A1 (en) Apparatus and method for producing droplets of target material in an euv source
WO2022268468A1 (en) Apparatus and method for producing droplets of target material in an euv source
KR20220044750A (ko) Euv 광원에서 소스 재료 조정용 레이저 시스템
WO2023117206A1 (en) Vessel for a radiation source

Legal Events

Date Code Title Description
A201 Request for examination