KR20220164596A - 이트륨 산화물계 코팅 조성 - Google Patents

이트륨 산화물계 코팅 조성 Download PDF

Info

Publication number
KR20220164596A
KR20220164596A KR1020227039124A KR20227039124A KR20220164596A KR 20220164596 A KR20220164596 A KR 20220164596A KR 1020227039124 A KR1020227039124 A KR 1020227039124A KR 20227039124 A KR20227039124 A KR 20227039124A KR 20220164596 A KR20220164596 A KR 20220164596A
Authority
KR
South Korea
Prior art keywords
mol
mole
chamber
protective coating
coating
Prior art date
Application number
KR1020227039124A
Other languages
English (en)
Inventor
바히드 피로우즈도르
크리스토퍼 로랑 뷔드리
현-호 도
조셉 프레데릭 벤케
조셉 프레데릭 소머스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220164596A publication Critical patent/KR20220164596A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • C04B35/111Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/62222Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products obtaining ceramic coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0047Activation or excitation of reactive gases outside the coating chamber
    • C23C14/0052Bombardment of substrates by reactive ion beams
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Structural Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Plasma Technology (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

가혹한 화학적 환경(이를테면, 수소계 및/또는 할로겐계 환경)에 대한 코팅된 물품(이를테면, 챔버 컴포넌트)의 노출 시에 그리고/또는 고에너지 플라즈마에 대한 물품의 노출 시에 물품에 침식 및 부식 내성을 제공하는 보호 코팅 조성이 본원에서 설명된다. 또한, 전자 빔 이온 보조 증착, 물리적 기상 증착, 또는 플라즈마 스프레이를 사용하여 보호 코팅으로 물품을 코팅하는 방법이 본원에서 설명된다. 또한, 웨이퍼를 프로세싱하는 방법이 본원에서 설명되며, 이 방법은 웨이퍼당 평균 약 5개 미만의 이트륨계 입자 결함들을 나타낸다.

Description

이트륨 산화물계 코팅 조성
[0001] 본 개시내용의 실시예들은, 일반적으로, 이온 보조 증착, 플라즈마 스프레이, 또는 물리적 기상 증착을 사용하여 이트륨 산화물계 보호 코팅 조성(protective coating composition)으로 챔버 컴포넌트들을 코팅하는 방법에 관한 것이다.
[0002] 반도체 업계에서, 점점 감소되는 크기의 구조들을 생성하는 다수의 제조 프로세스들에 의해 디바이스들이 제작된다. 디바이스 기하학적 구조들이 축소됨에 따라, 프로세스 균일성 및 반복성을 제어하는 것이 훨씬 더 어려워진다.
[0003] 기존 제조 프로세스들은, 반도체 프로세싱 챔버 컴포넌트들의 무결성에 해로울 수 있고 프로세스 균일성 및 반복성을 제어하는 난제에 추가로 기여할 수 있는 고에너지 공격성 플라즈마 및/또는 부식성 환경에 반도체 프로세싱 챔버 컴포넌트들을 노출시킨다.
[0004] 따라서 특정 반도체 프로세싱 챔버 컴포넌트들(예컨대, 라이너들, 도어들, 덮개들 등)은 이트륨계 보호 코팅들로 코팅된다. 이트리아(Y2O3)는 공격적인 플라즈마 환경에서 그의 우수한 부식 및/또는 스퍼터링 내성으로 인해 에칭 챔버 컴포넌트들에서 일반적으로 사용된다.
[0005] 고에너지 공격성 플라즈마로부터 발생하는 스퍼터링에 대한 물리적 내성 및 부식성 환경들로부터 발생하는 부식에 대한 화학적 내성 둘 모두를 제공하는 보호 코팅에 도달하는 것이 유리할 것이다.
[0006] 특정 실시예들에서, 본 개시내용은 코팅된 챔버 컴포넌트에 관한 것이다. 코팅된 챔버 컴포넌트는 바디 및 부식 및 침식 내성 코팅을 포함한다. 부식 및 침식 내성 코팅은 약 0.1몰% 내지 최대 37몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 99.9몰% 범위의 몰 농도의 알루미늄 산화물의 단상 블렌드(single phase blend)를 포함한다.
[0007] 특정 실시예들에서, 본 개시내용은 챔버 컴포넌트를 코팅하기 위한 방법에 관한 것이다. 방법은, 부식 및 침식 내성 코팅을 증착하기 위해 e-빔 IAD(electron beam ion assisted deposition), PVD(physical vapor deposition), 또는 플라즈마 스프레이를 수행하는 단계를 포함한다. 부식 및 침식 내성 코팅은 약 0.1몰% 내지 최대 37몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 99.9몰% 범위의 몰 농도의 알루미늄 산화물의 단상 블렌드를 포함한다.
[0008] 특정 실시예들에서, 본 개시내용은 웨이퍼를 프로세싱하기 위한 방법에 관한 것이다. 방법은 부식 및 침식 내성 코팅으로 코팅된 적어도 하나의 챔버 컴포넌트를 포함하는 챔버에서 웨이퍼를 프로세싱하는 단계를 포함한다. 부식 및 침식 내성 코팅은 약 0.1몰% 내지 최대 37몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 99.9몰% 범위의 몰 농도의 알루미늄 산화물의 단상 블렌드를 포함한다.
[0009] 본 발명은, 유사한 참조부호들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도들에서 제한적인 것이 아니라 예로서 예시된다. 본 개시내용에서 "실시예" 또는 "일 실시예"에 대한 상이한 참조들은 반드시 동일한 실시예를 참조하는 것은 아니며, 그러한 참조들은 적어도 하나를 의미한다는 것이 유의되어야 한다.
[0010] 도 1은 프로세싱 챔버의 일 실시예의 단면도를 묘사한다.
[0011] 도 2a는 IAD(ion assisted deposition)와 같은 에너제틱 입자들을 활용하는 다양한 증착 기법들에 적용 가능한 증착 메커니즘을 묘사한다.
[0012] 도 2b는 IAD 증착 장치의 개략도를 묘사한다.
[0013] 도 3은 하나 이상의 보호 코팅들에 의해 커버되는 물품들(예컨대, 덮개들)의 측단면도들을 예시한다.
[0014] 도 4a는 일 실시예에 따라, 보호 코팅을 갖는 챔버 덮개의 사시도를 예시한다.
[0015] 도 4b는 일 실시예에 따라, 보호 코팅을 갖는 챔버 덮개의 측단면도를 예시한다.
[0016] 도 5는 일 실시예에 따라 보호 코팅으로 물품을 코팅하기 위한 방법을 예시한다.
[0017] 도 6은 일 실시예에 따라 보호 코팅으로 코팅된 적어도 하나의 챔버 컴포넌트를 포함하는 프로세싱 챔버에서 웨이퍼를 프로세싱하기 위한 방법을 예시한다.
[0018] 도 7은 알루미나 및 이트리아의 상태도(phase diagram)를 예시한다.
[0019] 도 8은 비교용 YO 코팅과 비교하여 일 실시예에 따른 보호 코팅을 사용하여 공격적인 화학 물질을 구동하는 700 RFhrs 챔버 마라톤 동안 생성된 총 이트륨계 입자들을 도시한다.
[0020] 도 9는 비교용 YO 코팅과 비교하여 일 실시예에 따른 보호 코팅의 웨이퍼 당 총 이트륨계 결함들을 도시한다.
[0021] 도 10a, 도 10b, 도 10c, 및 도 10d는 산 스트레스 테스트에 대한 코팅의 노출 시에 비교용 YO 코팅(도 10a), 비교용 YAM 코팅(도 10b), 및 비교용 YAG 코팅(도 10c)과 비교하여 일 실시예에 따른 보호 코팅(도 10d)의 화학적 내성을 도시한다.
[0022] 도 11은 일 실시예에 따라 보호 코팅을 증착하는 데 활용될 수 있는 물리적 기상 증착 기술의 개략도를 묘사한다.
[0023] 도 12는 일 실시예에 따른 보호 코팅을 증착하는 데 활용될 수 있는 플라즈마 스프레이 증착 기술의 개략도를 묘사한다.
[0024] 반도체 제조 프로세스들은 반도체 프로세스 챔버 컴포넌트들을 고에너지 공격적인 플라즈마 환경들 및 부식성 환경들에 노출시킨다. 이러한 공격적인 환경들로부터 프로세스 챔버 컴포넌트들을 보호하기 위해, 챔버 컴포넌트들은 보호 코팅들로 코팅된다.
[0025] 이트리아(Y2O3)는 그의 양호한 침식 내성으로 인해 챔버 컴포넌트들(예컨대, 에칭 챔버 컴포넌트들)의 코팅에서 일반적으로 사용된다. 그의 양호한 침식 내성에도 불구하고, 이트리아는 공격적인 에칭 화학 물질들에서 화학적으로 안정적이지 않다. 불소, 염소 및 브롬화물과 같은 라디칼(radical)들은 이트리아를 화학적으로 쉽게 공격하여, 이트륨계 입자들의 형성에 기여한다. 이트륨계 입자들은 에칭 애플리케이션들의 결함들에 기여한다. 따라서 다양한 산업들(예컨대, 로직 산업)에서 제품 웨이퍼들의 이트륨계 결함들에 대해 타이트한 규격들을 세팅하기 시작했다.
[0026] 이러한 타이트한 규격들을 충족시키기 위해, 고에너지 공격성 플라즈마로 인해 발생하는 스퍼터링에 대한 물리적 내성 및 공격적인 화학적 환경에 의한 화학적 공격들로 인해 발생하는 화학적 내성 둘 모두를 제공하는 보호 코팅 조성들을 식별하는 것이 유익하다.
[0027] 본 개시내용에서, 보호 코팅은 순수 이트리아(Y2O3) 및 다른 이트륨계 재료들에 비해 개선된 화학적 안정성을 가지면서, 순수 알루미나(Al2O3)에 비해 고에너지 공격성 플라즈마에 대한 물리적 내성을 또한 유지하는 것으로 식별되었다.
[0028] 특정 실시예들에서, 본원에서 설명된 보호 코팅은 알루미늄 산화물 및 이트륨 산화물의 단상 블렌드를 포함하는 부식 및 침식 내성 코팅이다. 특정 실시예들에서, 보호 코팅은 비정질이다. 보호 코팅의 비정질 성질로 인해, 보호 코팅에는 그렇지 않으면 결정질인 코팅에 포함될 수 있었을 것보다 더 많은 알루미나가 포함될 수 있다. 이트리아 및 알루미나의 결정질 코팅은 도 7에 도시된 것과 같은 알루미나-이트리아 상태도에 묘사된 상들로 제한된다. 예컨대, 이트리아 몰 분율이 0 초과 내지 약 0.37(결정질 상 이트륨 알루미늄 가넷(yttrium aluminum garnet; YAG)을 대표하는 상태도 내 제1 수직 라인(B)과 연관된 이트리아 몰 분율) 미만에 걸쳐있는 도 7의 상태도 내 구역 A에 따르면, 약 2080K 미만의 온도에서, 2개의 상들 즉 결정질 YAG 상 및 결정질 알루미나 상을 갖는 결정질 이트리아-알루미나 코팅이 형성될 것이다. 본원에서 설명된 코팅들은, 그렇지 않으면 A 구역에 속했을 알루미나 및 이트리아의 조성으로 알루미나 및 이트리아 블렌드의 단일 상(예컨대, 비정질 상)을 형성함으로써 종래의 상태도로부터 벗어난다.
[0029] 제한하는 것으로 해석됨 없이, 본원에서 설명된 특정 코팅들의 비정질 성질로 인해, 알루미늄계 컴포넌트를 코팅에 더 많이 도입하고 코팅을 가혹한 화학적 환경(예컨대, 산성 환경들, 수소계 환경들 및 할로겐계 환경들)에 대해 더 화학적으로 내성이 있게 하면서도, 코팅을 고에너지 플라즈마 환경들에 대해 물리적으로 내성이 있게 하도록 코팅에 충분한 양의 이트륨계 컴포넌트를 여전히 유지하는 것이 가능한 것으로 여겨진다.
[0030] 특정 실시예들에서, 본 개시내용은 본원에서 설명된 보호 코팅들 중 임의의 것으로 챔버 컴포넌트를 코팅하기 위한 방법에 관한 것이다. 프로세싱 챔버의 고에너지 플라즈마 환경들 및/또는 가혹한 화학적 환경들에 노출되는 임의의 챔버 컴포넌트는 본원에서 설명된 보호 코팅들로 코팅될 수 있다. 챔버 컴포넌트들은 프로세싱 환경 대면 측 및 선택적으로 다른 측들 상에 코팅될 수 있다. 그러한 코팅들로부터 이익을 얻을 수 있는 적합한 챔버 컴포넌트들은 제한 없이 덮개들, 라이너들, 도어들, 노즐들 등을 포함한다. 보호 코팅은 IAD(ion assisted deposition)를 사용하여(예컨대, EB-IAD(electron beam IAD)를 사용하여) 챔버 컴포넌트의 바디의 측을 대면하는 프로세싱 환경(예컨대, 플라즈마 환경 및/또는 화학적 환경) 상에 형성될 수 있다. 특정 실시예들에서, 보호 코팅은 플라즈마 스프레이 증착 또는 물리적 기상 증착을 사용하여 형성될 수 있다. 보호 코팅에 의해 제공되는 개선된 부식 및/또는 침식 내성은 유지보수 및 제조 비용을 감소시키면서 코팅된 물품의 서비스 수명을 개선할 수 있다. 부가적으로, 본원에서 설명된 코팅은 (IAD, PVD 또는 플라즈마 스프레이를 통해 증착되었든지 간에) 다른 이트륨계 코팅들(유사한 증착 기술에 의해 증착됨)에 비해 또는 코팅되지 않은 컴포넌트에 비해 컴포넌트에 더 긴 수명을 제공하기에 충분히 두껍게 적용될 수 있다.
[0031] 특정 실시예들에서, 본 개시내용은 추가로, 본원에서 설명된 보호 코팅들로 코팅된 적어도 하나의 챔버 컴포넌트를 포함하는 프로세싱 챔버에서 웨이퍼를 프로세싱하기 위한 방법에 관한 것이다. 보호 코팅에 의해 제공되는 개선된 부식 및/또는 침식 내성으로 인해, 코팅된 챔버 컴포넌트들은 웨이퍼 결함들의 주요한 원인이 되는 이트륨계 입자들을 덜 생성한다. 웨이퍼에서 이트륨계 결함들을 완전히 제거하는 궁극적인 목표와 함께 이트륨계 입자들 및 대응하는 이트륨계 결함들을 중심으로 엄격한 규격들이 설정된다. 본원에서 설명된 보호 코팅들로 코팅된 적어도 하나의 챔버 컴포넌트를 갖는 프로세싱 챔버들에서 프로세싱된 웨이퍼들은 웨이퍼당 평균 약 1개 미만의 이트륨계 입자 결함을 나타낸다. 이에 비해, 챔버 컴포넌트들이 비교용 보호 코팅들로 코팅된 프로세싱 챔버들에서 프로세싱된 웨이퍼들은 웨이퍼당 평균 약 8개 초과의 이트륨계 입자 결함들을 나타낸다.
[0032] 도 1은 본 개시내용의 실시예들에 따라, 보호 코팅으로 코팅된 하나 이상의 챔버 컴포넌트들을 갖는 반도체 프로세싱 챔버(100)의 단면도이다. 프로세싱 챔버(100)는 공격적인 플라즈마 환경 및/또는 공격적인 화학적 환경이 제공되는 프로세스들에 대해 사용될 수 있다. 예컨대, 프로세싱 챔버(100)는 플라즈마 에칭 반응기(플라즈마 에칭기라고 또한 알려져 있음), 플라즈마 세정기 등을 위한 챔버일 수 있다. 보호 코팅을 포함할 수 있는 챔버 컴포넌트들의 예들은, 기판 지지 조립체(148), 정전 척(ESC)(150), 링(예컨대, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 가스 분배 플레이트, 샤워헤드, 라이너, 라이너 키트, 실드, 플라즈마 스크린, 유동 등화기(flow equalizer), 냉각 베이스, 챔버 뷰포트, 챔버 덮개(130), 노즐 등을 포함한다. 하나의 특정 실시예들에서, 보호 코팅은 챔버 덮개(130) 및/또는 라이너(116) 위에 적용된다.
[0033] 특정 실시예들에서, 아래에서 더 상세히 설명되는 보호 코팅은 e-빔 IAD(electron beam ion assisted deposition)에 의해 증착되는 약 0.1 몰% 내지 최대 37 몰%의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 99.9몰%의 몰 농도의 알루미늄 산화물의 블렌드인 단상 비정질 코팅이다. 대안적으로, 코팅을 증착하기 위해 다른 형태들의 IAD가 사용될 수 있다. 대안적으로, 코팅을 증착하기 위해 PVD(physical vapor deposition) 또는 플라즈마 스프레이와 같은 다른 증착 기법들이 사용될 수 있다.
[0034] 특정 실시예들에서, 보호 코팅은 약 10 몰% 내지 최대 37 몰%의 몰 농도의 이트륨 산화물 및 63 몰% 초과 내지 약 90 몰%의 몰 농도의 알루미늄 산화물을 포함한다. 특정 실시예들에서, 보호 코팅은 약 15 몰% 내지 최대 37 몰%의 몰 농도의 이트륨 산화물 및 63 몰% 초과 내지 약 85 몰%의 몰 농도의 알루미늄 산화물을 포함한다. 특정 실시예들에서, 보호 코팅은 약 5몰% 내지 약 35몰%의 몰 농도의 이트륨 산화물 및 약 65몰% 내지 약 95몰%의 몰 농도의 알루미늄 산화물을 포함한다. 특정 실시예들에서, 보호 코팅은 약 5몰% 내지 약 30몰%의 몰 농도의 이트륨 산화물 및 약 70몰% 내지 약 95몰%의 몰 농도의 알루미늄 산화물을 포함한다. 특정 실시예들에서, 보호 코팅은 약 5몰% 내지 약 20몰%의 몰 농도의 이트륨 산화물 및 약 80몰% 내지 약 95몰%의 몰 농도의 알루미늄 산화물을 포함한다. 특정 실시예들에서, 보호 코팅 내 이트륨 산화물 및 알루미늄 산화물의 몰 농도는 합계가 100몰%가 된다.
[0035] 특정 실시예들에서, 보호 코팅은 약 0.1몰%, 약 0.5몰%, 약 1.0몰%, 약 2몰%, 약 3몰%, 약 4몰%, 약 5몰%, 약 6몰%, 약 7몰%, 약 8몰%, 약 9몰%, 약 10몰%, 약 11몰%, 약 12몰%, 약 13몰%, 약 14몰%, 약 15 몰%, 약 16몰%, 약 17몰%, 약 18몰%, 약 19몰%, 또는 약 20몰% 중 임의의 것 내지 약 21몰%, 약 22몰%, 약 23몰%, 약 24몰%, 약 25몰%, 약 26몰%, 약 27몰%, 약 28몰%, 약 29몰%, 약 30몰%, 약 31몰%, 약 32몰%, 약 33몰%, 약 34몰%, 약 35몰%, 약 36몰%, 또는 최대 37몰% 범위, 그 안의 임의의 단일 값 또는 그 안의 임의의 서브-범위의 몰 농도의 이트륨 산화물을 포함한다.
[0036] 특정 실시예들에서, 보호 코팅은 63몰% 초과, 약 64몰%, 약 65몰%, 약 66몰%, 약 67몰%, 약 68몰%, 약 69몰%, 약 70몰%, 약 71몰%, 약 72몰%, 약 73몰%, 약 74몰%, 약 75몰%, 약 76몰%, 약 77몰%, 약 78몰%, 약 79몰%, 또는 약 80몰% 중 임의의 것 내지 약 81몰%, 약 82몰%, 약 83몰%, 약 84몰%, 약 85몰%, 약 86몰%, 약 87몰%, 약 88몰%, 약 89몰%, 약 90몰%, 약 91몰%, 약 92몰%, 약 93몰%, 약 94몰%, 약 95몰%, 약 96몰%, 약 97몰%, 약 98몰%, 약 99몰%, 약 99.5몰%, 또는 약 99.9몰% 중 임의의 것의 범위, 또는 그 안의 임의의 단일 값 또는 그 안의 임의의 서브-범위의 몰 농도의 알루미늄 산화물을 포함한다.
[0037] 특정 실시예들에서, 본원에서 설명된 보호 코팅은 알루미늄 산화물 및 이트륨 산화물의 단상 비정질 블렌드로 구성되거나 이를 필수적 요소로 하여 구성되며(consisting essentially of), 여기서 알루미늄 산화물은 63몰% 초과 내지 약 99.9몰%, 63몰% 초과 내지 약 90몰%, 63몰% 초과 내지 약 85몰%, 약 65몰% 내지 약 95몰%, 약 70몰% 내지 약 95몰%, 또는 약 80몰% 내지 약 95몰% 범위의 몰 농도로 보호 코팅에 존재하고, 이트륨 산화물은 약 0.1몰% 내지 최대 37몰%, 약 10몰% 내지 최대 37몰%, 약 15몰% 내지 최대 37몰%, 약 5몰% 내지 약 35몰%, 약 5몰% 내지 약 30몰%, 또는 약 5몰% 내지 약 20몰% 범위의 몰 농도로 보호 코팅에 존재한다.
[0038] 본원에서 설명된 보호 코팅들은 더 많은 양의 알루미늄 산화물을 통합하는 유연성을 제공하며, 이는 다른 이트륨계 코팅들 또는 도 7에 묘사된 알루미나-이트리아 상태도로 제한되는 이트륨 및 알루미늄계 코팅과 비교하여 가혹한 화학적 환경들(이를테면, 산성 환경들, 수소계 환경들 및 할로겐계 환경들)에 대해 더 뛰어난 화학적 안정성을 제공한다. (도 7의 알루미나-이트리아 상태도로 제한되는 상들 내 본드 링크(bond link)들과 비교하여) 본드 링크들이 변할 수 있고 변하는 그의 비정질 성질로 인해 본원에서 설명된 보호 코팅들에 더 많은 알루미나를 통합하는 것이 가능하다.
[0039] 도 7은 다양한 온도들에서 이트리아 및 알루미나의 상태도를 묘사한다. 약 2080K 미만(예컨대, 2084K 미만)의 온도에서 0몰% 초과의 이트리아 내지 37몰% 미만의 이트리아 및 63몰% 초과의 알루미나 내지 100몰% 미만의 알루미나 범위의 구역 A에서, 상태도는 2상 시스템 결정질 알루미나 및 결정질 YAG(yttrium aluminum garnet)를 나타낸다. 구역 A의 중간에서, 약 2080K 미만(예컨대, 2084K 미만)의 온도에서, 2개의 상들, 즉 YAG 및 알루미나는 대략 동일한 양들(즉, 약 1:1 알루미나 몰 대 YAG 몰)로 존재한다. 구역 A의 중간의 좌측(즉, 순수 알루미나에 더 가까움)에서, 약 2080K 미만(예컨대, 2084K 미만)의 온도에서, 결정질 알루미나가 다수 상이고 결정질 YAG가 소수 상이다. 구역 A의 중간 우측에서, 약 2080K 미만(예컨대, 2084K 미만)의 온도에서, 결정질 YAG가 다수 상이고 결정질 알루미나가 소수 상이다. B로서 지정된 제1 수직 라인(2197K의 온도에 대응함)에서, 상태도에서, 약 37몰% 이트리아 및 약 63몰% 알루미나에서, 결정질 YAG가 형성된다. 제한하는 것으로 해석됨 없이, 2084K 미만의 온도에서 결정질 YAG 라인 상에서 또는 결정질 알루미나 및 결정질 YAG의 이중 상 구역에서 상태도 내 임의의 지점으로부터 시작하여 더 많은 알루미나를 조성에 첨가하려는 시도는 결정질 알루미나 및 결정질 YAG의 2개의 뚜렷한 상 시스템을 제공할 것이라고 여겨진다(예컨대, 알루미나 입자들은 YAG 매트릭스에서 분산될 수 있음). 그러나, 이러한 조성은 본원에서 설명된 비정질 코팅보다 더 적은 화학적 내성을 제공할 것이다.
[0040] 특정 실시예들에서, 본원에서 설명된 보호 코팅들은 약 20 원자%, 약 21 원자%, 약 22 원자%, 약 23 원자%, 약 24 원자%, 약 25 원자%, 약 26 원자%, 약 27 원자%, 약 28 원자%, 약 29 원자%, 또는 약 30 원자% 중 임의의 것 내지 약 31 원자%, 약 32 원자%, 약 33 원자%, 약 34 원자%, 약 35 원자%, 약 36 원자%, 약 37 원자%, 약 38 원자%, 약 39 원자%, 약 40 원자%, 약 41 원자%, 약 42 원자%, 약 43 원자%, 약 44 원자%, 또는 약 45 원자% 중 임의의 것의 범위, 또는 그 안의 임의의 단일 값 또는 그 안의 임의의 서브-범위의 농도의 알루미늄을 포함하는 코팅 조성을 갖는다. 일 실시예에서, 보호 코팅의 알루미늄 농도는 약 20 원자% 내지 약 35 원자% 범위에 있다. 일 실시예에서, 보호 코팅의 알루미늄 농도는 약 27 원자% 내지 약 44 원자% 범위에 있다.
[0041] 특정 실시예들에서, 본원에서 설명된 보호 코팅들은 약 1 원자%, 약 2 원자%, 약 3 원자%, 약 4 원자%, 약 5 원자%, 약 6 원자%, 약 7 원자%, 약 8 원자%, 약 9 원자%, 또는 약 10 원자% 중 임의의 것 내지 약 11 원자%, 약 12 원자%, 약 13 원자%, 약 14 원자%, 약 15 원자%, 약 16 원자%, 약 17 원자%, 약 18 원자%, 약 19 원자%, 또는 약 20 원자% 중 임의의 것의 범위, 또는 그 안의 임의의 단일 값 또는 그 안의 임의의 서브-범위의 농도의 이트륨을 포함하는 코팅 조성을 갖는다. 일 실시예에서, 보호 코팅의 이트륨 농도는 약 1 원자% 내지 약 8 원자% 범위에 있다. 일 실시예에서, 보호 코팅의 이트륨 농도는 약 8 원자% 내지 약 18 원자% 범위에 있다.
[0042] 특정 실시예들에서, 본원에서 설명된 보호 코팅들은 약 55 원자%, 약 56 원자%, 약 57 원자%, 약 58 원자%, 약 59 원자%, 약 60 원자%, 약 61 원자%, 약 62 원자%, 또는 약 63 원자% 중 임의의 것 내지 약 64 원자%, 약 65 원자%, 약 66 원자%, 약 67 원자%, 약 68 원자%, 약 69 원자%, 또는 약 70 원자% 중 임의의 것의 범위, 또는 그 안의 임의의 단일 값 또는 그 안의 임의의 서브-범위의 농도의 산소를 포함하는 코팅 조성을 갖는다. 일 실시예에서, 보호 코팅의 산소 농도는 약 55 원자% 내지 약 70 원자% 범위에 있다. 일 실시예에서, 보호 코팅의 산소 농도는 약 62 원자% 내지 약 70 원자% 범위에 있다.
[0043] 일 실시예에서, 보호 코팅은 약 27 원자% 내지 약 44 원자% 알루미늄, 약 1 원자% 내지 약 8 원자% 이트륨, 및 약 55 원자% 내지 약 70 원자% 산소를 포함하거나, 이들로 구성되거나, 이들을 필수적 요소로 하여 구성된다. 일 실시예에서, 보호 코팅은 약 20 원자% 내지 약 35 원자% 알루미늄, 약 8 원자% 내지 약 18 원자% 이트륨, 및 약 62 원자% 내지 약 70 원자% 산소를 포함하거나, 이들로 구성되거나, 이들을 필수적 요소로 하여 구성된다.
[0044] 본원에서 설명된 보호 코팅에서 알루미늄 원자% 대 이트륨 원자%의 비(ratio)는 약 1, 약 1.5, 약 2, 약 2.5, 약 3, 약 3.5, 약 4, 약 4.5, 약 5, 약 6, 약 7, 약 8, 약 9, 또는 약 10 중 임의의 것 내지 약 12, 약 14, 약 16, 약 18, 약 20, 약 22, 약 24, 약 26, 약 28, 약 30, 약 34, 약 38, 약 42, 또는 약 44 중 임의의 것의 범위에 있을 수 있다. 일 실시예에서, 보호 코팅에서 알루미늄 원자% 대 이트륨 원자%의 비는 약 1 내지 약 4.5의 범위에 있다. 일 실시예에서, 알루미늄 원자% 대 이트륨 원자%의 비는 약 3.4 내지 약 44의 범위에 있다.
[0045] 보호 코팅 조성은 1000x의 배율 및 10keV의 가속 전압으로 SEM-EDS(Scanning Electron Microscope Energy Dispersive Spectroscopy) 분석에 의해 결정된다.
[0046] 특정 실시예들에서, 본원에서 설명된 코팅은 YAG에 비교하여 또는 다른 재료(이를테면, 알루미나)와 조합된 YAG를 포함하는 다상 조성들과 비교하여 더 뛰어난 화학적 내성을 제공한다. 특정 실시예들에서, 본원에서 설명된 코팅은 YAG 내 알루미나/알루미늄의 양과 비교하여 더 큰 농도의 알루미나/알루미늄을 포함하는, 이트리아 및 알루미나의 단상 비정질 블렌드를 포함한다.
[0047] 특정 실시예들에서, 본원에서 설명되는 보호 코팅은 내부에 결정질 영역들을 갖지 않는다. 특정 실시예들에서, 보호 코팅은 내부에 자유 알루미나, 자유 이트리아 및/또는 YAG가 없다. 특정 실시예들에서, 보호 코팅은 XRD(X-Ray Diffraction)에 의한 측정 시, 약 90% 초과의 비정질, 약 92% 초과의 비정질, 약 94% 초과의 비정질, 약 96% 초과의 비정질, 약 98% 초과의 비정질, 또는 약 99% 초과의 비정질이다.
[0048] 보호 코팅은 e-빔 IAD 증착 코팅, PVD 증착 코팅, 또는 산화물계 세라믹들, 질화물계 세라믹들 및/또는 탄화물계 세라믹들을 포함하는 상이한 세라믹들 위에 적용된 플라즈마 스프레이 증착 코팅일 수 있다. 산화물계 세라믹들의 예들은 SiO2(석영), Al2O3, Y2O3 등을 포함한다. 탄화물계 세라믹들의 예들은 SiC, Si-SiC 등을 포함한다. 질화물계 세라믹의 예들은 AlN, SiN 등을 포함한다. e-빔 IAD 코팅 플러그 재료는 하소된 분말들, 미리 형성된 덩어리(preformed lump)들(예컨대, 생소지 프레싱(green body pressing), 고온 프레싱(hot pressing) 등에 의해 형성됨), 소결된 바디(예컨대, 50-100% 밀도를 가짐) 또는 기계 가공된(machined) 바디(예컨대, 세라믹, 금속 또는 금속 합금일 수 있음)일 수 있다. 도 1로 돌아가서, 예시된 바와 같이, 덮개(130), 노즐(132), 및 라이너(116) 각각은 일 실시예에 따라 각각 보호 코팅(133, 134, 및 136)을 갖는다. 그러나 위에 나열된 컴포넌트들과 같은 다른 챔버 컴포넌트들 중 임의의 것이 또한 보호 코팅을 포함할 수 있다는 것이 이해되어야 한다.
[0049] 일 실시예에서, 프로세싱 챔버(100)는 내부 볼륨(106)을 밀폐하는 챔버 바디(102) 및 덮개(130)를 포함한다. 챔버 바디(102)는 알루미늄, 스테인리스 강, 또는 다른 적합한 재료로 제작될 수 있다. 챔버 바디(102)는 일반적으로, 측벽들(108) 및 바닥(110)을 포함한다. 덮개(130), 측벽들(108) 및/또는 바닥(110) 중 임의의 것은 보호 코팅을 포함할 수 있다.
[0050] 외측 라이너(116)가 챔버 바디(102)를 보호하기 위해 측벽들(108)에 인접하게 배치될 수 있다. 외측 라이너(116)는 보호 코팅으로 제조 및/또는 코팅될 수 있다. 일 실시예에서, 외측 라이너(116)는 알루미늄 산화물로 제조된다.
[0051] 배기 포트(126)가 챔버 바디(102)에 정의될 수 있고, 펌프 시스템(128)에 내부 볼륨(106)을 커플링할 수 있다. 펌프 시스템(128)은 하나 이상의 펌프들 및 스로틀 밸브들을 포함할 수 있으며, 그 하나 이상의 펌프들 및 스로틀 밸브들은 프로세싱 챔버(100)의 내부 볼륨(106)을 진공배기시키기 위해 그리고 그 내부 볼륨(106)의 압력을 조절하기 위해 활용된다.
[0052] 덮개(130)는 챔버 바디(102)의 측벽(108) 상에 지지될 수 있다. 덮개(130)는 프로세싱 챔버(100)의 내부 볼륨(106)으로의 액세스를 가능하게 하기 위해 개방될 수 있으며, 폐쇄된 동안 프로세싱 챔버(100)에 대해 밀봉을 제공할 수 있다. 가스 패널(158)이 노즐(132)을 통해 내부 볼륨(106)에 프로세스 및/또는 세정 가스들을 제공하기 위해 프로세싱 챔버(100)에 커플링될 수 있다. 덮개(130)는 세라믹 이를테면, Al2O3, Y2O3, YAG, SiO2, AlN, SiN, SiC, Si-SiC 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체(solid-solution)를 포함하는 세라믹 화합물일 수 있다. 노즐(132)은 또한 덮개에 대해 언급된 그러한 세라믹들 중 임의의 것과 같은 세라믹일 수 있다. 덮개(130) 및/또는 노즐(132)은 각각 보호 코팅(133, 134)으로 코팅될 수 있다.
[0053] 프로세싱 챔버(100)에서 기판들을 프로세싱하기 위해 사용될 수 있는 프로세싱 가스들의 예들은, 할로겐-함유 가스들, 수소-함유 가스들, 이를테면, 특히 C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3, SiF4, H2, Cl2, HCl, HF와 같은 할로겐-함유 가스들 및 O2 또는 N2O와 같은 다른 가스들을 포함한다. 캐리어 가스들의 예들은, N2, He, Ar, 및 프로세스 가스들에 대해 불활성적인 다른 가스들(예컨대, 비-반응성 가스들)을 포함한다. 기판 지지 조립체(148)가 덮개(130) 아래에 있는 프로세싱 챔버(100)의 내부 볼륨(106)에 배치된다. 기판 지지 조립체(148)는 프로세싱 동안 기판(144)을 홀딩한다. 링(146)(예컨대, 단일 링)은 정전 척(150)의 일부를 커버할 수 있고, 프로세싱 동안 플라즈마에 대한 노출로부터, 커버되는 부분을 보호할 수 있다. 링(146)은 일 실시예에서 실리콘 또는 석영일 수 있다.
[0054] 내측 라이너(118)가 기판 지지 조립체(148)의 주변부 상에 코팅될 수 있다. 내측 라이너(118)는, 외측 라이너(116)를 참조하여 논의된 것들과 같은 할로겐-함유 가스 저항 재료일 수 있다. 일 실시예에서, 내측 라이너(118)는 외측 라이너(116)와 동일한 재료들로 제조될 수 있다. 부가적으로, 내측 라이너(118)는 보호 코팅으로 코팅될 수 있다.
[0055] 일 실시예에서, 기판 지지 조립체(148)는 탑재 플레이트(162), 지지 페데스탈(152), 및 정전 척(150)을 포함한다. 정전 척(150)은 열 전도성 베이스(164), 및 일 실시예에서 실리콘 본드일 수 있는 본드(138)에 의해 열 전도성 베이스에 본딩된 정전 퍽(electrostatic puck)(166)을 더 포함한다. 탑재 플레이트(162)는, 챔버 바디(102)의 바닥(110)에 커플링되고, 열 전도성 베이스(164) 및 정전 퍽(166)에 유틸리티(utility)들(예컨대, 유체들, 전력 라인들, 센서 리드(sensor lead)들 등)을 라우팅(route)하기 위한 통로들을 포함한다.
[0056] 열 전도성 베이스(164) 및/또는 정전 퍽(166)은, 지지 조립체(148)의 측방향 온도 프로파일을 제어하기 위해, 하나 이상의 선택적인 매립된 가열 엘리먼트들(176), 매립된 열 격리기(thermal isolator)들(174), 및/또는 도관(conduit)들(168, 170)을 포함할 수 있다. 도관들(168, 170)은, 도관들(168, 170)을 통해 온도 조절 유체를 순환시키는 유체 소스(172)에 유체적으로 커플링될 수 있다. 매립된 격리기(174)는 일 실시예에서, 도관들(168, 170) 간에 배치될 수 있다. 히터(176)는 히터 전원(178)에 의해 조절된다. 도관들(168, 170) 및 히터(176)는 열 전도성 베이스(164)의 온도를 제어하고, 그리하여 정전 퍽(166) 및 프로세싱되는 기판(예컨대, 웨이퍼)(144)을 가열 및/또는 냉각하는 데 활용될 수 있다. 정전 퍽(166) 및 열 전도성 베이스(164)의 온도는, 제어기(195)를 사용하여 모니터링될 수 있는 복수의 온도 센서들(190, 192)을 사용하여 모니터링될 수 있다.
[0057] 정전 퍽(166)은 다수의 가스 통로들, 이를테면 퍽(166)의 상부 표면에 형성될 수 있는 홈(groove)들, 메사(mesa)들, 및 다른 표면 피처들을 더 포함할 수 있다. 가스 통로들은, 퍽(166)에 드릴링(drill)된 홀(hole)들을 통해 He와 같은 열 전달(또는 후방 측(backside)) 가스의 소스에 유체적으로 커플링될 수 있다. 동작 시, 후방 측 가스가 제어된 압력으로 가스 통로들 내에 제공되어, 정전 퍽(166)과 기판(144) 간의 열 전달을 향상시킬 수 있다.
[0058] 정전 퍽(166)은, 척킹 전원(182)에 의해 제어되는 적어도 하나의 클램핑 전극(180)을 포함한다. 전극(180)(또는 퍽(166) 또는 베이스(164)에 배치된 다른 전극)은 추가로, 프로세싱 챔버(100) 내에서 프로세스 및/또는 다른 가스들로부터 형성된 플라즈마를 유지하기 위해, 매칭 회로(188)를 통해 하나 이상의 RF 전원들(184, 186)에 커플링될 수 있다. RF 전원들(184, 186)은 일반적으로, 최대 약 10,000 와트의 전력 및 약 50 kHz 내지 약 3 GHz의 주파수를 갖는 RF 신호를 생성할 수 있다.
[0059] 도 2a는 IAD(ion assisted deposition)과 같은 에너제틱 입자들을 활용하는 다양한 증착 기법들에 적용 가능한 증착 메커니즘을 묘사한다. 예시적인 IAD 방법들은 본원에서 설명된 바와 같은 보호 코팅들을 형성하도록 이온 타격(bombardment), 이를테면, 증발(예컨대, ARE(activated reactive evaporation)) 및 이온 타격의 존재 하의 스퍼터링을 통합하는 증착 프로세스들을 포함한다. 실시예들에서 수행되는 하나의 특정 유형의 IAD는 e-빔 IAD(electron beam IAD)이다. IAD 방법들 중 임의의 것은 O2, N2, 할로겐(예컨대, 불소), 아르곤 등과 같은 반응성 가스 종의 존재 하에 수행될 수 있다. 이러한 반응성 종은 증착 전 및/또는 증착 동안 표면 유기 오염물들을 태울 수 있다. 부가적으로, 세라믹 타깃 증착 대 금속 타깃 증착을 위한 IAD 증착 프로세스는 실시예들에서 O2 이온들의 부분 압력에 의해 제어될 수 있다. 대안적으로, 세라믹 타깃은 산소가 없거나 산소가 감소된 상태에서 사용될 수 있다. 특정 실시예들에서, IAD 증착은 산소 및/또는 아르곤의 존재 하에 수행된다. 특정 실시예들에서, IAD 증착은 코팅에 통합된 불소로 코팅을 증착하기 위해 불소의 존재 하에 수행된다. 내부에 통합된 불소로의 코팅은 유사한 환경들을 포함하는 웨이퍼 프로세스들(예컨대, 불소 환경으로의 프로세싱)과 상호작용할 가능성이 적은 것으로 여겨진다.
[0060] 도시된 바와 같이, 보호 코팅(215)(도 1의 코팅(133, 134, 136)과 유사함)은 이온들과 같은 에너제틱 입자들(203)의 존재 하에 증착 재료들(202)의 축적에 의해 물품(210) 상에 또는 다수의 물품들(210A, 210B)(이를테면, 이전에 설명된 챔버 컴포넌트들 중 임의의 것) 상에 형성된다. 증착 재료(202)는 원자들, 이온들, 라디칼들 등을 포함할 수 있다. 에너제틱 입자들(203)은 보호 코팅(215)이 형성될 때 보호 코팅(215)과 충돌하여 소형화(compact)할 수 있다.
[0061] 일 실시예에서, EB-IAD는 보호 코팅(215)을 형성하기 위해 사용된다. 도 2b는 IAD 증착 장치의 개략도를 묘사한다. 도시된 바와 같이, 재료 소스(250)는 증착 재료들(202)의 플럭스(flux)를 제공하는 반면, 에너제틱 입자 소스(255)는 에너제틱 입자들(203)의 플럭스를 제공하며, 이들 둘 모두는 IAD 프로세스 전반에 걸쳐 물품(210, 210A, 210B)에 충돌한다. 에너제틱 입자 소스(255)는 산소 또는 다른 이온 소스일 수 있다. 에너제틱 입자 소스(255)는 또한 입자 생성 소스들(예컨대, 플라즈마, 반응성 가스들, 또는 증착 재료들을 제공하는 재료 소스)로부터 나오는 다른 유형들의 에너제틱 입자들 이를테면, 라디칼들, 중성자들, 원자들 및 나노 크기 입자들을 제공할 수 있다.
[0062] 증착 재료들(202)을 제공하는 데 사용되는 재료 소스(예컨대, 타깃 바디 또는 플러그 재료)(250)는 보호 코팅(215)을 구성할 동일한 세라믹(예컨대, 비정질 Y2O3-Al2O3의 단상으로 구성된 벌크 소결 세라믹)에 대응하는 벌크 소결 세라믹일 수 있다. 재료 소스는 벌크 소결 YAG 및 벌크 소결 Al2O3, 및/또는 다른 언급된 세라믹들과 같은 벌크 소결 세라믹 화합물 바디일 수 있다. 분말들, 하소된 분말들, 미리 형성된 재료(예컨대, 생소지 프레싱 또는 고온 프레싱에 의해 형성됨), 또는 기계 가공된 바디(예컨대, 융합된 재료)와 같은 다른 타깃 재료들이 또한 사용될 수 있다. 상이한 유형들의 재료 소스들(250) 모두는 증착 동안 용융 재료 소스들로 용융된다. 그러나 상이한 유형들의 출발 재료는 용융되는 데 상이한 양의 시간이 걸린다. 융합된 재료들 및/또는 기계 가공된 바디들이 가장 빨리 용융될 수 있다. 미리 형성된 재료는 융합된 재료들보다 느리게 용융되고, 하소된 분말들은 미리 형성된 재료들보다 느리게 용융되고, 표준 분말들은 하소된 분말들보다 훨씬 느리게 용융된다.
[0063] 일부 실시예들에서, 재료 소스는 금속 재료(예컨대, Y 및 Al의 혼합물, 또는 Y 하나 및 Al 하나인 2개의 상이한 타깃들)이다. 이러한 재료 소스는 산화물 코팅을 형성하기 위해 산소 이온들에 의해 타격될 수 있다. 부가적으로 또는 대안적으로, 산소 가스는 Y 및 Al의 스퍼터링되거나 증발된 금속들이 산소와 상호작용하여 산화물 코팅을 형성하게 하기 위해 IAD 프로세스 동안 증착 챔버 내로 유동될 수 있다.
[0064] IAD는 재료 및 에너제틱 이온 소스들을 제공하기 위해 하나 이상의 플라즈마들 또는 빔들(예컨대, 전자 빔들)을 활용할 수 있다. 플라즈마 내성 코팅의 증착 동안, 반응성 종이 또한 제공될 수 있다. 일 실시예에서, 에너제틱 입자들(203)은 비-반응성 종(예컨대, Ar) 또는 반응성 종(예컨대, O) 중 적어도 하나를 포함한다. 추가 실시예들에서, CO 및 할로겐들(Cl, F, Br 등)과 같은 반응성 종이 또한 보호 코팅의 형성 동안 도입되어 보호 코팅(215)에 가장 약하게 본딩된 증착된 재료를 선택적으로 제거하는 경향을 추가로 증가시킬 수 있다.
[0065] IAD 프로세스들을 통해, 에너제틱 입자들(203)은 다른 증착 파라미터들과 관계없이 에너제틱 이온(또는 다른 입자) 소스(255)에 의해 제어될 수 있다. 에너제틱 이온 플럭스의 에너지(예컨대, 속도), 밀도 및 입사 각도에 따라, 보호 코팅의 조성, 구조, 결정 배향, 입자 크기 및 비정질 성질이 조작될 수 있다.
[0066] 조정될 수 있는 부가적인 파라미터들은 증착 동안의 물품의 온도뿐만 아니라 증착의 지속기간이다. 일 실시예에서, IAD 증착 챔버(및 챔버 덮개)는 증착 전에 70℃ 이상의 시작 온도로 가열된다. 일 실시예에서, 시작 온도는 50℃ 내지 250℃이다. 일 실시예에서, 시작 온도는 50℃ 내지 100℃이다. 그 후, 챔버 및 덮개의 온도는 증착 동안 시작 온도로 유지될 수 있다. 일 실시예에서, IAD 챔버는 가열을 수행하는 가열 램프들을 포함한다. 대안적인 실시예에서, IAD 챔버 및 덮개는 가열되지 않는다. 챔버가 가열되지 않는 경우, IAD 프로세스의 결과로서 온도가 자연적으로 약 70℃까지 증가한다. 증착 동안 더 높은 온도는 보호 코팅의 밀도를 증가시킬 수 있지만, 보호 코팅의 기계적 응력을 또한 증가시킬 수 있다. 코팅 동안 낮은 온도를 유지하기 위해 활성 냉각이 챔버에 추가될 수 있다. 낮은 온도는 일 실시예에서 70℃ 이하 내지 0℃ 아래까지 임의의 온도에서 유지될 수 있다.
[0067] 조정할 수 있는 부가적인 파라미터들은 작동 거리(270) 및 입사 각도(272)이다. 작동 거리(270)는 재료 소스(250)와 물품(210A, 210B) 사이의 거리이다. 일 실시예에서, 작동 거리는 0.2 내지 2.0미터이고, 일 특정 실시예들에서 작동 거리는 1.0미터이다. 작동 거리를 감소시키는 것은 증착 레이트를 증가시키고 이온 에너지의 효율성을 증가시킨다. 그러나 특정 지점 아래로 작동 거리를 감소시키는 것은 보호 층의 균일성을 감소시킬 수 있다. 입사 각도는 증착 재료들(202)이 물품들(210A, 210B)에 부딪히는 각도이다. 일 실시예에서 입사 각도는 10-90도이다.
[0068] IAD 코팅들은 약 0.1 μin(micro-inches) 내지 약 180μin의 거칠기로 광범위한 표면 조건들 위에 적용될 수 있다. 그러나 더 매끄러운 표면은 균일한 코팅 커버리지를 용이하게 한다. 코팅 두께는 최대 약 300 ㎛(micron)일 수 있다. 생산 시에, Nd2O3, Sm2O3, Er2O3 등과 같은 희토류 산화물계 착색제를 코팅 층 스택의 바닥에 의도적으로 추가함으로써 컴포넌트들 상의 코팅 두께가 평가될 수 있다. 두께는 또한 엘립소메트리(ellipsometry)를 사용하여 정확하게 측정될 수 있다.
[0069] IAD 코팅들은 코팅 및/또는 증착 조건들을 생성하는 데 사용되는 희토류 산화물 합성물에 의존하여 비정질 또는 결정질일 수 있다. 비정질 코팅들은 보다 컨포멀(conformal)하고 격자 미스매치 유도성 에피택셜 균열(lattice mismatch induced epitaxial crack)들을 감소시키는 반면, 결정질 코팅들은 보다 침식 내성이 있다. 일 실시예에서, 본원에서 설명되는 보호 코팅은 비정질이고 결정화도(crystallinity)가 0이다. 특정 실시예들에서, 본원에서 설명되는 보호 코팅은 컨포멀하고 낮은 필름 응력을 갖는다.
[0070] 더 두꺼운 코팅들뿐만 아니라 계층화된 아키텍처들을 생성하기 위해 다수의 전자 빔(e-beam) 건들을 사용한 다수의 타깃들의 공동-증착이 달성될 수 있다. 예컨대, 동일한 재료 유형을 가진 두 개의 타깃들이 동시에 사용될 수 있다. 각각의 타깃은 상이한 전자 빔 건에 의해 타격될 수 있다. 이는 증착 레이트 및 보호 층의 두께를 증가시킬 수 있다. 다른 예에서, 2개의 타깃들은 상이한 세라믹 재료들일 수 있다. 예컨대, Al 또는 Al2O3의 하나의 타깃 및 Y 또는 Y2O3의 다른 타깃이 사용될 수 있다. 제1 전자 빔 건은 제1 보호 층을 증착하도록 제1 타깃을 타격할 수 있고, 제2 전자 빔 건은 후속적으로 제1 보호 층과 상이한 재료 조성을 갖는 제2 보호 층을 형성하도록 제2 타깃을 타격할 수 있다.
[0071] 일 실시예에서, 단일 타깃 재료(플러그 재료로서 또한 지칭됨) 및 단일 전자 빔 건이 본원에서 설명된 보호 코팅에 도달하기 위해 사용될 수 있다.
[0072] 일 실시예에서, 다수의 챔버 컴포넌트들(예컨대, 다수의 덮개들 또는 다수의 라이너들)은 IAD 챔버에서 병렬로 프로세싱된다. 각각의 챔버 컴포넌트는 상이한 픽스처(fixture)에 의해 지지될 수 있다. 대안적으로, 단일 픽스처는 다수의 챔버 컴포넌트들을 홀딩하도록 구성될 수 있다. 픽스처들은 증착 동안 지지된 챔버 컴포넌트들을 이동시킬 수 있다.
[0073] 일 실시예에서, 챔버 컴포넌트를 홀딩하기 위한 픽스처는 금속 컴포넌트들 이를테면, 냉간 압연된 강철 또는 세라믹들 이를테면, Al2O3, Y2O3 등으로 설계될 수 있다. 픽스처는 재료 소스 및 전자 빔 건 위 또는 아래에서 챔버 컴포넌트를 지지하는 데 사용될 수 있다. 픽스처는 코팅 동안뿐만 아니라 더 안전하고 쉬운 취급을 위해 챔버 컴포넌트를 척킹하는 척킹 능력을 가질 수 있다. 또한, 픽스처는 챔버 컴포넌트를 배향하거나 정렬하기 위한 특징을 가질 수 있다. 일 실시예에서, 픽스처는 소스 재료에 대한 지지된 챔버 컴포넌트의 배향을 변경하기 위해 하나 이상의 축들을 중심으로 회전 및/또는 재포지셔닝될 수 있다. 픽스처는 또한 증착 전 및/또는 증착 동안 작동 거리 및/또는 입사 각도를 변경하기 위해 재포지셔닝될 수 있다. 픽스처는 코팅 동안 챔버 컴포넌트의 온도를 제어하기 위해 냉각 또는 가열 채널들을 가질 수 있다. IAD는 가시선 프로세스이기 때문에 챔버 컴포넌트를 재포지셔닝하고 회전하는 능력은 홀들과 같은 3D 표면들의 최대 코팅 커버리지를 가능하게 할 수 있다.
[0074] 도 3은 하나 이상의 보호 코팅들(예컨대, 챔버 컴포넌트들 이를테면, 덮개들 및/또는 도어들 및/또는 라이너들 및/또는 노즐들)에 의해 커버될 수 있는 물품의 측단면도를 예시한다.
[0075] 도 3을 참조하면, 챔버 컴포넌트(300)의 바디(305)는 제1 보호 코팅(308) 및 제2 보호 코팅(310)을 갖는 코팅 스택(306)을 포함한다. 대안적으로, 물품(300)은 바디(305) 상의 단일 보호 코팅(308)만을 포함할 수 있다. 일 실시예에서, 보호 코팅들(308, 310)은 최대 약 300㎛의 두께를 갖는다. 추가 실시예에서, 보호 코팅들은 약 20 미크론 미만의 두께, 이를테면, 약 0.5 미크론 내지 약 12 미크론의 두께, 약 2 미크론 내지 약 12 미크론의 두께, 약 5 미크론 내지 약 7 미크론의 두께 또는 그 안의 임의의 서브-범위 또는 그 안의 단일 두께 값을 갖는다. 일 실시예에서, 보호 코팅 스택의 총 두께는 300㎛ 이하이다. 특정 실시예들에서, 보호 코팅은 하부 표면에 완전한 코팅 커버리지를 제공하고 두께가 균일하다. 코팅의 상이한 섹션들에 걸친 코팅의 균일한 두께는 코딩의 다른 섹션과 비교하여 코팅의 하나의 섹션에서 약 15% 이하, 약 10% 이하, 또는 약 5% 이하인 두께의 변동에 의해 입증될 수 있다.
[0076] 보호 코팅들(308, 310)은 EB-IAD(electron beam ion assisted deposition) 프로세스를 사용하여 물품(300)의 바디(305) 상에 형성될 수 있는 증착된 세라믹 층들이다. EB-IAD 증착된 보호 코팅들(308, 310)은 (예컨대, 플라즈마 스프레잉 또는 스퍼터링에 의해 야기되는 필름 응력과 비교하여) 비교적 낮은 필름 응력을 가질 수 있다. 비교적 낮은 필름 응력은 바디(305)의 하부 표면으로 하여금, 12인치 직경을 갖는 바디에 대해 전체 바디에 걸쳐 약 50미크론 미만의 곡률로 매우 평평해지게 할 수 있다. 12인치 직경을 갖는 바디에 대해 전체 바디에 걸쳐 약 50미크론 미만의 곡률은 낮은 필름 응력의 예시적인 정량적 측정이고 12인치 직경을 갖는 바디로 제한되는 것으로 해석되어서는 안 된다.
[0077] IAD 증착된 보호 코팅들(308, 310)은 실시예들에서 0% 다공성(즉, 무다공성)을 갖는다. 이러한 낮은 다공성은 챔버 컴포넌트가 프로세싱 동안 효과적인 진공 밀봉을 제공하는 것을 가능하게 할 수 있다. 허미티시티(Hermiticity)은 보호 코팅을 사용하여 달성될 수 있는 밀봉 용량을 측정한다. 실시예에 따르면, IAD 증착된 보호 코팅을 사용하여 약 1E-9(cm3/s) 미만의 He 누출율이 달성될 수 있다. 이와 비교하여, 알루미나를 사용하여 약 1E-6 cm3/s(cubic centimeters per second)의 He 누출율이 달성될 수 있다. 더 낮은 He 누출율은 개선된 밀봉을 표시한다. 헬륨 테스트 스탠드의 O-링 위에 코팅된 쿠폰을 배치하고, 게이지 <E-9 torr/s (또는 <1.3E-9 cm3/s)까지 압력을 펌핑 다운(pumping down)하고, O-링 주위에 헬륨 소스를 천천히 이동시킴으로써 약 30 sccm의 헬륨 유량을 사용하여 O-링 주위에 헬륨을 적용하고 누출율을 측정함으로써, 허미티시티가 측정된다.
[0078] IAD 증착된 보호 코팅은 조밀한 구조를 가지며, 이는 예컨대, 챔버 덮개 상의 적용에 대해 성능 이익들을 가질 수 있다. 부가적으로, IAD 증착된 보호 코팅은 바디(305)에 대한 낮은 균열 밀도 및 높은 접착력을 가질 수 있으며, 이는 코팅의 균열들(수직 및 수평 둘 모두), 코팅의 박리, 코팅에 의한 이트륨계 입자 생성 및 웨이퍼 상의 이트륨계 입자 결함들을 감소시키는 데 유익할 수 있다.
[0079] 특정 실시예들에서, 본원에서 설명되는 보호 코팅들은 어떠한 갭들, 핀 홀들 또는 코팅되지 않은 영역들도 나타내지 않는다. 특정 실시예들에서, 보호 코팅 내 균열들(수직 또는 수평)의 수는 최대 20k의 해상도가 가능한 SEM(scanning electron microscope)을 사용하여 획득되는 4k 배율 이미지에서 3개를 초과하지 않는다. 특정 실시예들에서, 코팅의 박리가 없고 코팅의 접착력은 보호 코팅을 기판으로부터 분리하는 데 사용되는 힘의 양을 측정함으로써 결정되고 ASTM 표준들(G171-03(2009) e2, C1624-05(2010), D7187-05)에 따라 결정된다. 알루미늄 기판에 대한 보호 코팅의 접착 강도는 300 mN(milliNewton) 초과일 수 있다.
[0080] 특정 실시예들에서, 보호 코팅의 거칠기는 코팅되고 있는 하부 기판의 시작 거칠기로부터 대략적으로 변하지 않을 수 있다. 예컨대, 특정 실시예들에서, 기판의 시작 거칠기는 약 8-16 마이크로인치일 수 있고 코팅의 거칠기는 대락적으로 변하지 않을 수 있다. 특정 실시예들에서, 하부 기판의 시작 거칠기는 약 8 마이크로인치 미만, 예컨대, 약 4 내지 약 8 마이크로인치일 수 있고, 보호 코팅의 거칠기는 대략적으로 변하지 않을 수 있다. 보호 코팅은 증착 후 표면 거칠기를 8 마이크로인치 이하로 감소시키기 위해 연마될 수 있다. 보호 코팅은 표면 거칠기를 약 4 마이크로인치로부터 약 8 마이크로인치로 감소시키기 위해 연마될 수 있다.
[0081] 특정 실시예들에서, 보호 코팅은 플라즈마 프로세싱 동안 마모에 저항할 수 있는 높은 경도를 갖는다. IAD 증착된 보호 코팅은 일 실시예에 따르면, 약 ≥ 7 GPa, 예컨대, 약 8.6 GPa의 경도를 갖는다. 코팅의 경도는 ASTM E2546-07에 따라 나노-인덴테이션(nano-indentation)에 의해 결정된다.
[0082] 일 실시예에 따르면, IAD 증착된 보호 코팅은 5 ㎛ 코팅 당 1823 V보다 큰 항복 전압(breakdown voltage)을 갖는다. 항복 전압은 JIS C 2110에 따라 결정된다.
[0083] 본원에서 설명된 보호 코팅들은 미량 금속들 이를테면, Ca(최대 약 20ppm), Cr(최대 약 225ppm), Cu(최대 약 100ppm), Fe(최대 약 1000ppm), Mg(최대 약 20ppm), Mn(최대 약 20ppm), Ni(최대 약 200ppm), K(최대 약 20ppm), Mo(최대 약 2000ppm), Na(최대 약 40ppm), Ti(최대 약 50ppm), Zn(최대 약 20ppm)을 가질 수 있다. 미량 금속 레벨들은 2 ㎛ 깊이에서 LA ICPMS(Laser Ablation Inductively Coupled Plasma Mass Spectrometry)를 사용하여 결정된다. 특정 실시예들에서, 코팅은 보호 코팅의 원자%에 기초하여 또는 중량%에 기초하여 약 99.5% 이상, 약 99.6% 이상, 약 99.7% 이상, 약 99.8% 이상, 또는 약 99.9% 이상의 순도를 갖는다.
[0084] 인접한 보호 코팅들(308, 310)이 상이한 세라믹 재료들로 구성된 실시예에서, 인접한 보호 코팅을 형성하기 위해 사용될 수 있는 다른 세라믹들의 예들은 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Al2O3, Gd3Al5O12, Y2O3-ZrO2의 고용체(solid-solution)(Y2O3-ZrO2 고용체)와 Y4Al2O9를 포함하는 세라믹 화합물, 또는 이전에 식별된 다른 세라믹 재료들 중 임의의 것을 포함할 수 있다.
[0085] IAD 보호 코팅들을 갖는 챔버 컴포넌트들은 광범위한 온도들을 적용하는 애플리케이션에 사용될 수 있다. 예컨대, IAD 보호 코팅들을 갖는 챔버 컴포넌트들은 0℃의 온도 내지 1000℃의 온도를 갖는 프로세스들에서 사용될 수 있다. 코팅된 챔버 컴포넌트들은 열 쇼크에 의해 야기되는 균열 없이 고온(예컨대, 300℃ 이상)에서 사용될 수 있다.
[0086] 본원에서 설명된 보호 코팅의 조성은 일부 실시예들에서 위에서 식별된 재료 성질들 및 특성들이 최대 30%까지 변동될 수 있도록 수정될 수 있다는 것에 주의한다. 따라서, 보호 코팅 특성들에 대한 설명된 값들은 예시적으로 달성 가능한 값들로서 이해되어야 한다. 본원에서 설명된 보호 코팅들은 제공된 값들로 제한되는 것으로 해석되어서는 안 된다.
[0087] 덮개, 덮개 라이너, 노즐, 기판 지지 조립체, 가스 분배 플레이트, 샤워헤드, 정전 척, 섀도우 프레임, 기판 홀딩 프레임, 프로세싱 키트 링, 단일 링, 챔버 벽, 베이스, 라이너 키트, 실드, 플라즈마 스크린, 유동 등화기, 냉각 베이스, 챔버 뷰포트, 또는 챔버 라이너를 포함하는(그러나 이에 제한되지 않음), 프로세싱 챔버 내 임의의 챔버 컴포넌트는 본원에서 설명된 보호 코팅으로 코팅될 수 있다.
[0088] 도 4a는 일 실시예에 따라, 보호 코팅(510)을 갖는 챔버 덮개(505)(도 1의 챔버 덮개(130)와 유사함)의 사시도를 예시한다. 도 4b는 일 실시예에 따라, 보호 코팅(510)(도 1의 코팅(133)과 유사함)을 갖는 챔버 덮개(505)의 측단면도를 예시한다. 챔버 덮개(505)는 홀(520)을 포함하며, 이는 덮개의 중심 또는 덮개 상의 다른 곳에 있을 수 있다. 덮개(505)는 또한 덮개가 폐쇠된 동안 챔버의 벽들과 접촉할 립(lip)(515)을 가질 수 있다. 일 실시예에서, 보호 코팅(510)은 립(515)을 커버하지 않는다. 보호 코팅이 립(515)을 커버하지 않도록 보장하기 위해, 증착 동안 립(515)을 덮는 하드 또는 소프트 마스크가 사용될 수 있다. 마스크는 그 후 증착 후에 제거될 수 있다. 대안적으로, 보호 층(510)은 덮개의 전체 표면을 코팅할 수 있다. 따라서, 보호 층(510)은 프로세싱 동안 챔버의 측벽들 상에 놓일 수 있다.
[0089] 도 4b에 도시된 바와 같이, 보호 코팅(510)은 홀(520)의 내부를 코팅하는 측벽 부분(530)을 가질 수 있다. 보호 층(510)의 측벽 부분(530)은 덮개(505)의 표면 부근에서 더 두꺼울 수 있고, 홀(520) 내부로 깊어질수록 점진적으로 얇아질 수 있다. 측벽 부분(530)은 이러한 실시예들에서 홀(520)의 측벽들 전체를 코팅하지 않을 수 있다.
[0090] 도 5는 일 실시예에 따른 보호 코팅으로 챔버 컴포넌트와 같은 물품을 코팅하기 위한 방법(500)의 일 실시예를 예시한다. 프로세스(500)의 블록(505)에서, 챔버 컴포넌트와 같은 물품이 제공된다. 챔버 컴포넌트(예컨대, 덮개)는 벌크 소결 세라믹 바디를 가질 수 있다. 벌크 소결 세라믹 바디는 Al2O3, Y2O3, SiO2, 또는 Y2O3-ZrO2의 고용체와 Y4Al2O9를 포함하는 세라믹 화합물일 수 있다.
[0091] 블록(510)에서, 챔버 컴포넌트의 적어도 하나의 표면 상에 부식 저항성 및 침식 저항성 보호 코팅을 증착하기 위해 IAD(ion assisted deposition) 프로세스가 수행된다. 일 실시예에서, EB-IAD(electron beam ion assisted deposition process)가 수행된다. IAD 프로세스는 증착될 재료를 용융시키고 이온들로 재료들을 타격함으로써 수행될 수 있다. 블록(510)은 본원에서 설명된 바와 같이 부식 및 침식 저항성 보호 코팅을 증착하기 위해 e-빔 IAD와 같은 이온 보조 증착을 수행하는 것을 설명하지만, 물리적 기상 증착 및 플라즈마 스프레이 증착과 같은 다른 증착 기술이 또한, 공격적인 화학적 환경에 대한 화학적 내성 및 공격적인 플라즈마 환경에 대한 물리적 내성이 유사하게 향상된, 본원에서 설명된 보호 코팅들을 증착하기 위해 활용될 수 있다. 예시적인 물리적 기상 증착 기술은 도 11과 관련하여 아래에서 더 상세히 설명된다. 예시적인 플라즈마 스프레이 증착 기술은 도 12와 관련하여 아래에서 더 상세히 설명된다.
[0092] 침식 저항성 및 부식 저항성 보호 코팅은 약 0.1몰% 내지 최대 37몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 99.9몰% 범위의 몰 농도의 알루미늄 산화물의 단상(예컨대, 비정질) 블렌드일 수 있다. 특정 실시예들에서, 보호 코팅은 약 10몰% 내지 최대 37몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 90몰% 범위의 몰 농도의 알루미늄 산화물을 포함한다. 특정 실시예들에서, 보호 코팅은 약 15몰% 내지 최대 37몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 85몰% 범위의 몰 농도의 알루미늄 산화물을 포함한다. 특정 실시예들에서, 보호 코팅은 약 5몰% 내지 35몰% 범위의 몰 농도의 이트륨 산화물 및 약 65몰% 내지 약 95몰% 범위의 몰 농도의 알루미늄 산화물을 포함한다. 특정 실시예들에서, 보호 코팅은 약 5몰% 내지 30몰% 범위의 몰 농도의 이트륨 산화물 및 약 70몰% 내지 약 95몰% 범위의 몰 농도의 알루미늄 산화물을 포함한다. 특정 실시예들에서, 보호 코팅은 약 5몰% 내지 약 20몰% 범위의 몰 농도의 이트륨 산화물 및 약 80몰% 내지 약 95몰% 범위의 몰 농도의 알루미늄 산화물을 포함한다.
[0093] 보호 코팅에 대한 증착 레이트는 일 실시예에서 초당 약 0.02-20 옹스트롬(Å/s)일 수 있고, 증착 파라미터들을 튜닝함으로써 변동될 수 있다. 일 실시예에서, 0.25-1 Å/s의 증착 레이트가 초기에, 기판 상의 순응하고(conforming) 잘 접착되는 코팅을 달성하기 위해 사용된다. 그 후, 더 짧은 시간에 더 두꺼운 코팅을 달성하기 위해 보호 코팅의 나머지를 증착하기 위해 2-10 Å/s의 증착 레이트가 사용될 수 있다. 보호 코팅들은 잘 순응할 수 있고 두께가 균일할 수 있으며 그들이 증착되는 바디/기판에 대한 양호한 접착을 가질 수 있다.
[0094] IAD 프로세스들에서, 에너제틱 입자들은 다른 증착 파라미터들과 관계없이 에너제틱 이온(또는 다른 입자) 소스에 의해 제어될 수 있다. 에너제틱 이온 플럭스의 에너지(예컨대, 속도), 밀도 및 입사 각도에 따라, 보호 코팅의 조성, 구조, 및 결정질/비정질 성질이 조작될 수 있다. 조정될 수 있는 부가적인 파라미터들은 증착 동안의 물품의 온도뿐만 아니라 증착의 지속기간이다.
[0095] 전자 빔에 의해 인가되는 열의 양을 조절함으로써 코팅 증착 레이트가 제어될 수 있다. 이온 보조 에너지는 코팅을 조밀화하고 덮개 또는 노즐 표면 상의 재료의 증착을 가속화하는 데 사용될 수 있다. 이온 보조 에너지는 이온 소스의 전압 및/또는 전류를 조정함으로써 수정될 수 있다. 전류 및 전압은, 높은 그리고 낮은 코딩 밀도를 달성하고, 코팅의 응력을 조작하고, 그리고 또한 코팅의 비정질 성질에 영향을 미치도록 조정될 수 있다. 이온 보조 에너지는, 보호 코팅의 구조(예컨대, 결정질/비정질 성질)를 조작하고 보호 층의 화학량론을 변경하는 데 사용될 수 있다. 예컨대, 금속 타깃이 사용될 수 있고, 증착 동안, 금속 재료는 노즐 또는 덮개의 표면에의 산소 이온이 통합에 의해 금속 산화물로 변환된다. 또한, 산소 건을 사용하여, 임의의 금속 산화물 코팅의 레벨이 변경 및 최적화되어 원하는 코팅 성질들을 달성할 수 있다.
[0096] 코팅 온도는 히터들(예컨대, 열 램프들)을 사용함으로써 그리고 증착 레이트를 제어함으로써 제어될 수 있다. 더 높은 증착 레이트는 통상적으로 챔버 컴포넌트의 온도가 증가되게 할 것이다. 증착 온도는 필름 응력, 결정화도 등을 제어하기 위해 변동될 수 있다.
[0097] 균일성, 밀도 및 증착 레이트를 수정하기 위해 작동 거리가 조정될 수 있다. 증착 각도는 전자 빔 건 또는 전자 빔 허스(electron beam hearth)의 위치에 의해, 또는 전자 빔 건 또는 전자 빔 허스와 관련하여 덮개 또는 노즐의 위치를 변경함으로써 변동될 수 있다. 증착 각도를 최적화함으로써, 3차원 기하학적 구조들의 균일한 코팅이 달성될 수 있다.
[0098] 도 6은 본원에서 설명된 보호 코팅들 중 임의의 것으로 코팅된 적어도 하나의 챔버 컴포넌트를 포함하는 프로세싱 챔버에서 웨이퍼를 프로세싱하기 위한 방법(600)을 예시한다. 방법(600)은 보호 코팅으로 코팅된 적어도 하나의 챔버 컴포넌트(예컨대, 덮개, 라이너, 도어, 노즐 등)를 포함하는 프로세싱 챔버 내로 웨이퍼를 이송하는 단계(615)를 포함한다. 방법(600)은 가혹한 화학적 환경 및/또는 고에너지 플라즈마 환경에서 프로세싱 챔버의 웨이퍼를 프로세싱하는 단계(620)를 더 포함한다. 프로세싱 환경은, 특히, 할로겐-함유 가스들 및 수소-함유 가스들, 이를테면, C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3, SiF4, H2, Cl2, HCl, HF 및 다른 가스들, 이를테면 O2 또는 N2O를 포함할 수 있다. 일 실시예에서, 웨이퍼는 Cl2에서 프로세싱될 수 있다. 일 실시예에서, 웨이퍼는 H2에서 프로세싱될 수 있다. 일 실시예에서, 웨이퍼는 HBr에서 프로세싱될 수 있다. 방법(600)은 프로세싱된 웨이퍼를 프로세싱 챔버 외부로 이송하는 단계(625)를 더 포함한다.
[0099] 실시예에 따라 보호 코팅으로 코팅된 적어도 하나의 챔버 컴포넌트를 갖는 프로세싱 챔버들에서 본원에서 설명된 방법들에 따라 프로세싱된 웨이퍼들은 그 프로세싱된 웨이퍼들 상에서 더 적은 수의 이트륨계 입자 결함들을 나타낸다. 예컨대, 본원에서 설명된 방법에 따라 프로세싱된 웨이퍼들은 웨이퍼당 평균적으로 약 5 미만, 약 4 미만, 약 3 미만, 약 2 미만, 약 1 미만, 약 0.5 미만, 또는 약 0.1 미만의 이트륨계 입자 결함들을 나타낸다. 본원에서 설명된 보호 코팅들은 유리하게는 프로세싱된 웨이퍼들 상의 결함 가능성(defectivity)을 감소시킨다.
[00100] 도 8은 공격적인 Cl2, H2 및 불소계 화학 물질에 대한 노출을 포함하여, 가혹한 화학적 조건들 하에 700 RFhr(radio frequency hours)에 걸쳐 프로세싱된 웨이퍼들 상의 이트륨계 입자 결함들의 수를 예시하는 개략도를 묘사한다. 도 8에 도시된 바와 같이, 이러한 화학 물질은 (예컨대, 50 RFhr만큼 조기에) 데이터 시리즈(810)에 의해 도시된, 전통적인 YO계 코팅 재료들과 관련하여 초기 챔버 부품 장애를 초래한다. 이와 비교하여, 본원에서 설명된 보호 코팅 조성(데이터 시리즈(820)로서 도시됨)의 경우, 상승된 이트륨계 입자들 또는 이트륨계 입자 결함들이 관찰되지 않고 700 RFhr의 연장된 지속기간 동안 양호한 성능이 유지된다.
[00101] 도 9는 일 실시예에 따른 보호 코팅으로 코팅된 챔버 컴포넌트들로부터 관찰된 이트륨계 결함들의 감소된 수를 묘사한다. 도 9에 도시된 바와 같이, 공격적인 화학 물질에 대한 노출 시에, 데이터 시리즈(830)에 의해 도시된, 전통적인 YO계 코팅 재료들로 코팅된 챔버 컴포넌트들은 5개 초과 내지 최대 약 25개의 이트륨계 입자들의 생성을 초래한다. 이에 비해, 본원에서 설명된 보호 코팅 조성(데이터 시리즈(840)로서 도시됨)으로 코팅된 챔버 컴포넌트들은 0에 근접한 이트륨계 입자들의 수에 의해 입증되는, 실질적으로 더 적은 이트륨계 입자들을 생성한다.
[00102] 도 10a 내지 도 10d는 산 스트레스 테스트에 대한 다양한 코팅들의 노출 시에 동일한 증착 기술에 의해 증착된 다른 코팅들과 비교하여 본원에서 설명된 보호 코팅들의 향상된 화학적 내성을 묘사한다.
[00103] 도 10a는 e-빔 IAD를 통해 증착된 YO 코팅을 묘사한다. 도 10a에서 알 수 있는 바와 같이, 산 스트레스 테스트에 대한 YO 코팅의 노출 시에, YO 코팅은 심각한 화학적 손상을 나타낸다.
[00104] 도 10b는 e-빔 IAD를 통해 증착된 YAM 코팅을 묘사한다. 도 10b에서 알 수 있는 바와 같이, 산 스트레스 테스트에 대한 YAM 코팅의 노출 시에, YAM 코팅은 심각한 화학적 손상을 나타낸다.
[00105] 도 10c는 e-빔 IAD를 통해 증착된 YAG 코팅을 묘사한다. 도 10c에서 알 수 있는 바와 같이, 산 스트레스 테스트에 대한 YAG 코팅의 노출 시에, YAG 코팅은 가벼운 화학적 손상을 나타낸다.
[00106] 도 10d는 e-빔 IAD를 통해 증착된 본원에서 설명된 실시예들에 따른 코팅을 묘사한다. 도 10d에서 알 수 있는 바와 같이, 산 스트레스 테스트에 대한 본원에서 설명된 실시예들에 따른 코팅의 노출 시에, 코팅은 화학적 손상을 나타내지 않는다.
[00107] 제한하는 것으로 해석됨 없이, 도 10a 내지 도 10d로부터, 코팅 조성 내 알루미늄/알루미나 농도의 증가와 함께, (산 스트레스 테스트에 기초하여 결정된 바와 같이) 코팅의 화학적 내성이 개선되었다는 것이 인지될 수 있다.
[00108] 이전에 표시된 바와 같이, 본원에서 설명된 보호 코팅들 중 임의의 것은 또한 PVD 또는 플라즈마 스프레이와 같은 다른 기법들에 의해 증착될 수 있다. 이들 기법들은 각각 도 11 및 도 12와 관련하여 아래에서 추가로 상세히 설명된다.
[00109] PVD 프로세스는 수 나노미터 내지 수 마이크로미터 범위의 두께를 갖는 얇은 필름을 증착하는 데 사용될 수 있다. 다양한 PVD 프로세스들은 공통적으로 3개의 기본 특징들: (1) 고온 또는 기체 플라즈마의 도움으로 고체 소스로부터 재료를 증발시키는 것; (2) 기화된 재료를 진공 상태에서 물품의 표면으로 운송하는 것; 및 (3) 기화된 재료를 물품 상에 응축시켜 얇은 필름 층을 생성하는 것을 공유한다. 예시적인 PVD 반응기가 도 11에서 묘사된다.
[00110] 도 11은 다양한 PVD 기법들 및 반응기들에 적용 가능한 증착 메커니즘을 묘사한다. PVD 반응기 챔버(1100)는 물품(1120)에 인접한 플레이트(1110) 및 타깃(1130)에 인접한 플레이트(1115)를 포함할 수 있다. 특정 실시예들에서, 복수의 타깃들(예컨대, 2개의 타깃들)이 사용될 수 있다. 공기는 반응기 챔버(1100)로부터 제거되어 진공을 생성할 수 있다. 그 후, 가스(이를테면, 아르곤 가스 또는 산소 가스)가 반응기 챔버 내로 도입될 수 있고, 전압이 플레이트에 인가될 수 있고, 전자들 및 양 이온들(이를테면, 아르곤 이온들 또는 산소 이온들)(1140)을 포함하는 플라즈마가 생성될 수 있다. 이온들(1140)은 양 이온들일 수 있고 음으로 하전된 플레이트(1115)에 끌릴 수 있으며, 여기서 이들은 하나 이상의 타깃(들)(1130)에 부딪치고(hit)하고 타깃으로부터 원자들(1135)을 릴리스할 수 있다. 릴리스된 원자들(1135)은 운송되어 물품(1120) 상에 코팅(1125)으로서 증착될 수 있다. 코팅은 단일 층 아키텍처를 가질 수 있거나 다층 아키텍처(예컨대, 층들(1125 및 1145))를 포함할 수 있다.
[00111] 도 11의 물품(1120)은, 기판 지지 조립체, 정전 척(electrostatic chuck; ESC), 링(예컨대, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 가스 분배 플레이트, 가스 라인들, 샤워헤드, 노즐, 덮개, 라이너, 라이너 키트, 실드, 플라즈마 스크린, 유동 등화기, 냉각 베이스, 챔버 뷰포트, 챔버 덮개 등을 포함하는(그러나 이에 제한되지 않음) 다양한 반도체 프로세스 챔버 컴포넌트들을 표현할 수 있다.
[00112] 도 11의 코팅(1125)(및 선택적으로 1145)은 본원에서 설명된 보호 코팅들 중 임의의 것을 표현할 수 있다. 코팅(1125)(및 선택적으로(1145))은 이전에 설명된 코팅들과 동일한 조성의 알루미늄/알루미나, 이트리아/이트륨 및 산소를 가질 수 있다. 유사하게, 코팅(1125)(및 선택적으로 1145)은 제한들 없이, 퍼센트 비정질(percent amorphous), 다공성, 접착 강도, 화학적 내성, 물리적 저항, 경도, 순도, 항복 전압, 허미티시티 등과 같이 전술한 성질들 중 임의의 것을 가질 수 있다. 게다가, 코팅(1125)(및 선택적으로 1145)은 연장된 지속기간(예컨대, 700 RFhrs)에 걸쳐 공격적인 화학적 환경 및/또는 공격적인 플라즈마 환경에의 노출 시에 유사한 감소된 결함 가능성(웨이퍼 당 이트륨계 입자 결함들에 기초하여 추정됨)을 나타낼 수 있다.
[00113] 도 12는 일 실시예에 따른 플라즈마 스프레이 디바이스(1200)의 단면도를 도시한다. 플라즈마 스프레이 디바이스(1200)는 세라믹 재료들의 "SPS(slurry plasma spray)" 증착을 수행하는 데 사용되는 일 유형의 열 스프레이 시스템이다. 아래의 설명은 SPS 기술과 관련하여 설명될 것이지만, 다른 표준 플라즈마 스프레이 기법들이 또한 본원에서 설명된 코팅들을 증착하기 위해 활용될 수 있다.
[00114] SPS 증착은 입자들(슬러리)의 용액 기반 분포를 활용하여 기판 상에 세라믹 코팅을 증착한다. SPS는 APPS(atmospheric pressure plasma spray), HVOF(high velocity oxy-fuel), 웜 스프레잉, VPS(vacuum plasma spraying) 및 LPPS(low pressure plasma spraying)를 사용하여 슬러리를 스프레이함으로써 수행될 수 있다.
[00115] 플라즈마 스프레이 디바이스(1200)는 노즐 애노드(1206) 및 캐소드(1204)를 둘러싸는 케이싱(1202)을 포함할 수 있다. 케이싱(1202)은 플라즈마 스프레이 디바이스(1200)를 통해 그리고 노즐 애노드(1206)와 캐소드(1204) 사이에서 가스 흐름(1208)을 허용한다. 노즐 애노드(1206)와 캐소드(1204) 사이에 전압 전위를 인가하기 위해 외부 전원이 사용될 수 있다. 전압 전위는 노즐 애노드(1206)와 캐소드(1204) 사이에 아크를 생성하며, 이는 플라즈마 가스를 생성하기 위해 가스 흐름(1208)을 점화한다. 점화된 플라즈마 가스 흐름(1208)은 노즐 애노드(1206) 밖으로 그리고 기판(1220)을 향해 지향되는 고속 플라즈마 플룸(high-velocity plasma plume)(1214)을 생성한다.
[00116] 플라즈마 스프레이 디바이스(1200)는 챔버 또는 대기 부스에 위치될 수 있다. 일부 실시예들에서, 가스 흐름(1208)은 아르곤, 산소, 질소, 수소, 헬륨, 및 이들의 조합을 포함하는(그러나 이에 제한되지 않음) 가스 또는 가스 혼합물일 수 있다. 특정 실시예들에서, 불소와 같은 다른 가스들이 도입되어 일부 불소를 코팅에 통합시켜서, 불소 프로세싱 환경에서 마모에 대해 더 내성이 있게 할 수 있다.
[00117] 플라즈마 스프레이 디바이스(1200)에는 플라즈마 플룸(1214) 내로 슬러리를 전달하기 위해 하나 이상의 유체 라인들(1212)이 구비될 수 있다. 일부 실시예들에서, 여러 유체 라인들(1212)이 플라즈마 플룸(1214) 주위에 대칭적으로 또는 일 측 상에 배열될 수 있다. 일부 실시예들에서, 유체 라인들(1212)은 도 12에 묘사된 바와 같이 플라즈마 플룸(1214) 방향에 수직 방식으로 배열될 수 있다. 다른 실시예들에서, 유체 라인들(1212)은 상이한 각도(예컨대, 45°)로 플라즈마 플룸 내로 슬러리를 전달하도록 조정될 수 있거나, 또는 플라즈마 플룸(1214) 내로 슬러리를 내부적으로 주입하기 위해 케이싱(1202)의 내부에 적어도 부분적으로 위치될 수 있다. 일부 실시예들에서, 각각의 유체 라인(1212)은 상이한 슬러리를 제공할 수 있으며, 이는 기판(1220)에 걸친 결과적인 코팅의 조성을 변동시키기 위해 활용될 수 있다.
[00118] 슬러리 피더 시스템은 유체 라인들(1212)로 슬러리를 전달하기 위해 활용될 수 있다. 일부 실시예들에서, 슬러리 피더 시스템은 코팅 동안 일정한 유량을 유지하는 흐름 제어기를 포함한다. 유체 라인들(1212)은 예컨대, 탈이온수를 사용하여 코팅 프로세스 이전 및 이후에 세정될 수 있다. 일부 실시예들에서, 플라즈마 스프레이 디바이스(1200)에 공급된 슬러리를 포함하는 슬러리 용기는 코팅 프로세스 과정 동안 기계적으로 교반되어 슬러리를 균일하게 유지하고 침전을 방지한다.
[00119] 대안적으로, 표준 분말 기반 플라즈마 스프레이 기법들에서, 하나 이상의 상이한 분말들로 채워진 하나 이상의 분말 용기들을 포함하는 분말 전달 시스템이 분말을 플라즈마 플룸(1214)(도시되지 않음) 내로 전달하기 위해 사용될 수 있다.
[00120] 플라즈마 플룸(1214)은 매우 높은 온도들(예컨대, 약 3000℃ 내지 약 10000℃)에 도달할 수 있다. 플라즈마 플룸(1214)에 주입될 때 슬러리(또는 슬러리들)에 의해 경험되는 집중적인 온도는 슬러리 용매로 하여금, 빠르게 증발되게 할 수 있고 세라믹 입자들을 용융시켜 기판(1220)을 향해 추진되는 입자 스트림(1216)을 생성할 수 있다. 표준 분말 기반 플라즈마 스프레이 기술에서, 플라즈마 플룸(1214)의 집중적인 온도는 또한 거기에 전달된 분말을 용융시키고 용융된 입자들을 기판(1220)을 향해 추진시킨다. 기판(1220)과의 충돌 시, 용융된 입자들은 평평해지고 기판 상에서 빠르게 고형화되어, 세라믹 코팅(1218)을 형성할 수 있다. 용매는 세라믹 입자들이 기판(1220)에 도달하기 전에 완전히 증발될 수 있다.
[00121] 플라즈마 스프레이 증착을 사용하여 증착된 보호 코팅들은 특정 실시예들에서, e-빔 IAD에 의해 증착된 코팅들보다 더 큰 다공성을 가질 수 있다. 예컨대, 특정 실시예들에서, 플라즈마 스프레이 증착된 보호 코팅들은 최대 약 10%, 최대 약 8%, 최대 약 6%, 최대 약 4%, 최대 약 3%, 최대 2%, 최대 약 1%, 또는 최대 약 0.5%의 다공성을 가질 수 있다.
[00122] 세라믹 코팅의 두께, 밀도 및 거칠기에 영향을 미칠 수 있는 파라미터들은 슬러리 조건들, 입자 크기 분포, 슬러리 피드 레이트, 플라즈마 가스 조성, 가스 유량, 에너지 입력, 스프레이 거리 및 기판 냉각을 포함한다.
[00123] 도 12의 물품(1220)은, 기판 지지 조립체, 정전 척(electrostatic chuck; ESC), 링(예컨대, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 가스 분배 플레이트, 가스 라인들, 샤워헤드, 노즐, 덮개, 라이너, 라이너 키트, 실드, 플라즈마 스크린, 유동 등화기, 냉각 베이스, 챔버 뷰포트, 챔버 덮개 등을 포함하는(그러나 이에 제한되지 않음) 다양한 반도체 프로세스 챔버 컴포넌트들을 표현할 수 있다.
[00124] 도 12의 코팅(1218)은 본원에서 설명된 보호 코팅들 중 임의의 것을 표현할 수 있다. 코팅(1218)은 이전에 설명된 코팅들과 동일한 조성의 알루미늄/알루미나, 이트리아/이트륨 및 산소를 가질 수 있다. 유사하게, 코팅(1218)은 제한들 없이, 퍼센트 비정질, 다공성, 접착 강도, 화학적 내성, 물리적 저항, 경도, 순도, 항복 전압, 허미티시티 등과 같이 전술한 성질들 중 임의의 것을 가질 수 있다. 게다가, 코팅(1218)은 연장된 지속기간(예컨대, 700 RFhrs)에 걸쳐 공격적인 화학적 환경 및/또는 공격적인 플라즈마 환경에의 노출 시에 유사한 감소된 결함 가능성(웨이퍼 당 이트륨계 입자 결함들에 기초하여 추정됨)을 나타낼 수 있다.
[00125] 이전의 설명은 본 개시내용의 몇몇 실시예들의 양호한 이해를 제공하기 위해 특정 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정 세부사항들을 기재한다. 그러나, 본 개시내용의 적어도 일부 실시예들이 이러한 특정 세부사항들 없이도 실시될 수 있다는 것은 당업자에게 명백할 것이다. 다른 예시들에서, 잘-알려진 컴포넌트들 또는 방법들은 본 개시내용을 불필요하게 모호하게 하는 것을 피하기 위해, 상세히 설명되지 않거나 간단한 블록 다이어그램 포맷으로 제시된다. 따라서, 기재된 특정 세부사항들은 단지 예시일 뿐이다. 특정한 구현들은 이러한 예시적인 세부사항들과 다를 수 있으며, 여전히 본 개시내용의 범위 내에 있는 것으로 고려된다.
[00126] 본 명세서 전반에 걸쳐 "일 실시예" 또는 "실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정한 피처, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 장소들에서의 어구 "일 실시예" 또는 "실시예"의 출현들 모두는 반드시 동일한 실시예를 지칭할 필요는 없다. 부가적으로, 용어 "또는"은 배타적인 "또는" 보다는 포괄적인 "또는"을 의미하도록 의도된다. 용어 "약" 또는 "대략"이 본 명세서에서 사용될 때, 이는 제시된 공칭 값이 ±30% 내에서 정확하다는 것을 의미하도록 의도된다.
[00127] 본 명세서의 방법들의 동작들이 특정한 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는, 특정한 동작들이 역순으로 수행될 수 있도록 또는 특정한 동작이 다른 동작들과 적어도 부분적으로 동시에 수행될 수 있도록 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 명령들 또는 하위-동작들은 간헐적이고 그리고/또는 교번적인 방식으로 이루어질 수 있다.
[00128] 위의 설명은 제한이 아니라 예시적인 것으로 의도된다는 것을 이해한다. 위의 설명을 판독 및 이해할 시에, 많은 다른 실시예들이 당업자들에게 명백할 것이다. 따라서, 본 발명의 범위는, 첨부된 청구항들 및 그러한 청구항들이 권리를 가지는 등가물들의 전체 범위를 참조하여 결정되어야 한다.

Claims (20)

  1. 코팅된 챔버 컴포넌트로서,
    바디(body); 및
    약 0.1몰% 내지 최대 37몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 99.9몰% 범위의 몰 농도의 알루미늄 산화물의 단상 블렌드(single phase blend)를 포함하는 보호 코팅을 포함하는,
    코팅된 챔버 컴포넌트.
  2. 제1 항에 있어서,
    상기 보호 코팅은 비정질이고 낮은 필름 응력을 갖는,
    코팅된 챔버 컴포넌트.
  3. 제1 항에 있어서,
    상기 보호 코팅은 약 10몰% 내지 최대 37몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 90몰% 범위의 몰 농도의 알루미늄 산화물을 포함하는,
    코팅된 챔버 컴포넌트.
  4. 제1 항에 있어서,
    상기 보호 코팅은 약 5몰% 내지 약 20몰% 범위의 몰 농도의 이트륨 산화물 및 약 80몰% 내지 약 95몰% 범위의 몰 농도의 알루미늄 산화물을 포함하는,
    코팅된 챔버 컴포넌트.
  5. 제1 항에 있어서,
    상기 코팅된 챔버 컴포넌트는 덮개, 덮개 라이너, 노즐, 기판 지지 조립체, 가스 분배 플레이트, 샤워헤드, 정전 척, 섀도우 프레임, 기판 홀딩 프레임, 프로세싱 키트 링, 단일 링, 챔버 벽, 베이스, 라이너 키트, 실드, 플라즈마 스크린, 유동 등화기(flow equalizer), 냉각 베이스, 챔버 뷰포트, 또는 챔버 라이너를 포함하는,
    코팅된 챔버 컴포넌트.
  6. 제1 항에 있어서,
    상기 보호 코팅은 부식성 화학 물질에 대해 화학적으로 내성이 있고 고에너지 플라즈마에 대해 물리적으로 내성이 있으며, 상기 부식성 화학 물질은 수소계 화학 물질, 할로겐계 화학 물질, 또는 이들의 혼합물을 포함하는,
    코팅된 챔버 컴포넌트.
  7. 챔버 컴포넌트를 코팅하는 방법으로서,
    챔버 컴포넌트 상에 보호 코팅을 증착하기 위해 e-빔 IAD(electron beam ion assisted deposition), PVD(physical vapor deposition), 또는 플라즈마 스프레이를 수행하는 단계를 포함하고,
    상기 보호 코팅은 약 0.1몰% 내지 최대 37몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 99.9몰% 범위의 몰 농도의 알루미늄 산화물의 단상 블렌드를 포함하는,
    챔버 컴포넌트를 코팅하는 방법.
  8. 제7 항에 있어서,
    상기 보호 코팅은 비정질이고 낮은 필름 응력을 갖는,
    챔버 컴포넌트를 코팅하는 방법.
  9. 제7 항에 있어서,
    상기 보호 코팅은 약 10몰% 내지 최대 약 37몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 90몰% 범위의 몰 농도의 알루미늄 산화물을 포함하는,
    챔버 컴포넌트를 코팅하는 방법.
  10. 제7 항에 있어서,
    상기 보호 코팅은 약 5몰% 내지 약 20몰% 범위의 몰 농도의 이트륨 산화물 및 약 80몰% 내지 약 95몰% 범위의 몰 농도의 알루미늄 산화물을 포함하는,
    챔버 컴포넌트를 코팅하는 방법.
  11. 제7 항에 있어서,
    상기 챔버 컴포넌트는 덮개, 덮개 라이너, 노즐, 기판 지지 조립체, 가스 분배 플레이트, 샤워헤드, 정전 척, 섀도우 프레임, 기판 홀딩 프레임, 프로세싱 키트 링, 단일 링, 챔버 벽, 베이스, 라이너 키트, 실드, 플라즈마 스크린, 유동 등화기, 냉각 베이스, 챔버 뷰포트, 또는 챔버 라이너를 포함하는,
    챔버 컴포넌트를 코팅하는 방법.
  12. 제7 항에 있어서,
    상기 보호 코팅은 부식성 화학 물질에 대해 화학적으로 내성이 있고 고에너지 플라즈마에 대해 물리적으로 내성이 있으며, 상기 부식성 화학 물질은 수소계 화학 물질, 할로겐계 화학 물질, 또는 이들의 혼합물을 포함하는,
    챔버 컴포넌트를 코팅하는 방법.
  13. 웨이퍼를 프로세싱하는 방법으로서,
    보호 코팅으로 코팅된 적어도 하나의 챔버 컴포넌트를 포함하는 챔버에서 웨이퍼를 프로세싱하는 단계를 포함하고,
    상기 보호 코팅은 약 0.1몰% 내지 약 35몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 99.9몰% 범위의 몰 농도의 알루미늄 산화물의 단상 블렌드를 포함하는,
    웨이퍼를 프로세싱하는 방법.
  14. 제13 항에 있어서,
    상기 프로세싱은 부식성 화학물질, 고에너지 플라즈마, 또는 이들의 조합에서 발생하는,
    웨이퍼를 프로세싱하는 방법.
  15. 제14 항에 있어서,
    상기 방법은 웨이퍼당 평균 약 1개 미만의 이트륨계 입자 결함들을 나타내는,
    웨이퍼를 프로세싱하는 방법.
  16. 제13 항에 있어서,
    상기 보호 코팅은 약 10몰% 내지 최대 37몰% 범위의 몰 농도의 이트륨 산화물 및 63몰% 초과 내지 약 90몰% 범위의 몰 농도의 알루미늄 산화물을 포함하는,
    웨이퍼를 프로세싱하는 방법.
  17. 제13 항에 있어서,
    상기 보호 코팅은 약 5몰% 내지 약 20몰% 범위의 몰 농도의 이트륨 산화물 및 약 80몰% 내지 약 95몰% 범위의 몰 농도의 알루미늄 산화물을 포함하는,
    웨이퍼를 프로세싱하는 방법.
  18. 제13 항에 있어서,
    상기 적어도 하나의 챔버 컴포넌트는 덮개, 덮개 라이너, 노즐, 기판 지지 조립체, 가스 분배 플레이트, 샤워헤드, 정전 척, 섀도우 프레임, 기판 홀딩 프레임, 프로세싱 키트 링, 단일 링, 챔버 벽, 베이스, 라이너 키트, 실드, 플라즈마 스크린, 유동 등화기, 냉각 베이스, 챔버 뷰포트, 또는 챔버 라이너를 포함하는,
    웨이퍼를 프로세싱하는 방법.
  19. 제13 항에 있어서,
    상기 보호 코팅은 부식성 화학 물질에 대해 화학적으로 내성이 있고 고에너지 플라즈마에 대해 물리적으로 내성이 있으며, 상기 부식성 화학 물질은 수소계 화학 물질, 할로겐계 화학 물질, 또는 이들의 혼합물을 포함하는,
    웨이퍼를 프로세싱하는 방법.
  20. 제13 항에 있어서,
    상기 보호 코팅은 비정질이고 낮은 필름 응력을 갖는,
    웨이퍼를 프로세싱하는 방법.
KR1020227039124A 2020-04-10 2021-04-07 이트륨 산화물계 코팅 조성 KR20220164596A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/845,207 US11661650B2 (en) 2020-04-10 2020-04-10 Yttrium oxide based coating composition
US16/845,207 2020-04-10
PCT/US2021/026266 WO2021207418A1 (en) 2020-04-10 2021-04-07 Yttrium oxide based coating composition

Publications (1)

Publication Number Publication Date
KR20220164596A true KR20220164596A (ko) 2022-12-13

Family

ID=78006086

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227039124A KR20220164596A (ko) 2020-04-10 2021-04-07 이트륨 산화물계 코팅 조성

Country Status (6)

Country Link
US (2) US11661650B2 (ko)
JP (1) JP2023521164A (ko)
KR (1) KR20220164596A (ko)
CN (1) CN115380359A (ko)
TW (1) TW202200807A (ko)
WO (1) WO2021207418A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4248440A (en) * 1979-09-12 1981-02-03 Ramsey Corporation Titania-alumina-yttria piston ring facing
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP4398436B2 (ja) * 2006-02-20 2010-01-13 トーカロ株式会社 熱放射特性等に優れるセラミック溶射皮膜被覆部材およびその製造方法
WO2007148931A1 (en) * 2006-06-21 2007-12-27 Korea Institute Of Science And Technology Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
US7465681B2 (en) * 2006-08-25 2008-12-16 Corning Incorporated Method for producing smooth, dense optical films
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US9581742B2 (en) * 2012-11-20 2017-02-28 Corning Incorporated Monolithic, linear glass polarizer and attenuator
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
GB2513575B (en) 2013-04-29 2017-05-31 Keronite Int Ltd Corrosion and erosion-resistant mixed oxide coatings for the protection of chemical and plasma process chamber components
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9790581B2 (en) 2014-06-25 2017-10-17 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components
US10020218B2 (en) * 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN108431934A (zh) 2015-12-22 2018-08-21 应用材料公司 半导体处理设备的耐腐蚀性涂层
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
CN106431395A (zh) 2016-08-31 2017-02-22 山东国瓷功能材料股份有限公司 高透光性氧化锆烧结体及其制备方法与应用
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
JP2023502137A (ja) 2019-11-22 2023-01-20 ラム リサーチ コーポレーション プラズマチャンバの低温焼結コーティング

Also Published As

Publication number Publication date
JP2023521164A (ja) 2023-05-23
CN115380359A (zh) 2022-11-22
US11920234B2 (en) 2024-03-05
US20210317564A1 (en) 2021-10-14
US11661650B2 (en) 2023-05-30
US20230160055A1 (en) 2023-05-25
TW202200807A (zh) 2022-01-01
WO2021207418A1 (en) 2021-10-14

Similar Documents

Publication Publication Date Title
US11424136B2 (en) Rare-earth oxide based coatings based on ion assisted deposition
US10796888B2 (en) Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US10544500B2 (en) Ion assisted deposition top coat of rare-earth oxide
TWI797079B (zh) 薄膜及製造塗層的方法
US20230348290A1 (en) Yttrium oxide based coating and bulk compositions
US11920234B2 (en) Yttrium oxide based coating composition