KR20220156596A - 검사 도구를 사용한 시편에 대한 계측-유사 정보 결정 - Google Patents

검사 도구를 사용한 시편에 대한 계측-유사 정보 결정 Download PDF

Info

Publication number
KR20220156596A
KR20220156596A KR1020227036412A KR20227036412A KR20220156596A KR 20220156596 A KR20220156596 A KR 20220156596A KR 1020227036412 A KR1020227036412 A KR 1020227036412A KR 20227036412 A KR20227036412 A KR 20227036412A KR 20220156596 A KR20220156596 A KR 20220156596A
Authority
KR
South Korea
Prior art keywords
specimen
process information
output
information
computer
Prior art date
Application number
KR1020227036412A
Other languages
English (en)
Inventor
시팡 리
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20220156596A publication Critical patent/KR20220156596A/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • G01B11/25Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. one or more lines, moiré fringes on the object
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • G01B11/25Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. one or more lines, moiré fringes on the object
    • G01B11/2518Projection by scanning of the object
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B21/00Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant
    • G01B21/02Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant for measuring length, width, or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/93Detection standards; Calibrating baseline adjustment, drift correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N2021/8411Application to online plant, process monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8854Grading and classifying of flaws

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Signal Processing (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

검사 도구를 사용하여 시편에 대한 계측-유사(metrology-like) 정보를 결정하기 위한 방법 및 시스템이 제공된다. 한 방법은 검사 서브시스템을 포함하는 출력 획득 서브시스템(들)에 의해 생성된 출력으로부터, 시편 상의 제1 영역(들)에 형성된 제1 피처(들)에 대한 제1 프로세스 정보를 결정하는 단계를 포함한다. 방법은 또한 출력 및 제1 프로세스 정보의 적어도 일 부분으로부터 시편 상의 제2 영역(들)에 형성된 제2 피처(들)에 대한 제2 프로세스 정보를 결정하는 단계를 포함한다. 제2 프로세스 정보의 적어도 일 부분은 제1 프로세스 정보와는 다른 유형의 정보이다. 제2 피처(들)에 대한 설계의 적어도 일 부분은 제1 피처(들)에 대한 설계와는 다르며, 제1 영역(들)과 제2 영역(들)은 시편 상에서 상호 배타적이다.

Description

검사 도구를 사용한 시편에 대한 계측-유사 정보 결정
본 발명은 일반적으로 검사 도구를 사용하여 시편(specimen)에 대한 계측-유사(metrology-like) 정보를 결정하기 위한 방법 및 시스템에 관한 것이다.
이하의 설명 및 예는 이 섹션에서 이들의 포함에 의해 종래 기술인 것으로 인정되는 것은 아니다.
예를 들어, 로직 및 메모리 디바이스와 같은 반도체 디바이스들을 제조하는 것은, 통상적으로 다수의 반도체 제조 프로세스를 사용하여 예를 들어, 반도체 웨이퍼와 같은 기판을 처리하여 반도체 디바이스의 다양한 피처들(features) 및 다수의 레벨들을 형성하는 것을 포함한다. 예를 들어, 리소그래피는 레티클(reticle)로부터 반도체 웨이퍼 상에 배치된 레지스트로 패턴을 전사하는 것을 수반하는 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가 예들은 화학 기계적 연마(chemical-mechanical polishing; CMP), 에칭, 퇴적, 및 이온 주입을 포함하지만, 이에 제한되지는 않는다. 다수의 반도체 디바이스가 단일 반도체 웨이퍼 상의 배열(arrangement) 내에서 제조된 후 개개의 반도체 디바이스로 분리될 수 있다.
검사 프로세스는 레티클 및 웨이퍼 상의 결함을 검출하기 위해 반도체 제조 프로세스 동안에 여러 단계들에서 사용되어, 그 제조 프로세스에서 더 높은 수율을 촉진하고 더 높은 수익을 올릴 수 있다. 검사는 예를 들어, IC와 같은 반도체 디바이스를 제조하는 데에 항상 중요한 부분이었다. 그러나 반도체 디바이스의 치수가 감소함에 따라, 허용 가능한 반도체 디바이스의 성공적인 제조를 위해 검사가 훨씬 더 중요해지는데, 그 이유는 더 작은 결함이 디바이스를 고장나게 할 수 있기 때문이다.
결함 검토는 일반적으로 검사 프로세스에 의해 결함이라고 검출된 결함을 다시 검출하고 고배율 광학 시스템 또는 주사 전자 현미경(scanning electron microscope; SEM)을 사용하여 더 높은 해상도에서 결함에 대한 추가 정보를 생성하는 것을 수반한다. 따라서 결함 검토는 검사에 의해 결함이 검출된 시편 상의 이산 위치(discrete locations)에서 수행된다. 결함 검토에 의해 생성된 결함에 대한 더 높은 해상도의 데이터는 예를 들어, 프로파일, 조도, 보다 정확한 크기 정보 등과 같은 결함의 속성을 결정하는 데 더 적합하다.
계측 프로세스는 또한 반도체 제조 프로세스 동안 다양한 단계에서 사용되어 이 프로세스를 모니터링하고 제어한다. 계측 프로세스는 시편 상에서 결함이 검출되는 검사 프로세스와 달리, 현재 사용되는 검사 도구를 사용하여 결정될 수 없는 시편의 하나 이상의 특성을 측정하는 데 계측 프로세스가 사용된다는 점에서 검사 프로세스와 다르다. 예를 들어, 계측 프로세스는 예를 들어, 프로세스 동안 시편 상에 형성된 피처의 치수(예컨대, 선폭, 두께 등)와 같은 시편의 하나 이상의 특성을 측정하기 위해 사용되어, 이 프로세스의 성능이 하나 이상의 특성으로부터 결정될 수 있도록 한다. 또한, 시편의 하나 이상의 특성이 허용되지 않는 경우(예컨대, 특성(들)에 대해 미리 결정된 범위를 벗어남), 시편의 하나 이상의 특성 측정은, 프로세스에 의해 제조된 추가 시편이 허용 가능한 특성(들)을 갖도록 프로세스의 하나 이상의 파라미터를 변경하기 위해 사용될 수 있다.
계측 프로세스는, 검사에 의해 검출된 결함이 결함 검토에서 다시 방문(re-visit)되는 결함 검토 프로세스와는 달리, 결함이 검출되지 않은 위치에서 계측 프로세스가 수행될 수 있다는 점에서 결함 검토 프로세스와도 다르다. 즉, 결함 검토와 달리, 시편에 대해 계측 프로세스가 수행되는 위치는 시편에 대해 수행된 검사 프로세스의 결과와는 무관할 수 있다. 특히, 계측 프로세스가 수행되는 위치는 검사 결과와 무관하게 선택될 수 있다. 또한, 계측이 수행되는 시편 상의 위치는 검사 결과와 무관하게 선택될 수 있기 때문에, 결함 검토가 수행될 시편 상의 위치가 시편에 대한 검사 결과가 생성되어 사용 가능할 때까지 결정될 수 없는 결함 검토와는 달리, 계측 프로세스가 수행되는 위치는 시편에 대한 검사 프로세스가 수행되기 전에 결정될 수 있다.
반도체 제조 산업의 진화는 수율 관리 및, 특히, 계측 및 검사 시스템들에 대한 요구를 증가시키고 있다. 임계 치수는 웨이퍼 크기가 증가하고 있는 동안에 줄어들고 있다. 경제는 반도체 제조 산업이 고수율, 고가의 생산을 달성하기 위한 시간을 감소시키게 하고 있다. 따라서, 수율 문제를 검출해서 이를 수정하는 데까지 걸리는 총 시간을 최소화하는 것은 반도체 제조업자를 위한 투자 회수율(Return-On-Investment; ROI)을 결정한다.
따라서 검사 시스템은 결함을 그저 발견한 독립형 "도구"로부터, 결함을 검출하고 분류하고 이러한 결과를 분석하고 보정 동작을 권장하는 것이 자신의 기능인 보다 완전한 솔루션의 일부로 진화하고 있다.
기존의 시스템 및 방법은 반도체 웨이퍼의 자동 결함 검사에 사용되었다. 그러나, 종래 기술의 시스템 및 방법의 검사 파라미터는 처리량이 많은 환경에서 다소 제한적이다. 예를 들어, 코팅된 막 두께 또는 웨이퍼 전체의 프로세스 균일성과 같은 파라미터는 측정하는 데 시간이 많이 걸리고 계산 비용이 많이 든다.
따라서, 위에서 설명된 하나 이상의 단점을 갖지 않는, 시편에 대한 정보를 결정하기 위한 시스템 및/또는 방법을 개발하는 것이 유리할 것이다.
다양한 실시예의 이하의 설명은 결코 첨부된 청구항들의 요지를 한정하는 것으로서 해석되어서는 안된다.
일 실시예는 시편에 대한 정보를 결정하도록 구성된 시스템에 관한 것이다. 시스템은 시편으로부터 검출된 에너지에 응답하여 출력을 생성하도록 구성된 하나 이상의 출력 획득 서브시스템을 포함한다. 하나 이상의 출력 획득 서브시스템은, 에너지가 시편 위에서 스캐닝되는 동안 시편으로부터 검출된 에너지에 응답하여 출력의 적어도 일 부분을 생성하도록 구성된 검사 서브시스템을 포함한다. 시스템은 또한 출력으로부터, 시편 상의 하나 이상의 제1 영역에 형성된 하나 이상의 제1 피처에 대한 제1 정보를 결정하도록 구성된 하나 이상의 컴퓨터 서브시스템을 포함한다. 하나 이상의 컴퓨터 서브시스템은 또한 출력 및 제1 프로세스 정보의 적어도 일 부분으로부터, 시편 상의 하나 이상의 제2 영역에 형성된 하나 이상의 제2 피처에 대한 제2 프로세스 정보를 결정하도록 구성된다. 제2 프로세스 정보의 적어도 일 부분은 제1 프로세스 정보와는 다른 유형의 정보이다. 하나 이상의 제2 피처에 대한 설계의 적어도 일 부분은 하나 이상의 제1 피처에 대한 설계와는 다르다. 하나 이상의 제1 영역과 하나 이상의 제2 영역은 시편 상에서 상호 배타적이다. 이 시스템은 본 명세서에 설명된 바와 같이 또한 구성될 수 있다.
다른 실시예는 시편에 대한 정보를 결정하기 위한 컴퓨터 구현 방법에 관한 것이다. 방법은 전술한 바와 같이 구성된 하나 이상의 출력 획득 서브시스템에 의해 시편으로부터 검출된 에너지에 응답하여 출력을 생성하는 단계를 포함한다. 방법은 또한 전술한 바와 같이 제1 프로세스 정보 및 제2 프로세스 정보를 결정하는 단계를 포함한다. 제1 및 제2 프로세스 정보를 결정하는 단계는 하나 이상의 출력 획득 서브시스템에 결합된 하나 이상의 컴퓨터 서브시스템에 의해 수행된다.
방법의 단계는 본 명세서에 추가로 설명된 바와 같이 추가로 수행될 수 있다. 이 방법은 본 명세서에 설명된 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수 있다. 이 방법은 본 명세서에 설명된 임의의 시스템에 의해 수행될 수 있다.
추가의 실시예는 시편에 대한 정보를 결정하기 위한 컴퓨터 구현 방법을 수행하기 위해 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비 일시적 컴퓨터 판독 가능 매체에 관한 것이다. 컴퓨터 구현 방법은 전술된 방법의 단계들을 포함한다. 컴퓨터 판독 가능 매체는 본 명세서에 설명된 바와 같이 또한 구성될 수 있다. 컴퓨터 구현 방법의 단계들은 본 명세서에 또한 설명된 바와 같이 수행될 수 있다. 게다가, 프로그램 명령어가 실행 가능한 컴퓨터 구현 방법은 본 명세서에 설명된 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수 있다.
본 발명의 다른 목적들 및 이점들은 다음의 상세한 설명을 읽고 첨부한 도면들을 참조하면 보다 명백해질 것이다.
도 1 및 2는 본 명세서에 설명된 바와 같이 구성된 시스템의 일 실시예의 측면도를 예시하는 개략도이다.
도 3은 본 명세서에서 설명된 바와 같이 구성된 계측 도구의 일 실시예의 측면도를 예시하는 개략도이다.
도 4는 본 명세서에서 설명된 바와 같이 구성된 시스템의 일 실시예의 평면도를 예시하는 개략도이다.
도 5a는 시편 상의 하나 이상의 제1 영역에 형성된 하나 이상의 제1 피처의 일례의 단면도를 예시하는 개략도이다.
도 5b는 시편 상의 도 5a의 하나 이상의 제1 영역의 평면도를 예시하는 개략도이다.
도 5c는 시편 상의 하나 이상의 제2 영역에 형성된 하나 이상의 제2 피처의 일례의 단면도를 예시하는 개략도이다.
도 5d는 시편 상의 도 5c의 하나 이상의 제2 영역의 평면도를 예시하는 개략도이다.
도 6은 시편 전체에 걸쳐 상이한 제1 프로세스 정보 값의 시편 맵(specimen maps)의 예를 예시하는 개략도이다.
도 7은 시편 전체에 걸쳐 제2 프로세스 정보 값의 시편 맵의 예를 예시하는 개략도이다.
도 8은 본 명세서에 설명된 컴퓨터 구현 방법들 중 하나 이상을 수행하기 위해 컴퓨터 시스템에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체의 일 실시예를 예시하는 블록도이다.
본 발명은 다양한 변형 및 대안적인 형태가 가능하지만, 그 특정 실시예는 도면에서의 예로서 도시되며 본 명세서에 상세히 설명될 것이다. 그러나, 도면 및 그 상세한 설명은 개시된 특정 형태에 본 발명을 제한하도록 의도된 것은 아니고, 반대로, 의도는 첨부된 청구항들에 의해 규정된 바와 같은 본 발명의 사상 및 범주 내에 있는 모든 수정, 등가물 및 대안을 커버(cover)하기 위한 것이라는 것이 이해되어야 한다.
본 명세서에서 상호교환적으로 사용되는 "설계" 및 "설계 데이터", 및 "설계 정보"라는 용어들은 일반적으로 IC 또는 다른 반도체 디바이스의 물리적 설계(레이아웃)와, 복잡한 시뮬레이션 또는 간단한 기하학적 연산 및 부울 연산을 통해 물리적 설계로부터 파생된 데이터를 지칭한다. 이 설계는 공동 소유되는, 2009년 8월 4일에 발행된 자파(Zafar) 등의 미국 특허 제7,570,796호 및 2010년 3월 9일에 발행된 쿨카르니(Kulkarni) 등의 미국 특허 제7,676,077호에 설명된 임의의 다른 설계 데이터 또는 설계 데이터 프록시를 포함할 수 있는 데, 이들 미국 특허의 모두는 본 명세서에서 완전히 설명된 것처럼 참조로서 합체되어 있다. 또한, 설계 데이터는 표준 셀 라이브러리 데이터, 통합 레이아웃 데이터, 하나 이상의 층들에 대한 설계 데이터, 설계 데이터의 파생물, 및 전체 또는 부분 칩 설계 데이터일 수 있다. 또한, 본 명세서에 설명된 "설계", "설계 데이터", 및 "설계 정보"는 반도체 디바이스 설계자에 의해 설계 프로세스에서 생성하는 정보 및 데이터를 지칭하며, 따라서 예를 들어, 레티클과 웨이퍼와 같은 임의의 물리적 시편 상에 설계를 인쇄하기에 앞서 본 명세서에 설명된 실시예에 사용할 수 있다.
이제, 도면을 참조하면, 도면은 실제 축적대로 도시되어 있는 것은 아니라는 것이 주목된다. 특히 도면의 요소의 일부의 축적은 요소의 특성을 강조하기 위해 상당히 과장되어 있다. 도면은 동일한 축적으로 도시되어 있지는 않다는 것이 또한 주목된다. 유사하게 구성될 수 있는, 하나보다 많은 도면에 도시되어 있는 요소들은 동일한 참조 부호를 사용하여 표시되어 있다. 본 명세서에 달리 지시되지 않으면, 설명되고 도시되어 있는 임의의 요소는 임의의 적합한 상업적으로 입수 가능한 요소를 포함할 수 있다.
일 실시예는 시편에 대한 정보를 결정하도록 구성된 시스템에 관한 것이다. 일반적으로, 여기에 설명된 실시예는 여기에 설명된 반도체 웨이퍼 및 다른 시편으로부터의 검사 데이터를 사용하여 다수의 프로세스 파라미터를 결정하도록 구성된다. 실시예는 또한 "메트로스펙션(Metrospection)"으로 당업계에서 지칭될 수 있는, 검사 도구로부터의 계측-유사 결과를 결정하기 위해(즉, 검사 도구로부터의 데이터를 분석하여 프로세스 정보를 찾기 위해) 현재 사용되는 다른 시스템 및 방법에 대한 확장 및 개선으로서 사용될 수 있다. 메트로스펙션에 대해 현재 사용되는 시스템 및 방법의 일부 예는 2017년 5월 23일에 발행된 리(Li)의 미국 특허 제9,658,150호, 2017년 8월 29일에 발행된 리 등의 미국 특허 제9,747,520호, 및 2020년 2월 18일에 발행된 리 등의 미국 특허 제10,563,973호에 설명되어 있으며, 이 특허들은 본 명세서에서 완전히 설명된 것처럼 참조에 의해 합체되어 있다. 본 명세서에 설명된 실시예는 이들 특허에 설명된 바와 같이 추가로 구성될 수 있다.
메트로스펙션은 매우 새롭고 아직 널리 적용되지 않았다. 위에서 언급된 미국 특허 제9,658,150호에 설명된 하나의 제안된 시스템은 정보 내용을 확장하기 위해 하드웨어를 수정한다. 데이터 속도 및 처리량 제한으로 인해, 해당 방법은 물리적 한계를 비교적 빨리 충족시킬 수 있으며, 예를 들어, 픽셀당 몇 개(예컨대, 2개 또는 3개)의 측정량을 가질 수 있으며, 하드웨어의 합리적인 노력과 복잡성으로 예를 들어, 6으로 확장될 수 있다.
계측 관행에는 유사한 문제가 있으며, 여기에는 본 명세서에 설명된 실시예와는 상당하고 현저한 차이가 있다. 다음은 가장 최근의 것부터 더 이른 시간의 순서대로 계측 관행의 세 가지 범주의 솔루션이다. 하나의 범주는 2018년 12월 4일에 발행된 크리쉬난(Krishnan)의 미국 특허 제10,145,674호에 설명된 것과 같은 충전(filling)이며, 이 특허는 마치 본 명세서에서 완전히 설명된 것처럼 참조에 의해 합체되어 있다. 이러한 시스템 및 방법은 웨이퍼 상의 홈(또는 구멍)을 물로 채우기 전후에 타겟을 측정한다. 다른 범주는 상이한 입사 조건(다수의 입사각(angle of incidence; AOI) 및 방위각)에서 상이한 방법(예컨대, 타원계, 반사계)으로 다수의 측정을 수행하는 것이다. 추가 범주는 이전 프로세스 단계에서 타겟을 측정하고, 결과(예컨대, 퇴적된 층의 두께)를 저장한 다음, 이전 측정으로부터의 결과를 미리 결정된 파라미터로서 현재 층에 공급하는 것을 포함하는 피드포워드(feedforward)이다. 이러한 방법과 여기에 설명된 실시예 사이의 차이점은 다음의 상세한 설명을 읽으면 쉽게 명백해질 것이다.
일 실시예에서, 시편은 웨이퍼이다. 웨이퍼는 반도체 분야에 공지된 임의의 웨이퍼를 포함할 수 있다. 일부 실시예는 웨이퍼 또는 웨이퍼들과 관련하여 여기에서 설명될 수 있지만, 실시예들은 이들이 사용될 수 있는 시편에 제한되지 않는다. 예를 들어, 여기에 설명된 실시예는 예를 들어, 레티클, 평면 패널, 개인용 컴퓨터(personal computer; PC) 보드, 및 다른 반도체 시편과 같은 시편에 사용될 수 있다.
시스템은 시편으로부터 검출된 에너지에 응답하여 출력을 생성하도록 구성된 하나 이상의 출력 획득 서브시스템을 포함한다. 하나 이상의 출력 획득 서브시스템은 에너지가 시편 위에서 스캐닝되는 동안 시편으로부터 검출된 에너지에 응답하여 출력의 적어도 일 부분을 생성하도록 구성된 검사 서브시스템을 포함한다. 이러한 시스템의 일 실시예가 도 1에 도시된다. 일부 실시예에서, 검사 서브시스템은 적어도 에너지 소스와 검출기를 포함한다. 에너지 소스는 시편에 지향되는 에너지를 생성하도록 구성된다. 검출기는 시편으로부터의 에너지를 검출하고 검출된 에너지에 응답하여 출력을 생성하도록 구성된다.
일 실시예에서, 검사 서브시스템은 광학 검사 서브시스템으로서 구성된다. 예를 들어, 도 1에 도시된 시스템의 실시예에서, 검사 서브시스템(10)은 광을 시편(14)에 지향시키도록 구성된 조명 서브시스템을 포함한다. 조명 서브시스템은 적어도 하나의 광원을 포함한다. 예를 들어, 도 1에 도시된 바와 같이, 조명 서브시스템은 광원(16)을 포함한다. 일 실시예에서, 조명 서브시스템은 하나 이상의 경사각 및/또는 하나 이상의 수직각을 포함할 수 있는, 하나 이상의 입사각으로 광을 시편으로 지향시키도록 구성된다. 예를 들어, 도 1에 도시된 바와 같이, 광원(16)으로부터의 광은 광학 요소(18)를 거친 다음 렌즈(20)를 통해 빔 분할기(21)에 지향되고, 빔 분할기(21)는 광을 수직 입사각으로 시편(14)에 지향시킨다. 입사각은 임의의 적절한 입사각을 포함할 수 있으며, 이는 예를 들어, 시편의 특성 및 시편 상에서 검출될 결함에 따라 변화될 수 있다.
조명 서브시스템은 상이한 시간들에 상이한 입사각들로 광을 시편으로 지향시키도록 구성될 수 있다. 예를 들어, 검사 서브시스템은 광이 도 1에 도시된 것과는 다른 입사각으로 시편에 지향될 수 있도록 조명 서브시스템의 하나 이상의 요소의 하나 이상의 특성을 변경하도록 구성될 수 있다. 그러한 일 예에서, 검사 서브시스템은 광원(16), 광학 요소(18), 및 렌즈(20)를 이동시켜 다른 입사각으로 광이 시편에 지향되도록 구성될 수 있다.
일부 경우에, 검사 서브시스템은 동시에 하나보다 많은 입사각에서 광을 시편으로 지향하도록 구성될 수 있다. 예를 들어, 조명 서브시스템은 하나보다 많은 조명 채널을 포함할 수 있고, 조명 채널들 중 하나는 도 1에 도시된 바와 같이 광원(16), 광학 요소(18) 및 렌즈(20)를 포함할 수 있으며, 조명 채널들(도시되지 않음) 중 다른 하나는 상이하거나 또는 동일하게 구성될 수 있는 유사한 요소들을 포함할 수 있거나, 또는 적어도 광원 및 가능하게는 본 명세서에 추가로 설명된 것과 같은 하나 이상의 다른 컴포넌트를 포함할 수 있다. 이러한 광이 다른 광과 동시에 시편으로 지향되면, 상이한 입사각들에서 시편으로 지향되는 광의 하나 이상의 특성(예컨대, 파장, 편광 등)은, 상이한 입사각들에서 시편의 조명으로부터 발생되는 광이 검출기(들)에서 서로 구별될 수 있도록 상이할 수 있다.
다른 예에서, 조명 서브시스템은 단지 하나의 광원(예컨대, 도 1에 도시된 소스(16))을 포함할 수 있으며, 광원으로부터의 광은 조명 서브시스템의 하나 이상의 광학 요소(도시되지 않음)에 의해 (예컨대, 파장, 편광 등에 기초하여) 상이한 광학 경로들로 분리될 수 있다. 그런 다음, 상이한 광학 경로들 각각에서의 광은 시편에 지향될 수 있다. 다수의 조명 채널들은 동일한 시간 또는 상이한 시간에(예컨대, 상이한 조명 채널들이 순차적으로 시편을 조명하는데 사용되는 경우) 시편에 광을 지향시키도록 구성될 수 있다. 다른 예에서, 동일한 조명 채널은 상이한 시간들에 상이한 특성들을 갖는 광을 시편에 지향시키도록 구성될 수 있다. 예를 들어, 일부 경우들에서, 광학 요소(18)는 스펙트럼 필터로서 구성될 수 있고, 스펙트럼 필터의 특성은 상이한 파장의 광이 상이한 시간에 시편으로 지향될 수 있도록 다양한 상이한 방식으로(예컨대, 스펙트럼 필터를 교체함으로써) 변화될 수 있다. 조명 서브시스템은 상이하거나 또는 동일한 특성들을 갖는 광을 상이하거나 또는 동일한 입사각으로 순차적으로 또는 동시에 시편에 지향시키기 위해 당업계에 공지된 임의의 다른 적절한 구성을 가질 수 있다.
광원(16)은 광대역 플라즈마(broadband plasma; BBP) 광원을 포함할 수 있다. 이러한 방식으로, 광원에 의해 생성되고 시편에 지향되는 광은 광대역 광을 포함할 수 있다. 그러나, 광원은 예를 들어, 레이저와 같은 임의의 다른 적절한 광원을 포함할 수 있다. 레이저는 당업계에 공지된 임의의 적절한 레이저를 포함할 수 있고, 당업계에 공지된 임의의 적합한 파장(들)에서 광을 생성하도록 구성될 수 있다. 또한, 레이저는 단색 또는 거의 단색인 광을 생성하도록 구성될 수 있다. 이러한 방식으로, 레이저는 협대역 레이저일 수 있다. 광원은 또한 다수의 이산 파장들 또는 파장 대역들에서 광을 생성하는 다색 광원을 포함할 수 있다.
광학 요소(18)로부터의 광은 렌즈(20)에 의해 빔 분할기(21)를 통해 시편(14)으로 포커싱될 수 있다. 비록 렌즈(20)가 단일 굴절 광학 요소로서 도 1에 도시되어 있지만, 실제로 렌즈(20)는 조합되어 광학 요소로부터의 광을 시편에 포커싱하는 다수의 굴절 및/또는 반사 광학 요소들을 포함할 수 있다. 또한, 렌즈(20)의 위치는 도 1에 도시된 것과 다를 수 있다. 예를 들어, 렌즈(20)는 빔 분할기(21)로부터 시편(14)으로의 광의 경로에 배치될 수 있어서, 렌즈가 시편에 광을 포커싱하고 시편으로부터 광을 또한 수집한다. 렌즈(20) 또는 다른 렌즈(들)가 빔 분할기(21)와 시편 사이에 있거나 배치되는 경우, 그러한 렌즈(들)는 대물 렌즈로서 지칭될 수 있다. 렌즈(20)는 또한 다양한 위치에 배치된 다수의 요소로 대체되거나 구성될 수 있다(예컨대, 조합하여 광원(16)으로부터 시편(14)으로 광을 효율적으로 전달하는, 요소(18) 앞의 제1 렌즈와 요소(18) 뒤의 다른 렌즈). 조명 서브시스템은 도 1에서 일반적으로 렌즈(20)로 표시되는 이러한 렌즈 중 일부 또는 전부를 포함할 수 있다.
도 1에 도시되고 본 명세서에 설명된 조명 서브시스템은 임의의 다른 적절한 광학 요소(도시되지 않음)를 포함할 수 있다. 이러한 광학 요소의 예시는 당업계에 공지된 임의의 그러한 적절한 광학 요소를 포함할 수 있는, 편광 컴포넌트(들), 스펙트럼 필터(들), 공간 필터(들), 반사 광학 요소(들), 아포다이저(들), 빔 분할기(들), 애퍼처(들) 등을 포함하지만, 이들로 제한되지는 않는다. 또한, 시스템은 검사에 사용될 조명 유형에 기초하여 조명 서브시스템의 하나 이상의 요소를 변경하도록 구성될 수 있다.
검사 서브시스템은 또한 광이 시편 위에서 스캐닝되게 하도록 구성된 스캐닝 서브시스템을 포함할 수 있다. 예를 들어, 검사 서브시스템은 검사 중에 시편(14)이 배치되는 스테이지(22)를 포함할 수 있다. 스캐닝 서브시스템은 광이 시편 위에서 스캐닝될 수 있도록 시편을 이동시키도록 구성될 수 있는 임의의 적절한 기계적 및/또는 로봇식 조립체(스테이지(22)를 포함함)를 포함할 수 있다. 추가적으로 또는 대안적으로, 검사 서브시스템은 검사 서브시스템의 하나 이상의 광학 요소가 시편 위의 광의 일부 스캐닝을 수행하도록 구성될 수 있다. 광은 임의의 적절한 방식으로 시편 위에서 스캐닝될 수 있다.
검사 서브시스템은 하나 이상의 검출 채널을 더 포함한다. 하나 이상의 검출 채널 중 적어도 하나는 검사 서브시스템에 의한 시편의 조명으로 인해 시편으로부터의 광을 검출하고 그 검출된 광에 응답하여 출력을 생성하도록 구성된 검출기를 포함한다. 예를 들어, 도 1에 도시된 검사 서브시스템은 2개의 검출 채널들을 포함하며, 이 채널들 중 하나는 수집기(24), 요소(26) 및 검출기(28)에 의해 형성되고 이 채널들 중 다른 하나는 수집기(30), 요소(32) 및 검출기(34)에 의해 형성된다. 수집기(24) 및/또는 수집기(30)는 각각 검출기(28) 및/또는 검출기(24)에 가장 잘 맞는 방식으로 시편으로부터의 광을 조작하도록 구성될 수 있다. 수집기(24 및 30)는 또한 튜브 렌즈 또는 릴레이 렌즈라고 지칭될 수 있다. 도 1에 도시된 바와 같이, 2개의 검출 채널들은 상이한 수집각들에서 광을 수집하고 검출하도록 구성된다. 일부 예들에서, 하나의 검출 채널은 정반사된 광을 검출하도록 구성되고, 다른 검출 채널은 시편으로부터 정반사되지 않은(예컨대, 산란된, 회절된 등의) 광을 검출하도록 구성된다. 그러나, 2개 이상의 검출 채널은 시편으로부터 동일한 유형의 광(예컨대, 정반사된 광)을 검출하도록 구성될 수 있다. 도 1은 2개의 검출 채널을 포함하는 검사 서브시스템의 실시예를 도시하지만, 검사 서브시스템은 상이한 수의 검출 채널(예컨대, 단지 하나의 검출 채널 또는 2개 이상의 검출 채널)을 포함할 수 있다. 수집기들 각각은 단일 굴절 광학 요소들로서 도 1에 도시되어 있지만, 실제로, 수집기들의 각각은 하나 이상의 굴절 광학 요소(들) 및/또는 하나 이상의 반사 광학 요소(들)를 포함할 수 있다.
하나 이상의 검출 채널은 예를 들어, 광증배관(photo-multiplier tubes; PMT), 전하 결합 디바이스(charge coupled devices; CCD), 시간 지연 적분(time delay integration; TDI) 카메라와 같은 임의의 적합한 검출기를 포함할 수 있다. 검출기는 또한 비이미징 검출기 또는 이미징 검출기를 포함할 수 있다. 검출기가 비이미징 검출기인 경우, 각각의 검출기는 예를 들어, 강도와 같은, 광의 특정 특성들을 검출하도록 구성될 수 있지만, 예를 들어, 이미징 평면 내의 위치의 함수와 같은 특성을 검출하도록 구성되지는 않을 수 있다. 이와 같이, 검사 서브시스템의 각각의 검출 채널에 포함된 각각의 검출기에 의해 생성된 출력은 신호 또는 데이터일 수 있지만, 이미지 신호 또는 이미지 데이터가 아닐 수 있다. 그러한 경우에, 예를 들어, 시스템의 컴퓨터 서브시스템(36)과 같은 컴퓨터 서브시스템은 검출기의 비이미징 출력으로부터 시편의 이미지를 생성하도록 구성될 수 있다. 그러나, 다른 경우에, 검출기는 이미징 신호 또는 이미지 데이터를 생성하도록 구성된 이미징 검출기로서 구성될 수 있다. 따라서, 시스템은 다수의 방식으로 본 명세서에 설명된 출력을 생성하도록 구성될 수 있다.
도 1은 수직 입사 조명을 위해 구성된 하나의 조명 채널과 시편으로부터의 광을 검출하기 위해 구성된 상이한 검출 채널을 도시하지만, 위에서 설명된 바와 같이, 본 개시의 범위 내에 속하는 예시된 검사 서브시스템의 많은 변형이 있다. 상이한 검사 시스템 구성은 상이한 목적에 다소 적합하다. 예를 들어, 수집기(30), 요소(32), 및 검출기(34)를 포함하고 도 1에 도시된 바와 같이 시편으로부터 산란된 광을 검출하도록 구성된 검출 채널(즉, 경사 수집 채널)은 시편 상에 조명된 실질적으로 좁은 라인을 이미징하는 데 적합할 수 있다. 그러나 검출기에 TDI 또는 CCD 카메라를 사용하는 경우, 광학 공학적 관점에서 (시편의 법선에 대해) 경사각으로 이미징하는 것은 단점이 될 수 있다. 따라서, 그러한 경우에, 도 1에 도시된 검사 서브시스템의 적절한 변형 중 하나는 검출기(34)를, 검출기(28)를 포함하는 검출 채널에 의해 수행되는 이 조명 채널로부터의 광의 수집/이미징과 함께 광원(경사 조명용)으로 대체할 수 있다. 그런 다음, 이러한 시스템은 상이한 조명 채널을 포함할 수 있으며, 상이한 조명 채널로 인한 시편으로부터의 광은, (검출기(34)가 광원으로 대체될 때) 광이 광원(16) 또는 광원(34)으로부터 나오는지 구별하기 위해 공간 분리 또는 시간 다중화를 사용하여 단일 검출 채널에 의해 개별적으로 검출될 수 있다.
도 1은 본 명세서에 설명된 시스템 실시예에 포함될 수 있는 검사 서브시스템의 구성을 일반적으로 예시하기 위해 본 명세서에 제공되는 것이 주목된다. 명백하게, 본 명세서에 설명된 검사 서브시스템 구성은 상용 검사 시스템을 설계할 때 정상적으로 수행되는 시스템의 성능을 최적화하도록 변경될 수 있다. 또한, 본 명세서에 설명된 시스템은 예를 들어, 캘리포니아주 밀피타스 소재의 KLA 코포레이션으로부터 상업적으로 입수 가능한 29xx 및 39xx 시리즈의 도구들과 같은 기존 검사 시스템을 사용하여(예컨대, 기존의 검사 시스템에 본 명세서에 설명된 기능성을 추가함으로써) 구현될 수 있다. 일부 이러한 시스템에 대해, 본 명세서에 설명된 방법은 시스템의 선택적 기능성(예컨대, 시스템의 다른 기능성에 추가하여)으로서 제공될 수 있다. 대안적으로, 본 명세서에 설명된 시스템은 완전하게 신규한 시스템을 제공하기 위해 "처음부터(from scratch)" 설계될 수 있다.
시스템의 컴퓨터 서브시스템(36)은, 컴퓨터 서브시스템이 시편의 스캐닝 동안 검출기에 의해 생성된 출력을 수신할 수 있도록 임의의 적절한 방식으로(예컨대, "유선" 및/또는 "무선" 전송 매체를 포함할 수 있는 하나 이상의 전송 매체를 통해) 검사 서브시스템의 검출기에 결합될 수 있다. 컴퓨터 서브시스템(36)은 본 명세서에 설명된 바와 같은 검출기의 출력 및 본 명세서에 추가로 설명된 임의의 다른 기능을 사용하여 다수의 기능들을 수행하도록 구성될 수 있다. 이 컴퓨터 서브시스템은 본 명세서에 설명된 바와 같이 추가로 구성될 수 있다.
이 컴퓨터 서브시스템(및 본 명세서에서 설명된 다른 컴퓨터 서브시스템)은 또한 본 명세서에서 컴퓨터 시스템(들)으로 지칭될 수 있다. 본 명세서에 설명된 컴퓨터 서브시스템(들) 또는 시스템(들)의 각각은 퍼스널 컴퓨터 시스템, 이미지 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 기기, 인터넷 기기, 또는 다른 디바이스를 포함하는 다양한 형태들을 취할 수 있다. 일반적으로, 용어 "컴퓨터 시스템"은 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 갖는 임의의 디바이스를 포함하도록 광범위하게 정의될 수 있다. 컴퓨터 서브시스템(들) 또는 시스템(들)은 또한 예를 들어, 병렬 프로세서와 같은 당업계에 공지된 임의의 적합한 프로세서를 포함할 수 있다. 또한, 컴퓨터 서브시스템(들) 또는 시스템(들)은 고속 프로세싱 및 소프트웨어를 갖는 컴퓨터 플랫폼을 독립형 또는 네트워크형 도구로서 포함할 수 있다.
시스템이 둘 이상의 컴퓨터 서브시스템을 포함하는 경우, 상이한 컴퓨터 서브시스템은, 이미지, 데이터, 정보, 명령어 등이 본 명세서에서 추가로 설명되는 바와 같이 컴퓨터 서브시스템들 간에 전송될 수 있도록 서로 결합될 수 있다. 예를 들어, 컴퓨터 서브시스템(36)은 당업계에 공지된 임의의 적합한 유선 및/또는 무선 전송 매체를 포함할 수 있는 임의의 적절한 전송 매체에 의해 컴퓨터 서브시스템들(102)에 (도 1에서 점선으로 도시된 바와 같이) 결합될 수 있다. 이러한 컴퓨터 서브시스템들 중 2개 이상은 또한 공유된 컴퓨터 판독 가능 저장 매체(도시되지 않음)에 의해 효과적으로 결합될 수 있다.
다른 실시예에서, 검사 서브시스템은 전자 빔 검사 서브시스템으로서 구성된다. 도 2에 도시된 하나의 그러한 실시예에서, 전자 빔 검사 서브시스템은 컴퓨터 서브시스템(124)에 결합되는 전자 컬럼(electron column)(122)을 포함한다. 도 2에 또한 도시된 바와 같이, 전자 컬럼은 하나 이상의 요소(130)에 의해 시편(128)에 포커싱되는 전자를 생성하도록 구성된 전자 빔 소스(126)를 포함한다. 전자 빔 소스는 예를 들어, 캐소드 소스 또는 방출기 팁(emitter tip)을 포함할 수 있고, 하나 이상의 요소(130)는 예를 들어, 건 렌즈(gun lens), 애노드, 빔 제한 애퍼처(beam limiting aperture), 게이트 밸브, 빔 전류 선택 애퍼처, 대물 렌즈, 및 스캐닝 서브시스템을 포함할 수 있는 데, 이들 모두는 당 기술 분야에 공지되어 있는 임의의 이러한 적합한 요소를 포함할 수 있다.
시편으로부터 복귀된 전자(예컨대, 2차 전자)는 하나 이상의 요소(132)에 의해 검출기(134)에 포커싱될 수 있다. 하나 이상의 요소(132)는 예를 들어, 요소(들)(130) 내에 포함된 동일한 스캐닝 서브시스템일 수 있는 스캐닝 서브시스템을 포함할 수 있다.
전자 컬럼은 당 기술 분야에 공지된 임의의 다른 적합한 요소를 포함할 수 있다. 게다가, 전자 칼럼은 2014년 4월 4일에 발행된 지앙(Jiang) 등의 미국 특허 제8,664,594호, 2014년 4월 8일에 발행된 코지마(Kojima) 등의 미국 특허 제8,692,204호, 2014년 4월 15일에 발행된 거븐스(Gubbens) 등의 미국 특허 제8,698,093호, 및 2014년 5월 6일에 발행된 맥도널드(MacDonald) 등의 미국 특허 제8,716,662호에 설명된 바와 같이 또한 구성될 수도 있는 데, 이들 미국 특허는 본 명세서에 완전히 설명된 것처럼 참조로서 합체되어 있다.
전자 컬럼은, 전자가 경사 입사각에서 시편에 지향되고 다른 경사각에서 시편으로부터 산란되도록 구성되는 것으로서 도 2에 도시되어 있지만, 전자 빔은 임의의 적합한 각도로 시편에 지향되고 시편으로부터 산란될 수 있다. 또한, 전자 빔 기반 도구는 (예컨대, 상이한 조명각, 수집각 등으로) 시편의 이미지를 생성하기 위해 다수의 모드를 사용하도록 구성될 수 있다. 전자 빔 기반 도구의 다수의 모드들은 이 도구의 임의의 이미지 생성 파라미터에 있어서 다를 수 있다.
컴퓨터 서브시스템(124)은 전술된 바와 같이 검출기(134)에 결합될 수 있다. 검출기는 시편의 표면으로부터 복귀되어 이에 의해 시편의 전자 빔 이미지를 형성하는 전자를 검출할 수 있다. 전자 빔 이미지는 임의의 적절한 전자 빔 이미지를 포함할 수 있다. 컴퓨터 서브시스템(124)은 검출기의 출력 및/또는 전자 빔 이미지를 사용하여 본 명세서에 설명된 기능들 중 임의의 것을 수행하도록 구성될 수 있다. 컴퓨터 서브시스템(124)은 본 명세서에 설명된 임의의 추가적인 단계(들)를 수행하도록 구성될 수 있다. 도 2에 도시된 전자 빔 검사 서브시스템을 포함하는 시스템은 여기에 설명된 바와 같이 추가로 구성될 수 있다.
도 2는 본 명세서에 설명된 실시예에 포함될 수 있는 전자 빔 검사 서브시스템의 구성을 일반적으로 예시하기 위해 본 개시에서 제공된다. 위에서 설명된 광학 서브시스템과 마찬가지로, 본 개시에서 설명된 전자 빔 기반 검사 서브시스템은 상업적 검사 시스템을 설계할 때 일반적으로 수행되는 전자 빔 검사 서브시스템의 성능을 최적화하도록 변경될 수 있다. 또한, 여기에 설명된 시스템은 기존 전자 빔 검사 시스템을 사용하여 (예컨대, 여기에 설명된 기능성을 기존 시스템에 추가함으로써) 구현될 수 있다. 일부 이러한 시스템에 대해, 본 명세서에 설명된 방법은 시스템의 선택적 기능성(예컨대, 시스템의 다른 기능성에 추가하여)으로서 제공될 수 있다. 대안적으로, 본 명세서에 설명된 시스템은 완전하게 신규한 시스템을 제공하기 위해 "처음부터(from scratch)" 설계될 수 있다.
검사 서브시스템이 광학 또는 전자 빔 검사 서브시스템인 것으로 위에서 설명되지만, 검사 서브시스템은 이온 빔 검사 서브시스템일 수 있다. 이러한 검사 서브시스템은 전자 빔 소스가 당업계에 알려진 임의의 적절한 이온빔 소스로 대체될 수 있다는 점을 제외하고는 도 2에 도시된 바와 같이 구성될 수 있다. 또한, 검사 서브시스템은 예를 들어, 상업적으로 입수 가능한 포커싱된 이온 빔(focused ion beam; FIB) 시스템, 헬륨 이온 현미경 검사(helium ion microscopy; HIM) 시스템, 및 2차 이온 질량 분광학(secondary ion mass spectroscopy; SIMS) 시스템에 포함된 것과 같은 임의의 다른 적합한 이온 빔 도구일 수 있다.
위에서 언급했듯이, 검사 서브시스템은 에너지(예컨대, 광, 전자)를 시편의 물리적 버전에 지향시키거나 이 버전 위의 에너지를 스캐닝하여, 시편의 물리적 버전에 대한 실제 이미지를 생성하도록 구성될 수 있다. 이러한 방식으로, 검사 서브시스템은 "가상" 시스템이 아닌 "실제" 시스템으로서 구성될 수 있다. 도 1에 도시된 저장 매체(도시되지 않음) 및 컴퓨터 서브시스템(들)(102)은 "가상" 시스템으로서 구성될 수 있다. "가상" 검사 시스템으로 구성된 시스템 및 방법은, 공동으로 양도된, 2012년 2월 28일에 발행된 바스카(Bhaskar) 등의 미국 특허 제8,126,255호 및 2015년 12월 29일에 발행된 두피(Duffy) 등의 제9,222,895호에 설명되며, 이들 모두는 여기에 완전히 설명된 것처럼 참조로서 합체되어 있다. 본 명세서에 설명된 실시예는 이들 특허에 설명된 바와 같이 추가로 구성될 수 있다.
여기에 설명된 검사 서브시스템은 다수의 모드들을 가진 시편의 출력, 예를 들어, 이미지를 생성하도록 구성될 수 있다. 일반적으로 "모드"는 시편의 이미지, 또는 시편의 이미지를 생성하거나 시편에 대해 다른 기능을 수행하기 위해 사용되는 출력을 생성하기 위해 사용되는 검사 서브시스템의 파라미터 값에 의해 정의된다. 따라서 상이한 모드는 이미징 서브시스템의 파라미터들 중 적어도 하나에 대한 값이 다를 수 있다. 이러한 방식으로 출력은 검사 서브시스템의 파라미터의 2개 이상의 상이한 값으로 검사 서브시스템에 의해 생성될 수 있다. 예를 들어, 광학 서브시스템에서, 상이한 모드는 조명을 위해 상이한 파장의 광을 사용할 수 있다. 모드는 본 명세서에서 추가로 설명되는 바와 같이 (예컨대, 상이한 광원, 상이한 스펙트럼 필터 등을 사용함으로써) 상이한 모드에 대해 조명 파장이 상이할 수 있다. 모드는 또한 또는 대안적으로 검사 서브시스템의 하나 이상의 수집/검출 파라미터가 상이할 수 있다.
유사한 방식으로, 전자 빔 검사 서브시스템에 의해 생성된 출력은 전자 빔 검사 서브시스템의 파라미터의 2개 이상의 상이한 값을 사용해 전자 빔 검사 서브시스템에 의해 생성된 출력, 예를 들어, 이미지를 포함할 수 있다. 전자 빔 검사 서브시스템의 다수의 모드들은 시편에 대해 출력 및/또는 이미지를 생성하기 위해 사용되는 전자 빔 검사 서브시스템의 파라미터 값에 의해 정의될 수 있다. 따라서 상이한 모드는 전자 빔 검사 서브시스템의 전자 빔 파라미터 중 적어도 하나에 대한 값이 다를 수 있다. 예를 들어, 상이한 모드는 조명에 대해 상이한 입사각을 사용할 수 있다.
일 실시예에서, 하나 이상의 출력 획득 서브시스템은 시편 상의 측정 지점에서 측정을 수행함으로써 출력의 적어도 다른 일 부분을 생성하도록 구성된 계측 도구를 포함한다. 계측 및 검사는 일반적으로 반도체 제조에서 별도의 도메인으로서 취급된다. 예를 들어, 계측은 일반적으로 기준 표준으로 보정되며, 검사는 일반적으로 획득된 신호 결과(예컨대, 출력, 신호, 이미지 등)를, 근접 구조(예컨대, 다이 내, 다이 간 등)와, 또는 (시뮬레이션을 통해 기록되거나 생성된, 또는 그렇지 않으면 합성된) 저장된 기준에 대해 비교함으로써 수행된다. 검사와 계측 간의 추가적인 차이점은 여기에 제공된 관련 기술의 설명에 설명되어 있다.
계측 도구는 당업계에 공지된 임의의 적절한 구성을 가질 수 있다. 한 예에서, 여기에 설명된 광학 및 전자 빔 검사 서브시스템은 하나 이상의 파라미터에서 수정되어 계측 도구로서 구성 및 사용될 수 있다. 특히, 여기서 설명되고 도 1 및 2 에 도시된 검사 서브시스템의 실시예는 하나 이상의 파라미터에서 수정되어, 사용될 응용에 따라 상이한 능력을 제공할 수 있다. 하나의 그러한 예에서, 도 1 및 2에 도시된 검사 서브시스템은, 검사에 대해서보다는 계측에 대해 사용되는 경우 더 높은 정밀도를 갖도록 구성될 수 있다. 다시 말해서, 도 1 및 2 에 도시된 검사 서브시스템의 실시예는 예를 들어, 검사 및/또는 계측과 같은 상이한 응용들에 다소 적합한 상이한 능력을 갖는 서브시스템을 생산하기 위해 당업자에게 명백할 다수의 방식으로 맞춤화될 수 있는 일부 일반적이고 다양한 구성을 설명한다. 또한, 동일한 서브시스템이 검사 및 계측 모두에 사용될 수 있도록 가변 하드웨어 설정을 갖는 경우, 동일한 서브시스템을 사용하여 여기에 설명된 검사 서브시스템 출력 및 계측 데이터 모두를 생성할 수 있다.
그런 다음, 여기에서 설명되는 검사 서브시스템은 계측 동안보다는, 시편의 검사 동안 상이한 출력을 생성하도록 구성될 수 있다. 예를 들어, 여기에 설명된 검사 서브시스템이 계측에 적합하도록 하는 출력 생성 파라미터를 갖도록 구성 가능하더라도, 검사 프로세스 동안 검사 서브시스템은 검사 프로세스의 미리 결정된 처리량을 달성하기 위해 다른 출력 생성 파라미터로 구성된다. 하나의 그러한 예에서, 검사 도구는 일반적으로 계측 도구보다 훨씬 더 높은 공간 해상도를 갖도록 구성된다. 그러나 계측 도구는 일반적으로 훨씬 더 높은 품질의 데이터를 제공하도록 구성된다. "고품질"이라는 측면에서 계측 출력에 적용되는 두 가지 양상이 있다: (a) 각 측정 지점에서 더 많은 정보(예컨대, 뮬러(Mueller) 행렬 편광 정보, 넓은 파장 범위 및 해상도에 대한 스펙트럼 등), (b) 일반적으로 더 높은 정밀도(즉, 더 낮은 노이즈 수준). 결과적으로 계측 도구는 측정 지점당 더 많은 정보를 획득하지만 초당 몇 개의 측정 지점만을 테스트할 수 있으므로 상대적으로 높은 공간 해상도는 저렴(affordable)하거나 실용적이지 않는다. 대조적으로, 검사 도구는 초당 수백만 개의 지점을 테스트할 수 있으므로 저렴하고 상대적으로 높은 공간 해상도 출력을 제공하지만 절충안으로서 각 지점에서 실질적으로 제한된 정보를 획득할 수 있다.
그러나, 도 3은 본 명세서에 설명된 바와 같이 시편에 대한 측정을 수행할 수 있는 계측 도구의 다른 실시예를 도시한다. 광학 계측 도구의 경우, 계측 도구는 하나 이상의 조명 파장을 갖는 광을 시편으로 지향시키도록 구성된 조명 서브시스템을 포함할 수 있다. 예를 들어, 도 3에 도시된 계측 도구 실시예에서, 계측 도구(300)의 조명 서브시스템은 본 명세서에 설명된 임의의 광원을 포함할 수 있는 광원(302)을 포함한다. 광원(302)에 의해 생성된 광은 조명 서브시스템의 하나 이상의 스펙트럼 필터(304)를 통해 지향될 수 있다. 스펙트럼 필터(들)(304)는 본 명세서에서 추가로 설명되는 바와 같이 구성될 수 있다. 조명 서브시스템은 또한 스펙트럼 필터(들)로부터 조명 서브시스템의 대물 렌즈(308)로 광을 반사하도록 구성된 빔 분할기(306)를 포함할 수 있다. 빔 분할기(306) 및 대물 렌즈(308)는 여기에 설명된 바와 같이 추가로 구성될 수 있다. 대물 렌즈(308)는 하나 이상의 조명 파장을 갖는 광을 빔 분할기로부터 시편(310)으로 포커싱하도록 구성되며, 시편은 여기에 설명된 시편 중 임의의 것을 포함할 수 있다.
일 실시예에서, 광원(302)은 광대역 광원일 수 있고, 하나 이상의 스펙트럼 필터(304)는 광대역 광원으로부터의 광의 경로에 배치될 수 있다. 따라서 계측 도구는 파장 종속 필터를 통한 조명을 위해 선택 가능한 파장 범위를 가진 광대역 소스를 포함할 수 있다. 예를 들어, 시편으로 지향되는 파장(들)은 광원으로부터 광의 경로에 배치된 스펙트럼 필터(들)를 변경하거나 제거하여 변경할 수 있다. 이러한 방식으로, 계측 도구는 시편 상의 재료에 따라 변할 수 있는 유연한 조명 파장(들)을 갖도록 구성될 수 있다.
계측 도구는 더 좁거나 수정된 대역통과 필터를 조명 서브시스템에 통합할 수도 있다. 예를 들어, 스펙트럼 필터(들)(304)는 간섭 필터(들)일 수 있다. 이러한 방식으로, 계측 도구는 간섭 필터를 통한 조명을 위해 선택 가능한 파장 범위를 갖는 광대역 소스를 포함할 수 있다. 이러한 필터는 현재 도구에서 사용되는 대역통과 필터를 보완하거나 대체할 수 있다.
광원(302)은 또한 예를 들어, 하나 이상의 다이오드 레이저, DPSS(diode-pumped solid state) 레이저, 기체 레이저 등과 같은 당업계에 공지된 임의의 적절한 그러한 광원을 포함하는 협대역 및/또는 레이저 광원(들)을 포함할 수 있다. 또한, 여기에 설명된 조명 서브시스템은 임의의 적절한 조합으로 임의의 수의 광대역, 협대역 및 레이저 광원을 포함할 수 있다. 또한, 광원은 준단색 광원일 수 있다. 따라서 광원 및 계측 도구 구성의 많은 상이한 조합이 가능하며, 예를 들어, 도구에 의해 측정될 시편 및/또는 시편 특성에 따라 선택될 수 있다.
조명 서브시스템은 선택적 조명각 및/또는 편광을 위해 다수의 상이한 방식으로 구성될 수 있다. 예를 들어, 조명각은 조명 서브시스템의 광원의 위치를 변경하거나 조명각에 영향을 미치는 조명 서브시스템의 하나 이상의 다른 요소를 제어함으로써 변경되거나 선택될 수 있다. 변경되거나 선택되는 조명각은 입사광의 극각 및/또는 방위각일 수 있다. 또한, 조명 편광은 선택된 편광을 갖는 광을 방출하는 광원을 선택하거나 광원에 의해 방출된 광의 경로에 하나 이상의 편광 선택/변경/필터링 요소를 포함함으로써 선택될 수 있다.
계측 도구는 시편으로부터의 광을 검출하도록 구성된 검출 서브시스템을 또한 포함한다. 도 3에 도시된 바와 같이, 검출 서브시스템은 시편(310)으로부터 광을 수집하도록 구성된 대물 렌즈(308)를 포함한다. 이 실시예에서, 수집된 광은 정반사된 광을 포함할 수 있다. 그러나, 수집된 광은 대안적으로 또는 추가적으로 산란광을 포함할 수 있다. 검출 서브시스템은 또한 대물 렌즈에 의해 수집된 광을 전송하도록 구성된 빔 분할기(306)를 포함할 수 있다.
일부 경우에, 검출 서브시스템은 하나 이상의 파장을 갖는 빔 분할기(306)로부터 광을 투과시키도록 구성된 빔 분할기(312)를 포함한다. 검출 서브시스템은 또한 본 명세서에 추가로 설명되는 바와 같이 구성될 수 있고 하나 이상의 선택된 파장을 갖는 광을 투과시킬 수 있는 하나 이상의 대역통과 필터(314)를 포함할 수 있다. 빔 분할기(306), 빔 분할기(312), 및 대역통과 필터(들)(314)는 하나 이상의 선택된 파장을 갖는 광을 선택적으로 투과시키고, 검출기(316)에 의해 검출되지 않도록 검출 서브시스템의 검출 경로 밖으로 하나 이상의 선택된 파장을 갖지 않는 광을 반사하거나 그렇지 않으면 차단하도록 구성될 수 있다.
일부 예에서, 검출 서브시스템은 하나 이상의 대역통과 필터(318) 및 검출기(320)를 포함한다. 도 3에 도시된 구성에서, 빔 분할기(312)에 의해 반사된 광은 하나 이상의 대역통과 필터(318)로 지향되고, 하나 이상의 대역통과 필터에 의해 투과된 광은 검출기(320)에 의해 검출된다. 대역통과 필터(들)(318) 및 검출기(320)는 여기에 설명된 바와 같이 추가로 구성될 수 있다. 빔 분할기(312)는 하나 이상의 제1 파장을 갖는 광을 투과시키고 제1 파장(들)과는 다른 하나 이상의 제2 파장을 갖는 광을 반사하도록 구성될 수 있다. 이러한 방식으로, 검출기(316 및 320)는 상이한 파장을 갖는 광을 검출할 수 있다.
도 3에 도시된 바와 같이, 조명 및 검출 서브시스템은 모두 그것을 공통 대물 렌즈로 만드는 대물 렌즈(308) 및 이를 공통 이색성 거울 또는 빔 분할기로 만드는 빔 분할기(306)를 포함할 수 있다. 또한, 여기에 설명된 임의의 빔 분할기는 광의 특정 파장 대역을 반사하고 해당 대역 외 광을 투과시키는 이색성 거울로 대체될 수 있다. 이러한 구성은 시편에 전달되는 광의 양을 증가시키고 검출된 신호의 순도를 증가시킬 있다.
일 실시예에서, 검출 서브시스템에 의해 검출된 광의 하나 이상의 파장은 시편 상의 하나 이상의 물질, 측정되는 시편의 하나 이상의 특성, 또는 이들의 조합에 기초하여 검출 서브시스템의 하나 이상의 파라미터를 변경함으로써 선택된다. 따라서 조명 파장 범위와 마찬가지로 검출 파장 범위는 측정되는 시편 재료와 시편 특성(들)에 따라 조정될 수 있다. 검출 서브시스템에 의해 검출된 파장(들)은 본 명세서에 설명된 바와 같이 (예컨대, 대역통과 필터(들)를 사용하여) 또는 당업계에 공지된 임의의 다른 적절한 방식으로 변경될 수 있다.
계측 도구는 이색성 및 대역통과 필터 컴포넌트의 적절한 선택을 통해 다양한 파장 범위를 이미징하는 다수의 병렬 이미징 채널을 포함할 수 있다. 도 3에 도시된 실시예에서, 채널들 중 하나는 대역통과 필터(들)(314) 및 검출기(316)를 포함할 수 있고 채널 중 다른 하나는 대역통과 필터(들)(318) 및 검출기(320)를 포함할 수 있다. 또한, 계측 도구는 3개 이상의 채널을 포함할 수 있다(예컨대, 하나 이상의 추가 빔 분할기(도시되지 않음)를 시료로부터의 광의 경로에 삽입함으로써 - 추가 빔 분할기 각각은 검출기(도시되지 않음) 및 가능하게는 스펙트럼 필터(도시되지 않음) 및/또는 다른 광학 요소(도시되지 않음)에 결합될 수 있음 -). 대역통과 필터(들)(314) 및 검출기(316)를 포함하는 채널은 제1 파장 대역의 광을 검출하도록 구성될 수 있고, 대역통과 필터(들)(318) 및 검출기(320)를 포함하는 채널은 제2 파장 대역의 광을 검출하도록 구성될 수 있다. 이러한 방식으로, 상이한 파장 범위의 광이 상이한 채널에서 동시에 검출될 수 있다. 또한, 상이한 파장 범위는 상호 배타적일 수 있거나(예컨대, 하나 이상의 파장에 의해 분리됨) 완전히(예컨대, 하나의 파장 범위가 완전히 다른 파장 범위 내에 있을 수 있음) 또는 부분적으로(예컨대, 다수의 파장 범위들이 동일한 하나 이상의 파장을 포함할 수 있지만, 제1 파장 범위 내의 파장들 중 적어도 일 부분은 제2 파장 범위 내의 파장들 중 적어도 일 부분과 상호 배타적이고, 그 역도 마찬가지임) 중첩될 수 있다. 일부 실시예에서, 검출 서브시스템은 파장 범위 전체에 걸쳐 시편으로부터의 광의 특성을 측정하도록 구성된 분광계를 포함한다. 예를 들어, 검출기(316 및 320) 중 하나 이상은 분광계일 수 있다.
전술한 바와 같이, 검출 서브시스템은 광의 파장에 기초하여 시편으로부터의 광을 선택적으로 그리고 별도로 검출하도록 구성될 수 있다. 유사한 방식으로, 조명 서브시스템이 선택적 조명각 및/또는 편광을 위해 구성되는 경우, 검출 서브시스템은 시편으로부터의 각도(또는 수집각) 및/또는 편광에 기초하여 광의 선택적 검출을 위해 구성될 수 있다. 예를 들어, 검출 서브시스템은 검출 서브시스템에 의해 검출된 광의 수집각을 제어하기 위해 사용될 수 있는 하나 이상의 애퍼처(미도시)를 포함할 수 있다. 다른 예에서, 검출 서브시스템은 검출 서브시스템에 의해 검출된 광의 편광을 제어하기 위해 사용될 수 있는 시편으로부터의 광의 경로에 하나 이상의 편광 컴포넌트(도시되지 않음)를 포함할 수 있다.
하나의 그러한 변형에서, 계측 도구는, 광원(302)으로부터의 모든 파장의 광이 시편(310)으로 보내지도록 도 3에 도시된 것과 다를 수 있다. 그 후 파장은 일반적으로 분광계(들)를 통해 검출기(들)에서 분리될 수 있다. 계측 도구가 이러한 방식으로 구성되면 스펙트럼 필터(들)(304) 및 대역통과 필터(314 및 318)를 포함하지 않을 수 있다. 이러한 구성은, 광원에서 대부분의 파장을 차단하는 대신 계측 시스템이 모든 파장으로 하여금 시스템을 통과하게 하고 분광계(들)을 통해 검출기(들)에서 모든 파장을 동시에 검출할 수 있기 때문에 광 효율에 이점을 가질 수 있다. 계측 도구는 일반적으로 한 번에 한 지점을 테스트하기 때문에 이 구성은 계측 도구에 가능하다. 반면에 검사 도구는 거의 항상 이미징 모드에서 실행되므로 검출기에서 파장 분석을 수행하는 것이 저렴하지 않을 수 있다. 이와 같이, 검사 시스템에서 파장 필터는 조명 채널에 사용될 수 있다.
다른 그러한 변형에서, 스펙트럼 필터(들)(304) 및 대역통과 필터(314 및 318)는 편광 요소로 대체될 수 있다. 예를 들어, 스펙트럼 필터(들) 대신에, 요소(304)는 예를 들어, 편광기와 같은 편광 제어기일 수 있고, 대역통과 필터 대신에, 요소(314 및 318)는 분석기일 수 있다. 그러한 계측 도구 구성에서, 검출기(316 및 320)는 (파장을 어레이 검출기로 팬아웃하는) 분광계로서 구성될 수 있다.
계측 도구는 또한 검출된 광에 응답하여 검출 서브시스템에 의해 생성된 출력을 사용하여 시편에 대한 계측 데이터를 생성하도록 구성된 컴퓨터 서브시스템을 포함한다. 예를 들어, 계측 도구는 컴퓨터 서브시스템(322)을 포함할 수 있으며, 이는 컴퓨터 서브시스템이 검출 서브시스템의 검출기에 의해 생성된 출력을 수신할 수 있도록 전술한 바와 같이 검출기(316 및 320)에 결합될 수 있다. 검출기의 출력은, 예를 들어, 신호, 이미지, 데이터, 이미지 데이터 등을 포함할 수 있다. 예를 들어, 위에서 설명된 검출 서브시스템의 검출기(들)는 시편의 이미지(들)를 캡처하도록 구성된 이미징 검출기일 수 있다. 컴퓨터 서브시스템은 본 명세서에 설명된 바와 같이 추가로 구성될 수 있다. 계측 데이터는 여기에 설명된 계측 데이터 중 임의의 것일 수 있다. 계측 데이터는 계측 결과 파일에 저장될 (또는 계측 결과 파일로서 출력될) 수 있다.
도 3은 여기에 설명된 계측 도구 실시예의 일부 구성을 일반적으로 예시하기 위해 여기에 제공된다는 점에 유의한다. 명백하게, 본 명세서에 설명된 계측 도구 구성은 상업적인 계측 도구를 설계할 때 통상적으로 수행되는 바와 같이 계측 도구의 성능을 최적화하도록 변경될 수 있다. 또한, 여기에 설명된 계측 도구는 (예컨대, 여기에 설명된 기능을 기존 계측 도구에 추가함으로써) 예를 들어, KLA로부터 상업적으로 입수 가능한 Archer, ATL, SpectraShape, SpectraFilm, Aleris, WaferSight, Therma-Probe, RS-200 및 Profiler 도구와 같은 기존 계측 도구를 포함할 수 있다. 일부 이러한 시스템에 대해, 본 명세서에 설명된 방법은 (예컨대, 기존 도구의 다른 기능성에 추가하여) 기존 계측 도구의 선택적 기능성으로서 제공될 수 있다. 대안적으로, 본 명세서에 설명된 계측 도구는 완전하게 신규한 시스템을 제공하기 위해 "처음부터(from scratch)" 설계될 수 있다.
도 3에 도시된 계측 도구는 광 기반 또는 광학 도구이지만, 계측 도구는 또한 또는 대안적으로 여기에서 설명된 측정을 수행하기 위해 다른 유형의 에너지를 사용하도록 구성될 수 있다. 예를 들어, 계측 도구는 예를 들어, 주사 전자 현미경(scanning electron microscope; SEM) 또는 투과 전자 현미경(transmission electron microscope; TEM)과 같은 전자 빔 도구 및/또는 예를 들어, FIB 도구와 같은 하전 입자 빔 도구일 수 있다. 그러한 계측 도구는 임의의 적절한 상업적으로 입수 가능한 계측 도구를 포함할 수 있다.
여기에 추가로 설명된 바와 같이, 측정 지점 위치는 시편 전체에 걸쳐 하나 이상의 제1 피처에 대한 제1 프로세스 정보를 측정하도록 결정될 수 있으며, 이는 시편 상의 하나 이상의 재료 및/또는 시편 상의 하나 이상의 패터닝된 구조를 포함할 수 있다. 일부 맥락에서, 제1 피처(들)는 측정된 제1 프로세스 정보에 기초하여 결함으로 간주될 수 있다. 예를 들어, 계측 도메인과 검사 도메인 간의 하나의 연결은, 제1 프로세스 정보가 사양에서 충분히 벗어나는 경우 시편 처리 중에 결함이 발생할 수 있다는 것이다. 본 명세서에 설명된 실시예에서, 시편에 대한 제1 프로세스 정보는, 제1 프로세스 정보가 제1 피처(들)를 결함이 되게 하는지(render) 여부에 관계없이 의도적으로 측정될 수 있다. 예를 들어, 측정된 제1 프로세스 정보가 제1 피처(들)가 결함이 있는 것으로 간주되게 하지 않는 경우에도, 제1 프로세스 정보는 본 명세서에 추가로 설명되는 바와 같이 제2 프로세스 정보를 결정하기 위해 사용될 수 있다. 또한, 미리 선택된 측정 지점 중 하나에 결함이 있는 경우 해당 측정 지점에서 생성된 계측 데이터에 실제로 영향을 미칠 수 있다. 그러나 (시편에 결함이 있게 하는 결함 또는 특성(들)의) 이러한 측정은 여기에 설명된 측정의 목표가 아니다.
여기에 설명된 제1 피처(들), 제1 영역(들), 제2 피처(들) 및 제2 영역(들)은 또한, 결함 또는 프로세스 편차에 기초하여 선택되지 않고 시편에 대한 설계에 기초해 선택된다. 예를 들어, 시편 상의 각 다이에는 상이한 전기 기능 유닛을 구축하기 위해 사용되는 상이한 영역이 있다. 결과적으로 각 프로세스 단계에서 상이한 영역에 상이한 구조가 필요한다. 예를 들어, 프로세스 단계에서 도 5b에 도시된 영역은 도 5a에 도시된 구조를 가질 필요가 있다. 마찬가지로, 도 5d에 도시된 영역은 도 5c에 도시된 구조를 가질 필요가 있다. 이 영역의 위치는 시편 상에서 검출된 임의의 결함이나 프로세스 편차와 무관하게 시편에 대한 설계 레이아웃에 의해 미리 결정된다. 따라서, 시편에 대한 결함 또는 프로세스 편차 정보가 이용 가능하더라도, 본 명세서에서 설명된 제1 피처, 제1 영역, 제2 피처 및 제2 영역은 이러한 정보에 기초하여 선택되지 않는다.
측정에서 관심 변화(본원에서 추가로 설명됨)에 여전히 충분히 응답하면서, 처리량 및 비용 고려 사항에 기초하여 계측 도구에 의해 측정되는 제1 영역을 선택하거나 결정하는 것이 바람직할 수 있다. 예를 들어, 원하는 측정(예컨대, 시편 토폴로지, 막 두께, 임계 치수(critical dimension; CD) 등)은 시편 상의 임의의 제2 영역에 대한 측정의 신뢰할 수 있는 예측(예컨대, 내삽(interpolation), 외삽(extrapolation) 등)을 허용하도록 선택된, 표본 상의 제1 영역에서 수행될 수 있다.
상이한 사용 사례에 대해 상이한 제1 영역이 선택될 수 있다. 예를 들어, 막 두께 변화는 시편 전체에 걸쳐 상대적으로 느린 경향이 있으므로 막 두께 측정의 밀도가 상대적으로 낮을 수 있다. 따라서, 본 명세서에 설명된 실시예에 적합한 제1 영역의 밀도는 제2 영역에 대한 계측 데이터의 예측을 충분히 정확하게 하기에 충분히 큰 제1 영역의 임의의 밀도를 포함한다.
일 실시예에서, 하나 이상의 제1 영역은 제1 프로세스 정보에서 시편 레벨 변화를 캡처하도록 선택된다. 다른 실시예에서, 하나 이상의 제1 영역은 제1 프로세스 정보의 다이 레벨 변화를 캡처하도록 선택된다. 예를 들어, 측정은 시편 수준 변화 및/또는 다이 수준 변화를 캡처하기에 충분한 빈도로 시편 상의 선택된 제1 영역(들)에서 바람직하게 수행될 수 있다. 시편 수준 변화 또는 다이 수준 변화를 캡처하는 데 필요한 제1 영역(들)의 빈도 또는 밀도는 예를 들어, 수행되는 계측 측정의 예상 변화 및/또는 예상되는 제1 프로세스 정보에 기초해 위에서 설명한 대로 결정될 수 있다.
제1 프로세스 정보, 예를 들어, 계측 데이터는 임의의 적절한 방식으로 제1 영역에서 수행된 측정으로부터 결정되거나 생성될 수 있다. 다시 말해서, 측정으로부터 계측 데이터를 결정하기 위해 많은 상이한 방법, 알고리즘, 모델, 기능 등이 당해 기술분야에서 이용 가능하다. 여기에 설명된 실시예에서 사용된 계측 데이터는 이러한 알려진 방식 중 어느 하나로 생성될 수 있다. 또한, 계측 분석(예컨대, 계측 데이터 모델링)은 KLA로부터 상업적으로 입수할 수 있는 5D 분석기 시스템에서 수행될 수 있다. 이 시스템은 업계에서 확립되었으며 고급 계측 분석 능력을 포함한다. 계측 데이터는 이 시스템에서 제공되거나 추가 모델링이 필요하지 않은 경우 계측 도구에서 직접 제공될 수 있다.
일 실시예에서, 제1 프로세스 정보는 막 두께, 패터닝된 구조 프로파일, CD, 라인 에지 조도(line edge roughness; LER), 및 라인 폭 조도(line width roughness; LWR) 중 하나 이상을 포함한다. 예를 들어, 여기에 설명된 실시예에서 특히 유용할 수 있는 제1 프로세스 정보는 막 두께(들) 및 여기에 추가로 설명되는 바와 같이 제2 프로세스 정보를 결정하는 데 유용할 수 있는 임의의 다른 이러한 특성(들)을 포함한다. 다시 말해서, 본 명세서에 설명된 제1 프로세스 정보는 본 명세서에 추가로 설명되는 바와 같이 제2 프로세스 정보를 결정하기 위해 사용될 수 있는 임의의 그리고 모든 측정 및/또는 시편 특성(들)을 포함할 수 있다. 여기에 설명된 측정은 2018년 4월 28일에 발행된 두피(Duffy) 등의 공동 양도된 미국 특허 출원 공개공보 제2016/0116420호에 설명된 대로 수행될 수도 있으며, 이는 마치 여기에 완전히 설명된 것처럼 참조로서 합체되어 있다. 여기에 설명된 실시예는 이 공보에 설명된 대로 추가로 구성될 수 있다.
일 실시예에서, 계측 도구는 시스템에 포함되지 않는다. 예를 들어, 계측 도구는 여기에 설명된 시스템 실시예와 상이하고 별개인 하나의 시스템에 포함될 수 있다. 다시 말해서, 계측 도구는 여기에 설명된 실시예와 물리적으로 분리된 시스템에 포함될 수 있고 여기에 설명된 시스템 실시예와 어떤 공통 요소도 공유하지 않을 수 있다. 특히, 도 1 및 3에 도시된 바와 같이, 검사 서브시스템은 하나의 시스템에 포함될 수 있고, 계측 도구는 다른 시스템으로서 구성될 수 있으며, 시스템과 계측 도구는 서로 물리적으로 완전히 분리되어 있으며 공통 하드웨어 요소를 공유하지 않는다.
그러한 실시예에서, 본 명세서에 설명된 하나 이상의 컴퓨터 서브시스템은 계측 도구에 결합된 컴퓨터 서브시스템 및/또는 계측 데이터가 계측 도구에 의해 저장된 저장 매체에 액세스하여 계측 데이터를 획득하도록 구성될 수 있다. 하나 이상의 컴퓨터 서브시스템은 본 명세서에 추가로 설명되는 바와 같이 다른 컴퓨터 시스템 또는 서브시스템 또는 저장 매체로부터 계측 데이터를 획득할 수 있다. 이러한 방식으로, 계측 도구와 검사 서브시스템을 포함하는 시스템은 상이한 도구일 수 있다. 계측 데이터는, 측정이 검색될 수 있는 데이터베이스(예컨대, KLA로부터 상업적으로 입수 가능한 Klarity)에 저장할 수 있다.
이러한 방식으로, 계측 데이터를 획득하는 것은 계측 데이터를 생성하는 것을 반드시 포함하지는 않는다. 예를 들어, 위에서 설명된 바와 같이, 계측 도구는 계측 데이터를 생성하도록 구성될 수 있고, 그런 다음, 여기에 설명된 컴퓨터 서브시스템은 계측 도구, 계측 도구의 컴퓨터 서브시스템, 또는 계측 데이터가 저장된 저장 매체로부터 계측 데이터를 획득할 수 있다. 이와 같이, 획득된 계측 데이터는 여기에 설명된 실시예와는 다른 시스템에 의해 생성되었을 수 있다. 그러나, 일부 실시예에서, 계측 데이터를 획득하는 것은 계측 데이터를 생성하는 것을 포함할 수 있다. 예를 들어, 여기에 설명된 실시예는 계측 도구(본 명세서에 추가로 설명됨)를 포함할 수 있으며, 따라서 여기에 설명된 시스템 실시예는 제1 영역에서 시편에 대한 측정을 수행함으로써 계측 데이터를 생성하도록 구성될 수 있다. 대안적으로, 여기에 설명된 시스템 실시예(또는 시스템의 하나 이상의 요소)는 계측 도구가 시편에 대한 측정을 수행하도록 구성될 수 있다. 따라서, 계측 데이터를 획득하는 것은 제1 영역에서 시편에 대한 측정을 수행하는 것을 포함할 수 있다.
일 실시예에서, 계측 도구는 검사 서브시스템 및 계측 도구가 이 시스템의 하나 이상의 공통 요소를 공유하도록 시스템에 통합된다. 도 4는 그러한 시스템의 일 실시예를 예시한다. 이 시스템은 검사 서브시스템 모듈(400)과 계측 도구 모듈(402)을 포함한다. 모듈(400)에 포함된 검사 서브시스템은 도 1 및 도 2와 관련하여 본 명세서에 설명된 바와 같이 구성될 수 있다. 모듈(402)에 포함된 계측 도구는 도 3과 관련하여 본 명세서에 설명된 바와 같이 구성될 수 있다. 이 시스템은 또한 검사 서브시스템 및 계측 도구 중 하나 또는 둘 모두에 결합된 컴퓨터 서브시스템(404)을 포함할 수 있다. 컴퓨터 시스템(404)은 본 명세서에 설명된 임의의 다른 실시예에 따라 구성될 수 있다.
일부 실시예에서, 시스템은 또한 추가 모듈(412)을 포함하고, 추가 모듈은 시편에 대해 하나 이상의 추가 프로세스를 수행하도록 구성될 수 있다. 하나 이상의 추가 프로세스는 예를 들어, 결함 검토, 결함 수리 및/또는 임의의 다른 품질 관리 관련 프로세스를 포함할 수 있다.
계측 도구 및 검사 서브시스템에 의해 공유될 수 있는 하나 이상의 공통 요소는 공통 하우징(406), 공통 시편 핸들러(408), 공통 전원(410), 컴퓨터 서브시스템(404), 또는 이들의 일부 조합 중 하나 이상을 포함할 수 있다. 공통 하우징은 당업계에 공지된 임의의 적절한 구성을 가질 수 있다. 예를 들어, 시스템의 원래 하우징은 계측 도구를 수용하기 위해 단순히 확장될 수 있다. 이러한 방식으로, 계측 도구 및 검사 서브시스템은 단일 유닛 또는 도구로서 구성될 수 있다. 공통 시편 핸들러는 당업계에 알려진 임의의 적절한 기계적 및/또는 로봇 어셈블리를 포함할 수 있다. 공통 시편 핸들러는, 시편을 프로세스들 사이에서 카세트 또는 다른 용기에 다시 넣지 않고도 시편이 계측 도구로부터 검사 서브시스템으로 직접 이동될 수 있도록, 계측 도구와 검사 서브시스템 사이에서 시편을 이동시키도록 구성될 수 있다. 공통 전원은 당업계에 공지된 임의의 적절한 전원을 포함할 수 있다. 컴퓨터 서브시스템은 본 명세서에 추가로 설명되는 계측 도구 및 검사 서브시스템에 결합되어, 컴퓨터 서브시스템이 본 명세서에 추가로 설명되는 계측 도구 및 검사 서브시스템과 상호작용할 수 있다. 추가 모듈은 위에서 설명한 것과 동일한 방식으로 시스템에 통합될 수 있다.
계측 도구의 하드웨어는 검사 서브시스템 및 시스템에 포함된 추가 모듈과 별개인 측정 챔버에 배치될 수 있다. 측정 챔버는 검사 서브시스템 및 추가 모듈에 측방향 또는 수직으로 인접하게 배치될 수 있다. 예를 들어, 시스템은 각기 상이한 프로세스를 수행하도록 구성될 수 있는 모듈의 클러스터로서 구성될 수 있다. 또한, 측정 챔버, 검사 서브시스템, 및 추가 모듈은 시스템의 로드 챔버(414)에 측방향 또는 수직으로 인접하게 배치될 수 있다. 로드 챔버는 예를 들어, 시스템에서 처리될 시편의 카세트(416)와 같은 다수의 시편을 지지하도록 구성될 수 있다. 시편 핸들러(408)는 측정 및/또는 검사 전에 로드 챔버에서 시편을 제거하고 측정되고 그리고/또는 검사된 시편을 로드 챔버에 배치하도록 구성될 수 있다. 또한, 측정 챔버는, 예를 들어, 계측 도구 하드웨어를 위한 충분한 공간이 있고 시편이 측정 챔버와 검사 서브시스템 사이에서 이동될 수 있도록 시편 핸들러가 장착될 수 있는 곳과 같이 검사 서브시스템에 인접한 다른 위치에 배치될 수 있다. 이러한 방식으로, 시편 핸들러(408), 스테이지(도시되지 않음), 또는 다른 적절한 기계적 디바이스는 시스템의 계측 도구 및 검사 서브시스템으로 또는 이들로부터 시편을 이동시키도록 구성될 수 있다.
여기에 설명된 실시예는 다이 내에 또는 시편 상의 다양한 영역이 상이한 프로세스 정보를 제공할 수 있는 상황을 활용한다. 특정 실시예가 제1 영역(들)의 제1 피처(들) 및 제2 영역(들)의 제2 피처(들)와 관련하여 여기에서 설명되고 2개의 영역이 예시를 위해 일부 도면에 도시되지만, 특히 실시예가 여기에 설명된 시편의 스크라이브 라인에 있는 구조를 고려할 때 제1 및 제2 영역에 각각 제1 및 제2 피처가 있을 수 있거나 그보다 많을 수 있다. 실시예는 본 명세서에 추가로 설명되는 바와 같이 적절한 피처 및 영역을 식별하고 선택할 수 있다. 또한, 여기에 추가로 설명된 도면은 제한 사항으로 간주되어서는 안 된다. 여기에 설명된 도면의 모든 정보는 단지 예시를 위한 것이며 반드시 축척대로 있는 것은 아니며 구조는 예를 들어, 층의 수, 2D 및 3D 패턴 등과 같이 다소 복잡할 수 있다.
하나 이상의 컴퓨터 서브시스템은 하나 이상의 출력 획득 서브시스템의 출력으로부터, 시편 상의 하나 이상의 제1 영역에 형성된 하나 이상의 제1 피처에 대한 제1 프로세스 정보를 결정하도록 구성된다. 도 5a는 시편 상의 제1 영역(들)에 형성된 적절한 제1 피처(들)의 일례를 도시하며, 이 경우 웨이퍼(500)로서 도시되지만 여기에 설명된 다른 시편들 중 임의의 것일 수 있다. 이 경우의 제1 피처(들)는 재료(502, 504, 및 506)를 포함하는 재료 스택을 포함한다. 그런 다음, 도 5a에 도시된 바와 같이, 제1 피처(들)는 패터닝된 구조를 포함하지 않을 수 있지만, 예를 들어, 도 5a에 도시된 것과 같은 박막을 포함할 수 있다. 박막은 당업계에 공지된 임의의 적합한 프로세스, 예를 들어, 화학 증기 퇴적(chemical vapor deposition; CVD), 물리 증기 퇴적(physical vapor deposition; PVD), 원자층 퇴적(atomic layer deposition; ALD) 등을 사용하여 웨이퍼 상에 임의의 적합한 방식으로 형성될 수 있으며, 때로는 예를 들어, 박막의 두께 또는 평탄도를 제어하는 화학기계적 연마(chemical mechanical polishing; CMP)와 같은 프로세스(들)가 뒤따른다. 박막은 예를 들어, 유전체 막, 금속 또는 전도성 막, 반도체 막, 반사방지 코팅, 레지스트(예컨대, 포토레지스트) 유형 재료 등과 같은 반도체 제조 분야에 공지된 임의의 적합한 막을 포함할 수 있다.
도 5b는 도 5a에 도시된 제1 피처가 배치될 수 있는 웨이퍼 상의 일부 제1 영역을 도시한다. 특히, 도 5b에 도시된 다이(508)는 도 5a에 도시된 제1 피처의 스택이 배치되는 제1 영역(510)을 포함한다. 도 5b에 도시된 제1 영역은 제1 피처가 도시된 다이 내의 모든 영역을 포함하거나 포함하지 않을 수 있다. 예를 들어, 다이에서 제1 피처가 형성되는 제1 영역은 시편에 대한 설계에 기초하여 식별될 수 있고, 제1 영역의 서브세트 또는 전체보다 적은 부분이 여기에 설명된 실시예에서 사용하기 위해 선택될 수 있다. 본 명세서에 설명된 실시예에서 사용하기 위해 제1 영역의 인스턴스 중 얼마나 많은 그리고 어느 인스턴스가 선택되는지는 예를 들어, 다이 내에서 또는 시편 전체에 걸쳐 제1 프로세스 정보의 예상 변화와 같은 정보에 기초하여 결정될 수 있다. 다이 설계, 시편 상의 다이 레이아웃, 및 스크라이브 라인 영역(즉, 다이들 사이의 영역)은 궁극적으로 제1 영역이 다이 내에 또는 시편 상에 배치되는 위치를 제어할 것이지만, 실시예에 의해 사용되는 제1 영역은 단위 길이 또는 면적당 선택된 제1 영역의 일부 빈도, 선택된 제1 영역들 사이의 최소 또는 최대 간격, 선택된 제1 영역의 최소 또는 최대 수 등에 기초하는 것과 같은 다양한 다른 방식들로 선택될 수 있다. 또한, 도 5b에서는 제1 영역이 일종의 1D 어레이로 배열된 것으로 도시되지만, 제1 영역은 임의의 1D 또는 2D 어레이에 배치될 필요가 없으며 제1 영역 인스턴스의 일부 또는 전체 사이에 불규칙한 간격을 가질 수 있다.
하나 이상의 컴퓨터 서브시스템은 또한 출력 획득 서브시스템(들)에 의해 생성된 출력 및 제1 프로세스 정보의 적어도 일 부분으로부터 시편 상의 하나 이상의 제2 영역에 형성된 하나 이상의 제2 피처에 대한 제2 프로세스 정보를 결정하도록 구성된다. 따라서 여기에 설명된 실시예의 새로운 아이디어는 이전 단계로부터의 프로세스 정보를 상이한 방식으로 전달하는 (예컨대, 각 다이 내의) "풍부한(rich)" 구조로부터의 정보를 이용하는 것이다. 다시 말해서, 여기에 설명된 제1 및 제2 피처의 신중한 선택에 의해, 심지어 비교적 복잡한 피처에 대한 검사-유사 출력으로부터 중요한 계측-유사 정보가 결정될 수 있다.
도 5c는 도 5a에 도시된 제1 피처로 선택될 수 있는 제2 피처의 일례를 도시한다. 이 예에서, 제2 피처는 웨이퍼(500) 상에 형성된 재료(502 및 504)를 포함한다. 제2 피처의 재료(502 및 504)는 제1 피처의 재료(502 및 504)와 동일할 수 있다. 예를 들어, 재료(502 및 504)는 동일한 프로세스(들) 및 동일한 재료로 형성될 수 있다. 제2 피처는 또한 물질(506)을 포함하지만, 도 5a에 도시된 제1 피처와 달리, 제2 피처에서 이 물질은 패터닝되었다. 다시 말해서, 패터닝된 피처는 제2 피처의 재료(506)에 형성되었다. 또한, 재료(512)는 재료(506)의 패터닝된 피처들 사이의 제2 피처에 형성된다. 재료(512)는 예를 들어, 여기에 추가로 설명된 것과 같은 임의의 적절한 재료를 포함할 수 있고 당업계에 알려진 임의의 적절한 방식으로 형성될 수 있다.
따라서, 도 5a에 도시된 제1 피처와 달리, 도 5c에 도시된 제2 피처는 그 위에 형성된 패터닝된 구조를 갖는 패터닝되지 않은 박막 층의 조합을 포함한다. 이와 같이 단일 시편 상의 단일 다이 내에서 시편 또는 프로세스의 동일한 층에서 상이한 영역은 상이한 스택 구조를 가질 수 있다. 도 5a 및 5c에 도시된 피처의 경우, 재료(506)가 패터닝되지 않아야 하는 웨이퍼 상의 영역은 재료에 패터닝된 피처를 형성하기 위해 사용되는 리소그래피 프로세스와 에칭 프로세스 동안 보호 재료로 "마스킹 오프(mask off)"되거나 덮일 수 있다. 하나의 그러한 예에서, 도 5d는 도 5c에 도시된 피처의 스택이 형성되는 다이(514)의 제2 영역(516)을 도시한다. 이러한 방식으로, 제2 영역에 패터닝된 구조를 형성하기 위해 사용되는 프로세스 동안, 다이의 나머지 영역은 마스킹되거나 예를 들어, 2D 라인 대 3D 홀(holes)과 같은 다른 방식으로 패터닝될 수 있다. 그런 다음, 그 보호 재료는 패터닝된 피처가 형성된 후에 제거될 수 있다.
제2 영역(516)은 빈도, 간격 등에 대한 일부 미리 결정된 기준에 기초하여, 다이 또는 시편 전체에 걸쳐 제2 프로세스 정보의 예상되는 변화에 기초하여, 예를 들어, 다이 내의 모든 제2 영역 인스턴스보다 더 적게, 본 명세서에서 설명된 바와 같이 선택될 수 있다. 또한, 도 5b 및 도 5d에서는 제1 영역과 제2 영역이 서로에 대해 상이한 영역을 갖는 것으로 도시되어 있지만, 상대적 영역에 대한 제한이나 요건은 없다. 제1 및 제2 영역의 다른 특성, 예를 들어, 빈도, 간격 등은 서로에 대해 선택될 필요가 없다. 다시 말해서, 선택된 영역이 제1 및 제2 프로세스 정보를 결정하기 위해 본 명세서에서 추가로 설명되는 바와 같이 사용될 수 있는 한, 제1 및 제2 영역은 서로 독립적으로 선택될 수 있다.
따라서 제1 및 제2 프로세스 정보는 검사 서브시스템 및 선택적으로 계측 도구의 출력으로부터, 시편 상의 개별 영역에 형성된 피처를 사용하여 결정될 수 있다. 따라서, 제1 및 제2 프로세스 정보는 다이 내 위치 또는 시편 내 위치의 함수로서 결정될 수 있으며, 위치의 함수로서의 정보는 여기에 설명된 다양한 방식으로 사용될 수 있다. 예를 들어, 도 6에 도시된 바와 같이, 제1 영역에서 상이한 재료의 두께(즉, 이러한 피처에 대해 결정된 제1 프로세스 정보)는 시편 내 위치의 함수로서 그래픽으로 도시될 수 있다. 이 예에서, 웨이퍼 맵(600)은 재료(502)의 두께를 도시하고, 웨이퍼 맵(602)은 재료(504)의 두께를 도시하며, 웨이퍼 맵(604)은 재료(506)의 두께를 도시한다. 맵의 상이한 그레이 레벨은 재료 두께의 상이한 값에 대응한다. 이와 같이, 맵은 시편 전체에 걸쳐 재료 두께의 변화도 도시하며, 이는 예를 들어, 재료를 형성하기 위해 사용된 프로세스의 문제를 검출하기 위해 사용될 수 있다.
맵은 (예컨대, 도 5a에 도시된 스택의 모델을 사용한 분석에 의해) 제1 영역의 위치에서만 결정된 두께만을 가지고 생성될 수 있거나, 제1 영역에서의 결정된 제1 프로세스 정보는 시편 상의 다른 영역으로 외삽되거나 내삽될 수 있으며, 이는 본 명세서에서 더 설명된 바와 같이 수행될 수 있다. 이러한 방식으로 시편 상의 특정 불연속 영역에서만 결정된 제1 프로세스 정보를 사용하여 시편 상의 다른 영역에서 제1 프로세스 정보를 예측할 수 있다. 이러한 예측은 본 명세서에서 추가로 설명되는 바와 같이 수행 및 사용될 수 있다.
유사한 방식으로, 제2 영역에서 본 명세서에 설명된 실시예에 의해 결정된 제2 프로세스 정보는 예를 들어, 도 7에 도시된 웨이퍼 맵(700)과 같은 웨이퍼 맵을 생성하기 위해 사용될 수 있다. 이 웨이퍼 맵은 예를 들어, 도 5c에 도시된 스택에 대한 모델을 사용하여 도 5d에 도시된 영역의 분석에 의해 발견되는 CD 값으로부터 생성될 수 있다. 전술한 웨이퍼 맵과 마찬가지로, 이 웨이퍼 맵은 제2 영역에 대해서만 결정된 제2 프로세스 정보만을 포함할 수 있다. 그러나, 선택된 제2 영역에 대해서만 결정된 제2 프로세스 정보는 시편 상에 형성된 제2 피처의 다른 경우에서도 외삽, 내삽, 예측 등이 수행될 수 있다. 이러한 예측은 또한 본 명세서에서 추가로 설명된 바와 같이 수행될 수 있다. 전술한 웨이퍼 맵과 같이, 웨이퍼 맵 또는 제2 프로세스 정보의 다른 그래픽 표현을 사용하여 시편 상에 제2 피처를 형성하기 위해 사용되는 프로세스의 문제를 검출할 수 있다. 예를 들어, 시편 상의 위치의 함수로서 제2 정보를 분석함으로써, 컴퓨터 서브시스템(들)은, 제2 피처를 형성하기 위해 사용된 프로세스가 수용 가능하게 수행하는지 또는 프로세스가 어떻게 오작동하는지 검출할 수 있다.
일 실시예에서, 제2 프로세스 정보는 시편에 대해 수행되는 프로세스의 설정을 포함한다. 예를 들어, 검사 도구로부터 그레이 레벨(gray level; GL) 데이터를 분석함으로써, 본 명세서에 설명된 실시예의 한 가지 이점은 시편이 제조된 프로세스 정보를 추출할 수 있다는 것이며, 이 정보는 예를 들어, 포커싱 및 선량과 같은 프로세스 설정일 수 있다. 여기에 설명된 실시예에 의해 결정되는 프로세스 설정은 스캐닝 전에 시편에 대해 수행된 프로세스(들)에 따라 달라질 수 있다. 이러한 프로세스 설정은 예를 들어, 경험적으로 또는 정밀 모델(rigorous model)을 사용하여 여기에 추가로 설명된 바와 같이 결정될 수 있다.
다른 실시예에서, 제2 프로세스 정보는 하나 이상의 제2 피처의 특성을 포함한다. 예를 들어, 검사 도구로부터 GL 데이터를 분석함으로써, 여기에 설명된 실시예의 다른 이점은 예를 들어, CD, 두께 또는 에칭된 깊이와 같은 디바이스 성능과 관련된 정보가 될 수 있는, 제조된 시편의 특성을 추출할 수 있다는 것이다. 본 명세서에서 설명되는 실시예에 의해 결정되는 시편 특성(들)은 스캐닝 이전에 시편에 대해 수행된 프로세스(들) 및 시편 상에 형성되는 제2 피처에 따라 달라질 수 있다. 이러한 시편 특성은 예를 들어, 경험적으로 또는 정밀 모델을 사용하여 여기에 추가로 설명된 대로 결정될 수 있다.
제2 프로세스 정보의 적어도 일 부분은 제1 프로세스 정보와는 다른 유형의 정보이다. 예를 들어, 전술한 바와 같이, 도 5a에 도시된 제1 피처에 대해, 제1 프로세스 정보는 물질(502, 504, 및 506)에 의해 형성된 박막의 두께일 수 있고, 도 5c에 도시된 제2 피처에 대해, 제2 프로세스 정보는 재료(506)에 형성된 패터닝된 피처의 CD일 수 있고 가능하게는 또한 또는 대안적으로 재료(512)에 의해 형성된 패터닝된 피처의 CD일 수 있다. 이러한 방식으로, 상이한 프로세스 정보는 상이한 종류의 피처의 상이한 종류의 치수일 수 있다. 상이한 유형의 제1 및 제2 프로세스 정보의 다른 조합은 예를 들어, 시편의 설계 및 따라서 시편에 대해 생성될 수 있는 정보 유형에 따라 또한 가능한다.
중요하게는, 도 5a 및 5b에 도시된 제1 및 제2 피처가 재료(502, 504, 및 506)로 형성된 박막을 포함하더라도, 제1 및 제2 프로세스 정보는 이들 재료의 두께를 모두 포함하지는 않는다. 예를 들어, 본 명세서에서 추가로 설명되는 바와 같이, 제1 프로세스 정보의 적어도 일 부분은 제2 프로세스 정보를 결정하기 위해 사용된다. 따라서, 제2 프로세스 정보를 결정할 때, 해당 제1 프로세스 정보는 제2 영역에서 재결정될 필요가 없다. 대신, 제1 영역에서 결정된 제1 프로세스 정보는 제2 프로세스 정보를 결정하기 위해 사용하기 위해 제2 영역으로 효과적으로 피드포워드(feed forward)된다. 이러한 방식으로, 제1 프로세스 정보가 재료(502, 504, 506)의 두께를 포함하는 경우, 제1 프로세스 정보는 제2 영역에서 이들 재료의 두께를 결정하기 위해 사용될 수 있고, 그런 다음, 제2 영역에 대한 다른 정보를 결정하기 위해 알려진 값으로서 사용될 수 있다. 또한, 본 명세서에 설명된 실시예에서 모든 제1 프로세스 정보가 제2 영역으로 피드포워드될 필요는 없다. 예를 들어, 제1 프로세스 정보는 사용자가 관심이 있지만 제2 프로세스 정보를 결정하는데 유용하지는 않은 재료(502, 504, 및 506)에 대한 정보를 포함할 수 있다.
하나 이상의 제2 피처에 대한 설계의 적어도 일 부분은 하나 이상의 제1 피처에 대한 설계와 상이하다. 예를 들어, 도 5a 및 5c에 도시된 바와 같이, 제1 및 제2 영역은 재료(502 및 504)에 대해 동일한 설계를 포함한다. 또한, 제1 및 제2 영역은 재료(506)를 포함하지만, 제1 영역에서는 그 재료가 패터닝되지 않은 반면, 제2 영역에서는 재료가 패터닝된다. 따라서, 재료(506)의 설계는 제1 및 제2 영역에서 상이하다. 또한, 도 5c에 도시된 제2 영역은 재료(506)에 형성된 패터닝된 피처 내에 형성된 재료(512)를 포함하는 반면, 제1 피처는 그러한 재료를 포함하지 않는다. 따라서, 도 5a의 하나 이상의 제1 피처에 대한 설계와는 다른 도 5c의 하나 이상의 제2 피처에 대한 설계 부분은 재료(506 및 512)에 대한 설계를 포함한다.
제1 및 제2 피처에 대한 설계 사이의 이러한 차이는 예를 들어, 제1 영역을 포함하는 시편의 적어도 일 부분 상에 형성된 임시 마스킹 층과 같은 다수의 방식으로 시편의 동일한 층 상에서 가능할(enable) 수 있는 한편, 패터닝된 피처는 제2 영역 내의 재료(506 및 512) 내에 형성되어 재료(506)의 패터닝 및 제1 영역 내의 재료(512)의 형성을 방지한다. 제1 및 제2 피처에 대한 설계의 적어도 일 부분은 상이하지만, 제1 및 제2 피처에 대한 설계의 적어도 일 부분은 또한 동일해야 한다. 예를 들어, 제1 및 제2 피처 모두가 재료(502 및 504)를 포함하기 때문에, 제1 영역을 사용하여 결정된 이들 재료에 대한 두께 또는 기타 정보는 제2 피처에 대한 정보를 결정하기 위해 제2 영역에 대해 생성된 출력과 함께 사용될 수 있다. 또한, 재료(506)가 제2 피처에서 패터닝되지만 제1 피처에서 패터닝되지 않더라도, 제1 영역에서 결정된 재료(506)(또는 다른 특성(들))의 두께는 제2 피처에 대해 동일한 방식으로 사용될 수 있다.
다시 말해서, 여기에 설명된 제1 및 제2 피처는 이 2개의 피처에 의해 공유되는 일부 공통 설계 속성에 의해 연결된다. 도 5를 예시로 참조하면, 제1 피처(도 5a) 및 제2 피처(도 5c)의 공통 특성은 재료(502 및 504)의 두께이고, 아마도 제1 피처의 재료(506)의 두께는 제2 피처의 재료(506)에 형성된 패터닝된 피처의 높이와 동일할 것이다. 본 명세서에서 추가로 설명되는 바와 같이 수행될 수 있는, 제1 영역에서 생성된 출력을 분석하여 제1 피처의 제1 프로세스 정보를 결정하고, 이를 시편 상의 모든(또는 다른) 위치로 확장한 후, (도 5d에 도시된 바와 같이) 제2 영역의 위치에서의 제2 피처의 제1 프로세스 정보가 결정되고, 제2 피처를 분석하기 위해 제2 피처를 위해 생성된 출력과 조합하여 사용된다. 유사하게, 도 5d에 도시된 것과 같은 제2 영역의 위치에서의 정보가 제2 피처(도 5c에 도시됨)를 분석하여 획득될 때, 그 정보는 여기에 설명된 바와 같이 전체 시편으로 확장될 수 있다.
이러한 방식으로, 본 명세서에 설명된 실시예를 가능하게 하는 하나의 중요한 혁신은 설계에서 유사성 및 차이를 모두 갖는 제1 및 제2 피처의 신중한 선택이며, 이는 시편 상의 제1 영역(들)에 형성된 비교적 단순한 피처를 사용함으로써 일부 프로세스 정보의 결정을 더 쉽게 만들고, 보다 복잡한 피처에서 다른 프로세스 정보를 결정하기 위해 그 프로세스 정보를 사용하는 것을 가능하게 한다. 이 중요한 혁신 없이는, 검사 서브시스템을 사용하여 도 5c에 도시된 것과 같이 비교적 복잡한 피처에 대한 정보를 결정하는 것이 불가능할 수 있다.
하나 이상의 제1 영역과 하나 이상의 제2 영역은 시편 상에서 상호 배타적이다. 즉, 제1 영역과 제2 영역은 시편 상에서 (x-y 평면에서 정의되는) 동일하거나 중첩되는 영역이 아니다. 예를 들어, 제1 및 제2 피처는 단순히 시편 상의 동일한 영역에서 서로의 위에 형성되는 상이한 피처가 아니다. 이러한 방식으로, 시편의 한 위치에서 제1 피처에 대한 제1 프로세스 정보가 결정되면, 동일한 위치에서 생성된 검사 서브시스템의 출력으로부터 동일한 위치의 제2 피처에 대해 제2 프로세스 정보가 결정되지 않는다. 즉, 제2 프로세스 정보를 결정하기 위해 사용되는 제2 피처 아래에 있는 제1 피처에 대해서는 제1 프로세스 정보가 결정되지 않는다. 대신, 제1 프로세스 정보를 결정하기 위해 사용되는 제1 영역의 제1 피처 위에 제2 피처가 형성되지 않는다. 또한, 제1 프로세스 정보를 결정하기 위해 사용되는 제1 영역에는 제2 피처가 전혀 형성되지 않을 수 있다. 예를 들어, 제2 영역에서만 생성된 출력은 도 5c에 도시된 피처에 대한 제1 및 제2 프로세스 정보를 결정하기 위해 사용되지 않는다. 대신, 제1 및 제2 프로세스 정보를 결정하기 위해 사용되는 출력은 도 5b 및 5d에 도시된 것과 같은 상호 배타적인 영역에서 생성되어, 상이한 프로세스 정보를 결정하기 위해 상이한 피처 스택을 포함하는 상이한 영역이 사용된다. 특히, 여기에 추가로 설명된 바와 같이, 여기에 설명된 실시예는 프로세스 단계의 완료 후 시편이 시편 상의 상이한 영역에 형성된 상이한 피처를 갖는 경우를 이용하며, 이는 시편에 대한 상이한 프로세스 정보를 결정하는 데 유리하게 사용될 수 있다.
일 실시예에서, 제1 및 제2 영역 중 하나 이상은 시편 상에 형성되는 디바이스의 기능 영역에 배치된다. 여기에 설명된 실시예에서 사용하기에 적합한 "풍부한" 구조의 예는 예를 들어, 메모리 대 로직 또는 접속부(비아)와 같은 디바이스의 기능 영역에서의 상이한 영역일 수 있다. 종종, 그러한 영역의 패턴과 단면 구조가 다르다는 것을 알 수 있다: 일부는 특정 패터닝 단계에서 마스킹 오프되는 반면에 다른 것은 상이한 단계에 있다. 이러한 영역은 (예컨대, 다이의 상당한 부분으로서) 상대적으로 크거나 상대적으로 작을 수 있다(예컨대, 수 마이크로미터만큼 작은 경우가 종종 있음).
다른 실시예에서, 제1 및 제2 영역 중 하나 이상은 시편 상에 형성되는 디바이스들 사이의 스크라이브 라인 영역에 배치된다. 예를 들어, "풍부한" 구조의 더 많은 예는 도구 정렬 또는 계측(CD, 두께, 오버레이 등)을 위한 테스트 구조로서 설계된 스크라이브 라인에서 발견될 수 있다. 이러한 테스트 구조는 종종 (특정 프로세스(들)를 마스킹 오프하여) 프로세스 단계를 분리하도록 설계되는데, 이는 원하는 프로세스 단계로부터의 정보를 향상시키고(명확한 신호를 얻기에 좋음) 제거하기(데이터 분석 프로세스를 단순화하기에 좋음) 때문에 본 명세서에 설명된 실시예에 실질적으로 유용할 수 있다.
그러한 실시예에서, 제1 및 제2 영역 모두는 스크라이브 라인 영역에 배치될 수 있다. 그러나, 모든 제1 영역은 스크라이브 라인 영역에 배치될 수 있고, 모든 제2 영역은 기능 영역(들)에 배치될 수 있다. 그 반대도 가능하다. 특히, 적합한 제1 및 제2 피처가 이용 가능하고 사용을 위해 선택되는 경우 시편의 설계에 크게 의존할 수 있으며, 피처가 기능 디바이스 영역 또는 스크라이브 라인 영역에 배치되는지는 여기에 설명된 실시예에서 특히 중요하지 않을 수 있다. 예를 들어, 여기에 설명된 것과 같은 많은 품질 제어 유형 프로세스에 대해 기능 영역의 피처를 사용하는 것이 바람직할 수도 있지만, 예를 들어, 처리량, 피처 가용성 등과 같은 다른 고려 사항은 비기능 영역의 피처를 여기에 설명된 실시예에서 사용하기에 더 매력적으로 만들 수 있다.
위에서 설명된 바와 같이, 출력 획득 서브시스템(들)은 시편 상의 측정 지점에서 측정을 수행함으로써 출력의 적어도 다른 일 부분을 생성하도록 구성된 계측 도구를 포함할 수 있다. 그러한 일 실시예에서, 제1 프로세스 정보를 결정하기 위해 사용되는 출력은 출력의 적어도 다른 일 부분만을 포함하고, 제2 프로세스 정보를 결정하기 위해 사용되는 출력은 출력의 적어도 일 부분을 포함한다. 다시 말해서, 제1 프로세스 정보는 계측 도구 출력만을 사용하여 결정될 수 있고, 제2 프로세스 정보는 (1) 검사 서브시스템 출력만을 또는 (2) 계측 도구 출력과 조합된 검사 서브시스템 출력을 사용하여 결정될 수 있다. 단일 도구 또는 다수의 도구에 의해 생성된 출력이 제1 및 제2 프로세스 정보를 결정하기 위해 사용되는지 여부에 상관없이, 제1 및 제2 프로세스 정보를 결정하는 것은 본 명세서에 추가로 설명되는 바와 같이 수행될 수 있다.
위에서 설명된 계측 도구는 또한 여기에 설명된 제1(또는 임의의) 프로세스 정보를 결정하는 데 전혀 필요하지 않을 수도 있다. 예를 들어, 다른 실시예에서, 제1 프로세스 정보를 결정하기 위해 사용되는 출력은 검사 서브시스템에 의해 생성된 출력만을 포함하고, 제2 프로세스 정보를 결정하기 위해 사용되는 출력은 검사 서브시스템에 의해 생성된 출력만을 포함한다. 이러한 방식으로, 제1 및 제2 프로세스 정보는 계측 도구 출력을 사용하여 결정되지 않는다.
이러한 일부 실시예에서, 제1 프로세스 정보 및 제2 프로세스 정보를 결정하기 위해 사용되는 출력은 시편의 동일한 스캔에서 생성된다. 예를 들어, 제1 프로세스 정보는 제2 프로세스 정보를 결정하는데 사용된 동일한 검사 하드웨어에 의해 그러나 제2 영역(들)의 제2 피처(들)가 아니고 제1 영역(들)의 제1 피처(들) 위를 스캐닝함으로써 생성된 출력으로부터 결정될 수 있다. 물리적으로, 검사 하드웨어에 의한 스캔은 제1 및 제2 피처가 각각 배치되는 제1 영역 및 제2 영역 모두를 커버하기 위해 종종 동시에 수행될 수 있다. 따라서, 계측 도구가 제1 피처(들)를 측정하기 위해 사용될 수 있지만, 검사 서브시스템은 종종 제2 영역(들)의 제2 피처(들)에 대한 동일한 스캔에서 제1 피처(들)에 대한 출력을 생성하기 위해 사용될 수 있다. 그런 다음, 동일한 스캔에서 제1 및 제2 영역에서 생성된 출력은 제1 및 제2 프로세스 정보를 각각 결정하기 위해 본 명세서에 설명된 바와 같이 사용될 수 있다.
제1 프로세스 정보가 검사 서브시스템에 의해 생성된 출력으로부터 결정될 수 있지만, 그것은 필수가 아니며 제1 프로세스 정보는 계측 데이터를 사용하여 결정될 수 있다. 그러나 대조적으로, 계측 도구 대신 검사 서브시스템에 의해 생성된 출력으로부터 제2 프로세스 정보를 결정하는 데에는 이점이 있다. 예를 들어, 검사 도구는 일반적으로 시편(예컨대, 웨이퍼) 상의 모든 위치에 정보를 기록하여 이 정보를 갖고 분석할 수 있는 기회를 제공한다. 이러한 데이터 수집 및 가용성은 계측 도구와의 근본적인 차이점이다. 특히, 계측 도구는 시편(예컨대, 웨이퍼) 상의 제한된 지점, 예를 들어, 300개 미만의 지점 그리고 종종 약 5개 내지 18개의 지점에서만 정보를 얻는다.
따라서, 전술한 바와 같이, 상이한 프로세스 정보를 결정하기 위해 상이한 도구가 사용될 수 있다. 이와 같이, 여기에 설명된 실시예는 예를 들어, 검사 도구 및 박막 계측 도구와 같은 상이한 도구의 조합을 사용할 수 있다. 상이한 도구를 사용하는 한 가지 이점은 상이한 도구를 사용하여 단일 도구에 비해 더 복잡한 구조를 측정할 수 있다는 것이다. 그러나 단일 도구 대신 다수의 도구를 사용하면 여러 가지 절충이 있을 수 있다. 예를 들어, 다수의 도구를 사용하려면 더 긴 측정 시간이 필요할 수 있으며, 상이한 도구에서 시편의 다수의 로드/언로드 작업이 필요할 수 있으며, 계측 도구는 일반적으로 약 0.5초의 이동-획득-측정(move-acquire-measurement; MAM) 시간을 가지므로 제한된 수의 위치가 측정될 수 있는데, 이는 전체 시편에 대한 내삽/외삽을 위한 충분한 데이터를 획득하면서 처리량 목표를 충족하는 데 문제를 일으킬 수 있다.
여기에 설명된 실시예가 단지 하나의 또는 다수의 도구를 사용하여 구현될 수 있는 것과 동일한 방식으로, 실시예는 또한 단일 도구의 단일 모드 또는 단일 도구의 다수의 모드를 사용하여 구현될 수 있다. 예를 들어, 여기에 추가로 설명된 바와 같이 도구는 상이한 모드를 사용해 시편에 대한 출력을 생성할 수 있다. 상이한 모드는 상이한 정보에 다소 민감할 수 있다. 하나의 그러한 예에서, 검사 서브시스템의 제1 모드는 막 두께에 더 민감할 수 있는 반면, 검사 서브시스템의 제2 모드는 패터닝된 피처의 CD에 더 민감할 수 있다. 따라서, 제1 모드는 도 5a에 도시된 제1 피처의 재료(502, 504, 및 506)의 두께를 결정하기 위해 사용될 수 있는 반면, 제2 모드는 도 5c에 도시된 재료(506) 및/또는 재료(512)에 형성된 패터닝된 피처의 CD를 결정하기 위해 사용될 수 있다. 다른 그러한 예에서, 검사 서브시스템의 제1 모드는 패터닝된 피처의 CD에 더 민감할 수 있는 반면, 검사 서브시스템의 제2 모드는 패터닝된 피처의 측벽 각도(side wall angle; SWA)에 더 민감할 수 있다. 따라서, 제1 모드는 도 5c에 도시된 재료(506) 및/또는 재료(512)에 형성된 패터닝된 피처의 CD를 결정하기 위해 사용될 수 있고, 제2 모드는 재료(506 및/또는 512)에 형성된 패터닝된 피처의 SWA를 결정하기 위해 사용될 수 있다. 이러한 방식으로, 단일 모드는 상이한 유형의 피처에 대한 상이한 정보를 결정하는데 사용될 수 있고, 상이한 모드는 동일한 피처에 대한 상이한 정보 및/또는 상이한 피처에 대한 상이한 정보를 결정하기 위해 사용될 수 있다. 단일 모드 또는 다수의 모드를 사용하여 생성된 출력은 (예컨대, 상이한 모델 또는 동일한 모델을 사용해, 여기에 설명된 모델 유형을 사용하는 식으로) 제1 및 제2 프로세스 정보를 결정하기 위해 본 명세서에 설명된 바와는 다르게 사용될 수 있다.
출력 획득 서브시스템(들)이 하나의 검사 서브시스템만을 또는 검사 서브시스템과 계측 도구(또는 다른 출력 획득 서브시스템)를 포함하는지 여부에 관계없이, 예를 들어, 추가 교정을 적용하는 것과 같은 취해지는 데이터 동작, 변환 및 전처리는 오늘날의 관행과 동일할 수 있다. 현재 수행되는 것과 여기에 설명된 실시예 간의 차이점은 주로 사전 처리된 데이터의 분석에서 시작하는 반면, 정밀 모델(들)이 구축될 수 있고 특정 프로세스 파라미터(예컨대, 두께, CD, SWA 등)가 모델 분석을 통해 추출된다.
다른 실시예에서, 제1 프로세스 정보를 결정하기 위해 사용되는 출력은, 하나 이상의 제2 피처가 시편 상에 형성될 때까지 생성되지 않는다. 예를 들어, 현재 사용되는 방법 및 시스템과 달리 여기에 설명된 실시예는 시편에 대해 수행된 마지막 프로세스 이전에 취해지는 추가 측정을 필요로 하지 않으며, 웨이퍼 상의 모든 위치에 대한 상대적으로 많은 양의 정보와 상이한 프로세스와 연관된 상이한 특성 웨이퍼 서명(characteristic wafer signatures)을 활용한다. 여기에 설명된 실시예는 또한 실제로 데이터 로지스틱 문제(data logistic challenges)를 종종 일으킬 수 있는, 이전 프로세스(들)로부터 측정 데이터를 추적할 필요가 없다.
따라서 여기에 설명된 실시예는, 예를 들어, 이전 층으로부터 현재 층으로 파라미터를 공급하거나 다른 도구(예컨대, CD-SEM)로부터 현재 계측 모드(예컨대, 광학 CD 계측)로 데이터를 공급하는 것과 같은 계측의 피드포워드 애플리케이션들 중 일부를 사용하는 현재 사용되는 방법 및 시스템과는 다르다. 대신, 본 명세서에 설명된 바와 같이, 제1 및 제2 영역 각각에서 제1 및 제2 피처의 신중한 선택을 통한 실시예는 동일한 프로세스 단계 후에 모든 측정 및 스캐닝(또는 스캐닝만)을 수행하고 프로세스 정보의 적어도 일 부분을 결정하기 위해 검사 서브시스템을 사용할 수 있다. 따라서 여기에 설명된 실시예는 시편 상의 단위 면적당 훨씬 더 많은 정보를 제공하면서 더 짧은 시간에 더 쉽게 수행할 수 있다는 점을 포함하여 현재 사용되는 방법 및 시스템에 비해 여러 이점을 제공한다.
일 실시예에서, 하나 이상의 컴퓨터 서브시스템은 경험적으로 결정된 관계를 사용하여 제1 프로세스 정보 및 제2 프로세스 정보 중 하나 이상을 결정하도록 구성된다. 예를 들어, 실제로, 여기에 설명된 실시예에 의해 사용될 수 있는 한 가지 방법은 GL 응답으로 실험 설계(design of experiment; DOE) 입력을 훈련한 다음 GL로부터 프로세스 파라미터를 찾기 위해 관계를 적용하는 것에 의한 경험적 방법이다. 경험적으로 결정된 관계는 당업계에 공지된 임의의 적절한 방식으로 결정될 수 있다. 일부 경우에, PWQ(process window qualification) 또는 FEM(focus exposure matrix) 유형 시편을 제작하고 측정하여 경험적 관계를 결정할 수 있다. 경험적 관계는 딥 러닝(deep learning; DL) 또는 머신 러닝(machine learning; ML) 유형 모델을 사용하여 결정될 수도 있다. 그러나, 임의의 유형의 기능, 관계, 모델 등이 여기에 설명된 실시예에 의해 사용하기 위해 경험적으로 결정된 관계를 설명하기 위해 사용될 수 있다.
추가 실시예에서, 하나 이상의 컴퓨터 서브시스템은 정밀 모델을 사용하여 제1 및 제2 프로세스 정보 중 하나 이상을 결정하도록 구성된다. 예를 들어, 실제로, 여기에 설명된 실시예에 의해 사용될 수 있는 다른 방법은 시스템(검사 하드웨어 및 테스트 대상 시편을 포함함)을 엄격하게 모델링하는 것에 의한 정밀 방법이다. 정밀 방법이나 알고리즘이 사용되는 경우 이러한 방법이나 알고리즘의 하나의 일반적인 제한은 시편 상의 구조를 설명하는 데 필요한 다수의 파라미터를 처리하는 반면 검사 도구로부터의 정보는 종종 각 픽셀에서 매우 제한적이다. 블랭크 시편 상의 구조에 대한 파라미터는 간단하다: 각 층의 광학 상수가 일반적으로 잘 알려져 있다는 것을 고려하면 막 두께이다. 예를 들어, 단면 및 하향식 도면 모두에서 CD, SWA, 피치 워킹(pitch walking), 에칭 깊이, 에칭 잔류물, 토핑(topping) 또는 라운딩(rounding) 등과 같은 패터닝된 시편 상의 구조에 대한 파라미터는 상당히 더 크다. 이렇게 크게 증가된 파라미터 수는 정밀 방법에 대한 도전을 제공한다: 검사 도구로부터의 픽셀당 측정 정보 내용이 매우 제한적이기 때문에 모든 파라미터를 측정할 수는 없다; 대부분의 파라미터가 다이별 및 시편별로 다르기 때문에 이러한 파라미터를 수정할 수 없다; 그리고 이 변화는 종종 검사 신호의 현저한 GL 변화를 일으킨다. 그러나 여기에 설명된 실시예는 이 문제를 크게 해결하기 위해 사용될 수 있는 솔루션을 제안한다.
일부 실시예에서, 컴퓨터 서브시스템(들)은 시편에 대한 설계 및 시편에 대해 수행된 하나 이상의 프로세스에 대한 정보에 기초하여, 제1 프로세스 정보, 하나 이상의 제1 피처, 하나 이상의 제1 영역, 하나 이상의 제2 피처, 및 하나 이상의 제2 영역을 선택하도록 구성된다. 일반적으로, 실시예에 의해 결정되는 제2 프로세스 정보는 사용자에 의해 설정되거나 검사되는 프로세스 및 시편에 기초하여 설정될 것이다. 따라서 컴퓨터 서브시스템(들)은 제2 프로세스 정보를 선택할 필요가 없을 수 있다. 그러나 컴퓨터 서브시스템(들)은 상이한 프로세스 정보를 제공할 수 있는 다이의 다양한 영역을 식별할 수 있다. 컴퓨터 서브시스템(들)은 또한 이러한 구조를 제조하는 프로세스와 프로세스 변화의 특성을 이해하는 것을 포함하여 분석 전략을 만들 수 있다. 피처, 영역, 정보 등을 선택하는 것은 본 명세서에서 추가로 설명되는 바와 같이 수행될 수 있다. 특히, 본 명세서에서 추가로 설명되는 바와 같이, 제1 및 제2 영역, 제1 및 제2 피처 등의 선택은 상대적으로 단순한 구조인 제1 영역에서의 제1 피처를 사용하여 정보를 결정하고, 그 정보를 사용하여 제1 피처보다 더 복잡한 제2 영역에서의 제2 피처에 대한 다른 정보를 결정하는 것이 가능하도록 하기 위해 중요하다. 이런 방식으로, 제1 피처를 사용하여 비교적 쉽게 결정될 수 있는 정보를 제2 피처에 대한 정보를 결정하는 데에도 사용할 수 있어, 제2 프로세스 정보의 결정을 더 쉽게 만들 수 있다.
도 5a 및 5c에 도시된 구조에 대한 그러한 전략 및 이해의 예는 다음을 포함할 수 있지만 이에 제한되지는 않는다. 재료(502, 504, 및 506)의 두께는 막 퇴적 도구에 의해 시편에 대해 수행되는 막 퇴적 프로세스에 의해 제어되며 두께의 특성 변화 길이는 센티미터(cm)의 분수 내지 수십 센티미터(cm)일 수 있다. 이들 재료의 두께는 재료가 위에 형성된 후 시편에 대해 수행되는 패터닝 프로세스 등에 의해 변경될 것으로 예상되지 않는다. 재료(512)의 CD는 마이크로미터(μm) 내지 cm 정도의 특성 변화 길이를 가질 수 있고, 2개의 성분을 가질 수 있다: 하나는 리소그래피 프로세스로부터 다이와 동기화되고, 다른 하나는 다이와 비동기화되고 리소그래피 프로세스 및 에칭 프로세스와 관련된다.
상기 정보에 기초하여, 컴퓨터 서브시스템(들)은 재료(502, 504, 및 506)의 두께를 찾기 위해 도 5b에 도시된 제1 영역을 분석하는 것을 포함하는 전략을 개발할 수 있다. 이 전략은 또한 이러한 두께의 변화가 상대적으로 느리기 때문에, 제1 영역에서 측정된 두께를 표본 상의 모든 또는 원하는 영역으로 내삽 또는 외삽함으로써 표본 전체에 걸쳐 두께가 발견될 수 있다는 사실을 이용하는 것을 포함할 수 있으며, 이는 본 명세서에 추가로 설명되는 바와 같이 수행될 수 있다. 또한, 도 5d에 도시된 제2 영역에 대해, 전략은 이전 단계에서 발견된 재료(502, 504, 및 506)의 두께를 모델로 피드포워드하는 것을 포함할 수 있고, 그런 다음, 도 5d의 영역에서 CD 또는 다른 패터닝 파라미터가 결정된다.
다른 실시예에서, 하나 이상의 컴퓨터 서브시스템은 시편에 대한 설계 및 시편에 대해 수행된 하나 이상의 프로세스에 대한 정보에 기초하여, 제1 프로세스 정보 및 제2 프로세스 정보를 결정하기 위해 사용되는 하나 이상의 방법을 결정하도록 구성된다. 예를 들어, 컴퓨터 서브시스템(들)은 위의 전략 레이아웃별로 데이터 분석을 자세히 수행할 수 있다. 컴퓨터 서브시스템(들)은 또한 도 5a에 도시된 재료에 대한 박막 모델을 구축하고 도 5b의 제1 영역으로부터 GL에 모델을 적용하여 재료(502, 504, 및 506)의 두께를 찾을 수 있다. 컴퓨터 서브시스템(들)은 또한 예를 들어, 도 6에 도시된 바와 같이, 결정된 두께를 전체 시편으로 확장할 수 있다. 컴퓨터 서브시스템(들)은 또한 도 5d의 영역(들)에 대해 도 5c에 도시된 바와 같은 패터닝된 구조에 대한 모델을 구축하고, 도 6의 두께를 미리 결정된 양으로서 제2 피처의 모델에 공급하며, (예를 들어) 제2 피처의 모델에서 재료(512)의 CD 값을 찾기 위해 제2 영역에서 생성된 출력을 처리할 수 있다. 시편 위치의 함수로서 재료(512)의 CD 값의 예가 도 7에 도시된다.
일 실시예에서, 제1 프로세스 정보를 결정하는 것은 출력에 제1 방법을 적용하는 것을 포함하고, 제2 프로세스 정보를 결정하는 것은 출력 및 제1 프로세스 정보의 적어도 일 부분에 제2 방법을 적용하는 것을 포함하며, 제1 방법과 제2 방법은 다르다. 예를 들어, 제1 및 제2 방법은 동일하거나 상이한 유형의 상이한 모델(예컨대, 하나의 경험적 모델과 하나의 정밀 모델)을 포함할 수 있으며, 상이한 영역 내의 피처가 상이하고 상이한 프로세스 정보가 결정되고 있기 때문에 상이한 프로세스 정보를 결정하기 위해 상이한 모델을 사용하는 것이 가장 적합할 수 있다. 상이한 방법들 각각은 본원에 추가로 설명된 바와 같이 결정되고 사용될 수 있다.
상이한 방법들이 순차적으로 적용될 수 있지만, 즉, 제1 방법을 사용하여 제1 프로세스 정보를 결정한 다음, 제2 방법을 사용하여 제2 프로세스 정보를 결정하는 것이 가능하지만, 방법들이 동시에 적용될 수도 있다. 예를 들어, 도 5a 및 5c에 도시된 것과 같은 제1 및 제2 피처에 대한 모델은 출력 처리에서 동시에 분석될 수 있는 반면에 각 모델은 예를 들어, 도 5b 및 5d와 같이 각각 자체 영역으로부터의 출력에 적용된다.
다른 실시예에서, 하나 이상의 컴퓨터 서브시스템은 제1 프로세스 정보 및 제2 프로세스 정보를 결정하기 위한 단일 방법을 수행하도록 구성된다. 예를 들어, 단일 방법이 단일 모델을 포함하든, 둘 이상의 모델을 포함하든, 단일 방법을 사용하여 여기에 설명된 실시예를 구현할 수 있다. 다시 말해서, 제1 및 제2 프로세스 정보를 결정하는 것은 상이한 결정으로서 구현될 필요가 없다. 하나의 그러한 예에서, 출력과 제1 프로세스 정보 및 출력과 제2 프로세스 정보 사이의 상이한 관계를 설명하기 위해 다수의 모델이 사용될 수 있지만, 이러한 다수의 모델은 다수의 모델을 사용하는 단일 방법으로 결합될 수 있다.
그러한 일 실시예에서, 단일 방법으로의 입력은 하나 이상의 출력 획득 서브시스템에 의해 시편에 대해 생성된 출력이고, 단일 방법의 출력은 제2 프로세스 정보이다. 다시 말해, 제1 및 제2 영역에 대해 생성된 출력은 (상이한 영역에 대한 출력이 생성되는 방식에 관계없이) 단일 방법으로 입력될 수 있으며, 이는 제2 프로세스 정보(및 아마도 제2 프로세스 정보만)를 출력할 수 있다. 예를 들어, 본 명세서에 설명된 실시예가 제1 프로세스 정보를 결정할 수 있지만, 그 제1 프로세스 정보는 주로 제2 프로세스 정보의 결정을 가능하게 하는 목적으로 결정될 수 있다.
이러한 방식으로, 제1 프로세스 정보는 사용자가 관심이 있기 때문에 여기에 설명된 실시예에 의해 반드시 결정되는 것은 아니다. 하나의 그러한 예에서, 제1 프로세스 정보가 도 5a에 도시된 제1 피처의 재료(502, 504, 및 506)의 두께를 포함하는 경우, 사용자는 이 정보에 특히 관심이 없을 수 있다. 대신에, 이 정보는 재료(506)의 패터닝된 피처 및/또는 도 5c에 도시된 제2 피처의 재료(512)로 형성된 패터닝된 피처의 CD의 결정을 가능하게 하기 위해서만 결정될 수 있다. 따라서, 제1 프로세스 정보가 결정될 수 있을지라도, 해당 정보를 출력하거나 사용자에게 보고할 필요가 없다. 다른 경우에, 사용자는 제1 및 제2 프로세스 정보 모두에 관심이 있을 수 있다. 이러한 경우에, 제1 및 제2 프로세스 정보가 단일 방법에 의해 결정되는지 또는 본 명세서에 설명된 다른 방식에 의해 결정되는지 여부에 관계없이, 제1 및 제2 프로세스 정보 모두가 사용자에게 보고될 수 있다.
추가 실시예에서, 하나 이상의 컴퓨터 서브시스템은 출력으로부터, 시편 상의 하나 이상의 제3 영역에 형성된 하나 이상의 제3 피처에 대한 제3 프로세스 정보를 결정하도록 구성되고, 제1 프로세스 정보의 적어도 일 부분은 제3 프로세스 정보와는 다르고, 하나 이상의 제1 피처에 대한 설계의 적어도 일 부분은 하나 이상의 제3 피처에 대한 설계와는 다르고, 하나 이상의 제1 영역, 하나 이상의 제2 영역, 및 하나 이상의 제3 영역은 시편 상에서 상호 배타적이며, 하나 이상의 컴퓨터 서브시스템은 또한, 제3 프로세스 정보에 기초하여 제1 프로세스 정보에 대한 정보를 결정하도록 구성된다. 예를 들어, 여기에 설명된 실시예는 일부 선택적 단계에 대해 구성될 수 있다. 일부 사용 사례에서, 다른 실시예에서 설명된 것 이상의 추가 단계가 필요할 수 있다. 하나의 그러한 예에서, 컴퓨터 서브시스템(들)은 상이한 영역으로부터의 결과를 교차 점검할 수 있다. 예를 들어, 도 5a와 유사한 구조를 갖지만 재료(506)의 층은 없는 도 5a 및 5c에 도시된 것과는 다른 추가 영역이 시편 상에 있을 수 있다. 따라서, 재료(502 및 504)의 두께는 이러한 추가 영역에서 결정될 수 있고 전체 시편으로 확장될 수 있으며, 이는 여기에 추가로 설명된 대로 수행될 수 있다. 그런 다음, 해당 재료에 대해 결정된 두께를 사용하여 도 6 및 7의 결과를 점검할 수 있다. 대안적으로, 제3 영역의 데이터는 도 5a 및/또는 5c에 도시된 피처에 대한 모델 및 데이터와 함께 분석될 수 있다.
컴퓨터 서브시스템(들)은 또한 시편 상의 제1 영역(들)의 위치에 대해 시편 상의 제2 영역(들)의 위치를 결정하도록 구성될 수 있다. 제1 영역(들)의 위치에 대해 시편 상의 제2 영역(들)의 위치를 결정하는 것은 제1 및 제2 영역에 대한 출력을 생성하기 위해 상이한 도구(예컨대, 계측 및 검사)가 사용되는 경우 좌표계 매칭을 포함할 수 있다. 특히, 상이한 도구는 상이한 좌표계의 위치를 보고할 수 있다. 따라서, 좌표계는 매칭된 다음, 제1 영역(들)의 위치에 대해 제2 영역(들)의 위치를 결정할 수 있다. 매칭될 수 있는 파라미터는 다이 크기, 다이 중심 위치(0,0 다이), 레티클(노출 필드) 크기, 및 다이/레티클 원점을 포함할 수 있다.
이 매칭은 여러 가지 방식으로 수행할 수 있다. 예를 들어, 두 도구에 의해 측정되거나 검출될 수 있는 시편 상의 하나 이상의 공통 기준점을 식별하고 사용하여 상이한 도구에 의해 사용 및/또는 보고된 상이한 좌표들 간의 하나 이상의 오프셋을 결정할 수 있다. 그런 다음, 하나 이상의 오프셋을 사용하여 임의의 하나의 보고된 위치를 하나의 좌표계에서 다른 좌표계로 변환할 수 있다. 유사한 방식으로, 상이한 도구 좌표계의 잠재적인 정확한 매칭을 테스트하기 위해, 제1 도구에 의해 측정된 특정 측정 지점이 제2 도구에 의해 스캐닝될 수 있어, 제2 도구에 의해 보고된 x, y 위치가 제1 도구에 사용된 x, y 위치와 비교, 상관 및/또는 매칭될 수 있다. 제2 영역 좌표가 제1 영역 위치를 결정하기 위해 사용되는 좌표계로 변환되거나 그 반대로 변환되면, 제1 영역 위치(들)에 대한 제2 영역(들)의 위치가 결정될 수 있다.
이들 상대 위치는 임의의 적절한 방식으로 결정될 수 있다. 일부 경우에, 제1 영역 위치에 대한 제2 영역 위치는 좌표계 매칭 또는 변환에 의해 생성된 공통 좌표계의 제2 영역 위치로서 간단히 결정될 수 있다. 그러나, 제1 영역 위치에 대한 제2 영역 위치를 결정하는 것은 또한 또는 대안적으로 제2 영역 위치 각각과 좌표계 매칭 또는 변환에 의해 생성된 공통 좌표계 내의 하나 이상의 가장 근접한 제1 영역의 위치(들) 사이의 오프셋 또는 거리를 결정하는 단계를 포함할 수 있다. 따라서, 제1 영역의 위치(들)에 대해 이러한 제2 영역(들)의 위치를 결정하는 것은 제1 영역(들) 중 어느 것이 제2 영역 위치에 가장 가까운지를 결정하는 것을 포함할 수 있으며, 그 정보는 또한 대응 제2 영역 위치와 함께 저장될 수 있다. 따라서, 일반적으로, 공통 좌표계에서 제1 영역 위치와 제2 영역 위치 사이의 상대 위치를 결정하기 위해 본 명세서에 설명된 실시예에서 상이한 방법이 사용될 수 있다.
본 명세서에 추가로 설명되는 바와 같이, 제1 영역(들) 및 제2 영역(들)은 시편 상에서 서로 상호 배타적이기 때문에, 컴퓨터 서브시스템(들)은 제1 영역(들)에서 생성된 제1 프로세스 정보 및 제1 영역의 위치에 대해 결정된 제2 영역(들)의 위치로부터 제2 영역(들)의 위치에서 제1 프로세스 정보를 결정하거나 예측하도록 구성될 수 있다. 예를 들어, 제1 영역(들)에서 생성된 제1 프로세스 정보는 여기에 설명된 방법 중 하나를 사용하여 제2 영역(들)의 위치(들)에서 제1 프로세스 정보를 예측하기 위해 사용될 수 있다.
일 실시예에서, 제2 프로세스 정보를 결정하는 것은 하나 이상의 제1 영역의 하나 이상의 위치로부터 하나 이상의 제2 영역의 하나 이상의 위치까지의 제1 프로세스 정보의 내삽을 포함한다. 내삽은 일반적으로 주어진 데이터 범위 내에서 값의 예측으로 당업계에서 정의될 수 있다. 예측 단계에서 사용되는 내삽은 당업계에 공지된 임의의 적절한 내삽 방법을 포함할 수 있다. 적합한 내삽 방법의 예는 선형 내삽, 다항식 내삽, 스플라인 내삽, 비선형 내삽, 가우스 프로세스를 통한 내삽, 다변수 내삽, 쌍선형 내삽, 및 쌍삼차 내삽을 포함하지만 이들에 제한되지는 않으며, 이들 모두는 당업계에 공지된 임의의 적합한 방식으로 수행될 수 있다.
다른 실시예에서, 제2 프로세스 정보를 결정하는 것은 하나 이상의 제1 영역의 하나 이상의 위치로부터 하나 이상의 제2 영역의 하나 이상의 위치까지의 제1 프로세스 정보의 외삽을 포함한다. 외삽은 일반적으로 주어진 데이터 범위를 벗어난 데이터의 예측으로서 당업계에서 정의될 수 있다. 외삽에 사용할 수 있는 상이한 방법이 있다. 이러한 외삽 방법 중 하나는 등고선 플롯 기반 외삽이다. 예를 들어, 일단 제1 프로세스 정보가 획득되면, 제1 프로세스 정보에 대한 등고선 플롯은 당업계에 공지된 임의의 적절한 방식으로 생성될 수 있다. 등고선 플롯을 사용할 수 있게 되면, 사용자 정의 그리드 크기에서 시편 상의 각 지점에 대해 제1 프로세스 정보 값이 추출될 수 있다. 그런 다음, 이 제1 프로세스 정보는 동일한 그리드 내의 제2 영역 위치에 적용될 수 있다. 이러한 방식으로, 제1 프로세스 정보는 제2 영역 위치가 배치되는 그리드의 값에 따라 각각의 제2 영역 위치에 할당될 수 있다.
따라서 외삽은 시편 상의 일부 영역에서 결정된 정보를 전체 시편으로 확장/일반화하는 한 가지 방법이다. 일부 애플리케이션에서, 외삽은 제1 피처로부터 제2 피처로 정보를 적용하기 위해 수행될 수도 있는데, 예를 들어, 도 5c의 재료(506)의 높이는 프로세스 특성으로 인해 도 5a의 재료(506)의 두께와 정확히 동일하지 않을 수 있다. 오히려, 도 5c의 재료(506)의 높이는 도 5a의 재료(506)의 두께 및 도 5c의 재료(506)의 선폭(CD)의 함수일 수 있다. 이 함수는 주어진 특성 속성으로서 미리 결정될 수 있으며 도 5c의 제2 피처를 분석하기 위해 사용될 수 있다. 여기서 외삽은 선형 또는 비선형일 수 있다. 따라서 외삽은 일부 위치에서의 정보를 다른 위치로 확장하기 위해 사용될 수 있는 하나의 방법이며, 실제 구현(외삽 또는 다른 확장 방법)은 프로세스 정보, 즉 지금까지 시편이 어떻게 제조되었는지도 포함할 수 있다.
일부 실시예에서, 컴퓨터 서브시스템(들)은 검사 서브시스템에 의해 생성된 출력의 적어도 일 부분을, 제1 및 제2 프로세스 정보 중 하나 이상을 사용해 수정하여, 수정된 출력을 생성하고 수정된 출력에 결함 검출 방법을 적용함으로써 시편 상의 결함을 검출하도록 구성된다. 예를 들어, 여기에 설명된 바와 같이 검사 도구로부터 GL 데이터를 분석함으로써, 여기에 설명된 실시예의 다른 이점은 원하는 구조 또는 관심이 없는 변형에서 나오는 주요 GL 신호 성분을 "제거(stripping off)"함으로써 검사 감도를 향상시키는 데 사용될 수 있다는 것이다.
결함 검출 방법은 달리 당업계에 공지된 임의의 적절한 결함 검출 방법을 포함할 수 있다. 하나의 그러한(매우 간단한) 예에서, 결함 검출 방법은 대응하는 다이 내 위치에서 생성된 검사 서브시스템의 출력을 감산하고 감산의 결과에 문턱값을 적용하는 것을 포함할 수 있다. 문턱값을 초과하는 임의의 출력은 결함 검출 방법에 의해 결함(또는 잠재적 결함)으로 결정될 수 있지만 문턱값 미만인 임의의 출력은 잠재적 결함으로서 식별되지 않을 수 있다.
컴퓨터 서브시스템(들)은 본 명세서에 설명된 임의의 다른 결과와 함께 그리고 당업계에 공지된 임의의 방식으로 제2 프로세스 정보를 저장하도록 구성될 수 있다. 컴퓨터 서브시스템(들)은 본 명세서에 설명된 임의의 저장 매체 또는 당업계에 공지된 임의의 다른 적합한 저장 매체에 본 명세서에 설명된 임의의 다른 정보 또는 결과 및 제2 프로세스 정보를 저장할 수 있다. 정보가 저장된 후, 정보는 저장 매체에서 액세스되고 여기에 설명된 방법 또는 시스템 실시예 중 임의의 것에 의해 사용되고, 사용자에게 디스플레이되도록 포맷되며, 다른 소프트웨어 모듈, 방법 또는 시스템 등에 의해 사용될 수 있다. 예를 들어, 컴퓨터 서브시스템(들)은 제2 프로세스 정보를 사용하여 여기에 설명된 프로세스 레시피를 생성하거나 변경할 수 있다. 그런 다음, 해당 프로세스 레시피는 시스템 또는 방법(또는 다른 시스템 또는 방법)에 의해 저장되고 사용되어 시편 또는 다른 시편에 대해 프로세스를 수행하여 어떤 방식으로든 시편 또는 다른 시편을 변경할 수 있다.
본 명세서에 설명된 실시예에 의해 생성된 제2 프로세스 정보 및 다른 결과 및 정보는 본 명세서에 설명된 실시예 및/또는 다른 시스템 및 방법에 의해 다양한 방식으로 사용될 수 있다. 이러한 기능은, 예를 들어, 피드백 방식 또는 피드포워드 방식으로 정보가 결정된 시편 또는 다른 시편에 대해 수행되었거나 수행될 제조 프로세스 또는 단계와 같은 프로세스를 변경하는 것을 포함하지만 이에 제한되지는 않는다. 예를 들어, 본 명세서에 설명된 컴퓨터 서브시스템(들)은 본 명세서에 설명된 바와 같이 정보가 결정된 시편에 대해 수행된 프로세스 및/또는 제2 프로세스 정보에 기초하여 시편에 대해 수행될 프로세스에 대한 하나 이상의 변경을 결정하도록 구성될 수 있다. 프로세스에 대한 변경은 프로세스의 하나 이상의 파라미터에 대한 임의의 적절한 변경을 포함할 수 있다.
컴퓨터 서브시스템(들)은 이러한 변경을 결정하여 제어 한계를 벗어나 동작했을 수 있는 프로세스가 제어 내로 복귀될 수 있다. 예를 들어, 컴퓨터 서브시스템(들)은 프로세스의 설정을 제2 프로세스 정보로서 결정하고, 그 설정을 사용하여, 그 설정이 제어 한계를 벗어나는지 여부를 결정하고, 제어 한계를 벗어나는 것으로 결정되는 경우, 어떻게 그것을 제어 내로 복귀시킬 것인지를 결정할 수 있다. 다른 예에서, 컴퓨터 서브시스템(들)은 제1 및/또는 제2 피처의 계측-유사 특성을 제1 및/또는 제2 정보로서 결정할 수 있고, 이는 시편 상에서 수행되는 프로세스가 그 계측-유사 특성의 허용 가능한 값(들)을 갖는 시편(들)을 생성하는지 여부를 결정하는데 사용될 수 있다. 프로세스가 제1 및/또는 제2 프로세스 정보에 기초해 제어를 벗어난 것으로 결정되면, 컴퓨터 서브시스템(들)은 프로세스로 하여금 계측-유사 특성의 허용 가능한 값(들)을 가진 시편(들)을 제조하게 할 프로세스에 대한 하나 이상의 변경을 결정할 수 있다. 여기에 설명된 컴퓨터 서브시스템(들)은 당업계에 알려진 임의의 적절한 방식으로 위에서 설명된 임의의 변경을 결정할 수 있다.
그런 다음, 이러한 변경은 반도체 제조 시스템(미도시) 또는 컴퓨터 서브시스템(들) 및 반도체 제조 시스템에 액세스 가능한 저장 매체(미도시)로 전송될 수 있다. 반도체 제조 시스템은 여기에 설명된 시스템 실시예의 일부일 수도 있고 아닐 수도 있다. 예를 들어, 여기에 설명된 컴퓨터 서브시스템(들), 검사 서브시스템, 계측 도구 등은 예를 들어, 하우징, 전력 공급 디바이스, 시편 핸들링 디바이스 또는 메커니즘 등과 같은 하나 이상의 공통 요소를 통해 반도체 제조 시스템에 결합될 수 있다. 반도체 제조 시스템은 예를 들어, 리소그래피 도구, 에칭 도구, 화학-기계적 연마(chemical-mechanical polishing; CMP) 도구, 퇴적 도구 등과 같은 당업계에 공지된 임의의 반도체 제조 시스템을 포함할 수 있다.
제2 프로세스 정보 또는 여기에 설명된 다른 정보를 저장 매체에 단순히 저장하는 것보다, 컴퓨터 서브시스템(들)은 그러한 정보를 레시피에 저장하거나 해당 정보에 기초하여 수행될 프로세스에 대한 레시피를 생성함으로써 그러한 정보를 저장할 수 있다. 여기에서 사용된 용어 "레시피"는 시편에 대한 프로세스를 수행하기 위해 도구에 의해 사용될 수 있는 명령어 세트로서 정의된다. 이러한 방식으로, 레시피를 생성하는 것은 프로세스가 어떻게 수행되어야 하는지에 대한 정보를 생성하는 것을 포함할 수 있으며, 이는 그런 다음, 해당 프로세스를 수행하기 위한 명령어를 생성하기 위해 사용될 수 있다. 컴퓨터 서브시스템(들)은 또한 제2 프로세스 정보(예컨대, 파일명 및 저장 위치)를 식별, 액세스 및/또는 사용하기 위해 사용될 수 있는 제2 프로세스 정보에 대한 임의의 정보를 저장할 수 있다.
따라서, 본 명세서에 설명된 바와 같이, 실시예는 새로운 프로세스 또는 레시피를 설정하기 위해 사용될 수 있다. 실시예는 또한 기존 프로세스 또는 레시피를 수정하기 위해 사용될 수 있다. 여기에 설명된 실시예는 제조 레시피 또는 프로세스 생성 또는 수정으로 제한되지 않는다. 예를 들어, 여기에 설명된 실시예는 유사한 방식으로 계측, 결함 검토 등을 위한 레시피 또는 프로세스를 설정하거나 수정하는 데에도 사용될 수 있다. 따라서, 본 명세서에 설명된 실시예는 제조 프로세스를 설정하거나 수정하기 위해서뿐만 아니라 본 명세서에 설명된 시편 및 그러한 프로세스의 임의의 파라미터에 대해 수행되는 임의의 품질 제어 유형 프로세스를 설정하거나 수정하기 위해서도 사용될 수 있다.
시스템들 각각의 실시예들 각각은 하나의 단일 실시예로 함께 결합될 수 있다.
다른 실시예는 시편에 대한 정보를 결정하기 위한 컴퓨터 구현 방법에 관한 것이다. 방법은 여기서 설명된 바와 같이 구성된 하나 이상의 출력 획득 서브시스템에 의해 시편으로부터 검출된 에너지에 응답하여 출력을 생성하는 단계를 포함한다. 방법은 또한 본 명세서에 설명된 실시예 중 임의의 것에 따라 수행될 수 있는 제1 프로세스 정보 및 제2 프로세스 정보를 결정하는 단계를 포함한다. 제1 및 제2 프로세스 정보를 결정하는 단계는 하나 이상의 출력 획득 서브시스템에 결합된 하나 이상의 컴퓨터 서브시스템에 의해 수행된다.
본 발명의 방법의 각각의 단계는 본 명세서에 추가로 설명된 바와 같이 수행될 수 있다. 본 방법은 또한 본 명세서에 설명된 출력 획득 서브시스템(들), 컴퓨터 서브시스템(들), 검사 서브시스템, 계측 도구, 및/또는 시스템(들)에 의해 수행될 수 있는 임의의 다른 단계(들)를 포함할 수 있다. 하나 이상의 컴퓨터 서브시스템은 본 명세서에서 설명된 실시예들 중 임의의 것에 따라 구성될 수 있다. 또한, 전술된 방법은 본 명세서에 설명된 임의의 시스템 실시예에 의해 수행될 수 있다.
추가의 실시예는 시편에 대한 정보를 결정하기 위한 컴퓨터 구현 방법을 수행하기 위해 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비 일시적 컴퓨터 판독 가능 매체에 관한 것이다. 하나의 이러한 실시예가 도 8에 도시되어 있다. 특히, 도 8에 도시된 바와 같이, 비일시적 컴퓨터 판독 가능 매체(800)는 컴퓨터 시스템(804) 상에서 실행 가능한 프로그램 명령어(802)를 포함한다. 컴퓨터 구현 방법은 본 명세서에 설명된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다.
본 명세서에 설명된 것과 같은 방법을 구현하는 프로그램 명령어(802)는 컴퓨터 판독 가능한 매체(800) 상에 저장될 수 있다. 컴퓨터 판독 가능 매체는 예를 들어, 자기 디스크 또는 광학 디스크, 자기 테이프, 또는 당 기술 분야에 공지된 임의의 다른 적합한 비일시적 컴퓨터 판독 가능 매체와 같은 저장 매체일 수 있다.
프로그램 명령어는, 무엇보다도, 절차 기반 기술, 컴포넌트 기반 기술, 및/또는 객체 지향 기술을 포함하는 다양한 방식 중 임의의 것으로 구현될 수 있다. 예를 들어, 프로그램 명령은 원하는 바에 따라, ActiveX 콘트롤, C++ 객체, JavaBeans, 마이크로소프트 파운데이션 클라스들(Microsoft Foundation Classes; "MFC"), SSE(Streaming SIMD Extension) 또는 다른 기술 또는 방법론을 사용하여 구현될 수 있다.
컴퓨터 시스템(804)은 본 명세서에 설명된 임의의 실시예에 따라 구성될 수 있다.
본 발명의 다양한 양상의 추가의 수정 및 대안 실시예가 이 설명의 견지에서 당 기술 분야의 숙련자들에게 명백할 것이다. 예를 들어, 시편에 대한 정보를 결정하기 위한 방법 및 시스템이 제공된다. 따라서, 이러한 설명은 단지 예시적인 것으로 해석되어야 하며, 당업자가 본 발명을 실시하는 일반적인 방법을 교시하기 위한 것이다. 본 명세서에 도시되어 있고 설명되어 있는 발명의 형태는 현재 바람직한 실시예로서 취해져야 한다는 것이 이해되어야 한다. 모두 본 발명의 이 설명의 이익을 가진 후에 당 기술 분야의 숙련자에게 명백할 수 있는 바와 같이, 요소 및 재료는 본 명세서에 예시되어 있고 설명되어 있는 것들에 대해 치환될 수 있고, 부분 및 프로세스는 역전될 수 있고, 본 발명의 특정 피처는 독립적으로 사용될 수 있다. 변경이 이하의 청구항들에 설명된 바와 같은 발명의 사상 및 범주로부터 벗어나지 않고 본 명세서에 설명된 요소에 이루어질 수 있다.

Claims (25)

  1. 시편(specimen)에 대한 정보를 결정하도록 구성된 시스템에 있어서,
    시편으로부터 검출된 에너지에 응답하여 출력을 생성하도록 구성된 하나 이상의 출력 획득 서브시스템 - 상기 하나 이상의 출력 획득 서브시스템은, 상기 에너지가 상기 시편 위에서 스캐닝되는 동안 상기 시편으로부터 검출된 에너지에 응답하여 상기 출력의 적어도 일 부분을 생성하도록 구성된 검사 서브시스템을 포함함 - ; 및
    하나 이상의 컴퓨터 서브시스템
    을 포함하고, 상기 하나 이상의 컴퓨터 서브시스템은,
    상기 출력으로부터, 상기 시편 상의 하나 이상의 제1 영역에 형성된 하나 이상의 제1 피처(feature)에 대한 제1 프로세스 정보를 결정하고;
    상기 출력 및 상기 제1 프로세스 정보의 적어도 일 부분으로부터, 상기 시편 상의 하나 이상의 제2 영역에 형성된 하나 이상의 제2 피처에 대한 제2 프로세스 정보를 결정하도록 - 상기 제2 프로세스 정보의 적어도 일 부분은 상기 제1 프로세스 정보와는 다른 유형의 정보이고, 상기 하나 이상의 제2 피처에 대한 설계의 적어도 일 부분은 상기 하나 이상의 제1 피처에 대한 설계와는 다르며, 상기 하나 이상의 제1 영역과 상기 하나 이상의 제2 영역은 상기 시편 상에서 상호 배타적임 -
    구성되는 것인, 시편에 대한 정보를 결정하도록 구성된 시스템.
  2. 제1항에 있어서, 상기 제1 프로세스 정보를 결정하기 위해 사용되는 출력은 상기 검사 서브시스템에 의해 생성된 출력만을 포함하고, 상기 제2 프로세스 정보를 결정하기 위해 사용되는 출력은 상기 검사 서브시스템에 의해 생성된 출력만을 포함하는 것인, 시스템.
  3. 제2항에 있어서, 상기 제1 프로세스 정보 및 상기 제2 프로세스 정보를 결정하기 위해 사용되는 출력은 상기 시편의 동일한 스캔에서 생성되는 것인, 시스템.
  4. 제1항에 있어서, 상기 하나 이상의 출력 획득 서브시스템은 상기 시편 상의 측정 지점에서 측정을 수행함으로써 상기 출력의 적어도 다른 일 부분을 생성하도록 구성된 계측 도구를 더 포함하고, 상기 제1 프로세스 정보를 결정하기 위해 사용되는 출력은 상기 출력의 적어도 다른 일 부분만을 포함하며, 상기 제2 프로세스 정보를 결정하기 위해 사용되는 출력은 상기 출력의 적어도 일 부분을 포함하는 것인, 시스템.
  5. 제1항에 있어서, 상기 제1 프로세스 정보를 결정하기 위해 사용되는 출력은, 상기 하나 이상의 제2 피처가 상기 시편 상에 형성될 때까지 생성되지 않는 것인, 시스템.
  6. 제1항에 있어서, 상기 제2 프로세스 정보는 상기 시편에 대해 수행되는 프로세스의 설정을 포함하는 것인, 시스템.
  7. 제1항에 있어서, 상기 제2 프로세스 정보는 상기 하나 이상의 제2 피처의 특성을 포함하는 것인, 시스템.
  8. 제1항에 있어서, 상기 하나 이상의 제1 영역은 상기 제1 프로세스 정보에서 시편 레벨 변화를 캡처하도록 선택되는 것인, 시스템.
  9. 제1항에 있어서, 상기 하나 이상의 제1 영역은 상기 제1 프로세스 정보에서 다이 레벨 변화를 캡처하도록 선택되는 것인, 시스템.
  10. 제1항에 있어서, 상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 검사 서브시스템에 의해 생성된 출력의 적어도 일 부분을, 상기 제1 프로세스 정보 및 상기 제2 프로세스 정보 중 하나 이상을 사용해 수정하여, 수정된 출력을 생성하고 상기 수정된 출력에 결함 검출 방법을 적용함으로써 상기 시편 상의 결함을 검출하도록 구성되는 것인, 시스템.
  11. 제1항에 있어서, 상기 하나 이상의 컴퓨터 서브시스템은 또한, 경험적으로 결정된 관계를 사용하여 상기 제1 프로세스 정보 및 상기 제2 프로세스 정보 중 하나 이상을 결정하도록 구성되는 것인, 시스템.
  12. 제1항에 있어서, 상기 하나 이상의 컴퓨터 서브시스템은 또한, 정밀 모델(rigorous model)을 사용하여 상기 제1 프로세스 정보 및 상기 제2 프로세스 정보 중 하나 이상을 결정하도록 구성되는 것인, 시스템.
  13. 제1항에 있어서, 상기 제2 프로세스 정보를 결정하는 것은 상기 하나 이상의 제1 영역의 하나 이상의 위치로부터 상기 하나 이상의 제2 영역의 하나 이상의 위치까지의 상기 제1 프로세스 정보의 내삽(interpolation)을 포함하는 것인, 시스템.
  14. 제1항에 있어서, 상기 제2 프로세스 정보를 결정하는 것은 상기 하나 이상의 제1 영역의 하나 이상의 위치로부터 상기 하나 이상의 제2 영역의 하나 이상의 위치까지의 상기 제1 프로세스 정보의 외삽(extrapolation)을 포함하는 것인, 시스템.
  15. 제1항에 있어서, 상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 시편에 대한 설계 및 상기 시편에 대해 수행된 하나 이상의 프로세스에 대한 정보에 기초하여, 상기 제1 프로세스 정보, 상기 하나 이상의 제1 피처, 상기 하나 이상의 제1 영역, 상기 하나 이상의 제2 피처, 및 상기 하나 이상의 제2 영역을 선택하도록 구성되는 것인, 시스템.
  16. 제1항에 있어서, 상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 시편에 대한 설계 및 상기 시편에 대해 수행된 하나 이상의 프로세스에 대한 정보에 기초하여, 상기 제1 프로세스 정보 및 상기 제2 프로세스 정보를 결정하기 위해 사용되는 하나 이상의 방법을 결정하도록 구성되는 것인, 시스템.
  17. 제1항에 있어서, 상기 제1 프로세스 정보를 결정하는 것은 상기 출력에 제1 방법을 적용하는 것을 포함하고, 상기 제2 프로세스 정보를 결정하는 것은 상기 출력 및 상기 제1 프로세스 정보의 적어도 일 부분에 제2 방법을 적용하는 것을 포함하며, 상기 제1 방법과 상기 제2 방법은 다른 것인, 시스템.
  18. 제1항에 있어서, 상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 제1 프로세스 정보 및 상기 제2 프로세스 정보를 결정하기 위한 단일 방법을 수행하도록 구성되는 것인, 시스템.
  19. 제18항에 있어서, 상기 단일 방법으로의 입력은 상기 하나 이상의 출력 획득 서브시스템에 의해 상기 시편에 대해 생성된 출력이고, 상기 단일 방법의 출력은 상기 제2 프로세스 정보인 것인, 시스템.
  20. 제1항에 있어서, 상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 출력으로부터, 상기 시편 상의 하나 이상의 제3 영역에 형성된 하나 이상의 제3 피처에 대한 제3 프로세스 정보를 결정하도록 구성되고, 상기 제1 프로세스 정보의 적어도 일 부분은 상기 제3 프로세스 정보와는 다르고, 상기 하나 이상의 제1 피처에 대한 상기 설계의 적어도 일 부분은 상기 하나 이상의 제3 피처에 대한 설계와는 다르고, 상기 하나 이상의 제1 영역, 상기 하나 이상의 제2 영역, 및 상기 하나 이상의 제3 영역은 상기 시편 상에서 상호 배타적이며, 상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 제3 프로세스 정보에 기초하여 상기 제1 프로세스 정보에 대한 정보를 결정하도록 구성되는 것인, 시스템.
  21. 제1항에 있어서, 상기 제1 영역 및 상기 제2 영역 중 하나 이상은 상기 시편 상에 형성되는 디바이스의 기능 영역에 배치되는 것인, 시스템.
  22. 제1항에 있어서, 상기 제1 영역 및 상기 제2 영역 중 하나 이상은 상기 시편 상에 형성되는 디바이스들 사이의 스크라이브 라인 영역(scribe line area)에 배치되는 것인, 시스템.
  23. 제1항에 있어서, 상기 검사 서브시스템은 또한, 광학 검사 서브시스템으로서 구성되는 것인, 시스템.
  24. 시편에 대한 정보를 결정하기 위한 컴퓨터 구현 방법을 수행하기 위해 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체에 있어서, 상기 컴퓨터 구현 방법은,
    하나 이상의 출력 획득 서브시스템에 의해 시편으로부터 검출된 에너지에 응답하여 출력을 생성하는 단계 - 상기 하나 이상의 출력 획득 서브시스템은, 상기 에너지가 상기 시편 위에서 스캐닝되는 동안 상기 시편으로부터 검출된 에너지에 응답하여 상기 출력의 적어도 일 부분을 생성하도록 구성된 검사 서브시스템을 포함함 - ;
    상기 출력으로부터, 상기 시편 상의 하나 이상의 제1 영역에 형성된 하나 이상의 제1 피처에 대한 제1 프로세스 정보를 결정하는 단계; 및
    상기 출력 및 상기 제1 프로세스 정보의 적어도 일 부분으로부터, 상기 시편 상의 하나 이상의 제2 영역에 형성된 하나 이상의 제2 피처에 대한 제2 프로세스 정보를 결정하는 단계 - 상기 제2 프로세스 정보의 적어도 일 부분은 상기 제1 프로세스 정보와는 다른 유형의 정보이고, 상기 하나 이상의 제2 피처에 대한 설계의 적어도 일 부분은 상기 하나 이상의 제1 피처에 대한 설계와는 다르고, 상기 하나 이상의 제1 영역과 상기 하나 이상의 제2 영역은 상기 시편 상에서 상호 배타적이며, 상기 제1 프로세스 정보 및 상기 제2 프로세스 정보를 결정하는 단계는 상기 컴퓨터 시스템에 의해 수행됨 -
    를 포함하는 것인, 시편에 대한 정보를 결정하기 위한 컴퓨터 구현 방법을 수행하기 위해 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체.
  25. 시편에 대한 정보를 결정하기 위한 컴퓨터 구현 방법에 있어서,
    하나 이상의 출력 획득 서브시스템에 의해 시편으로부터 검출된 에너지에 응답하여 출력을 생성하는 단계 - 상기 하나 이상의 출력 획득 서브시스템은, 상기 에너지가 시편 위에서 스캐닝되는 동안 상기 시편으로부터 검출된 에너지에 응답하여 상기 출력의 적어도 일 부분을 생성하도록 구성된 검사 서브시스템을 포함함 - ;
    상기 출력으로부터, 상기 시편 상의 하나 이상의 제1 영역에 형성된 하나 이상의 제1 피처에 대한 제1 프로세스 정보를 결정하는 단계; 및
    상기 출력 및 상기 제1 프로세스 정보의 적어도 일 부분으로부터, 상기 시편 상의 하나 이상의 제2 영역에 형성된 하나 이상의 제2 피처에 대한 제2 프로세스 정보를 결정하는 단계 - 상기 제2 프로세스 정보의 적어도 일 부분은 상기 제1 프로세스 정보와는 다른 유형의 정보이고, 상기 하나 이상의 제2 피처에 대한 설계의 적어도 일 부분은 상기 하나 이상의 제1 피처에 대한 설계와는 다르고, 상기 하나 이상의 제1 영역과 상기 하나 이상의 제2 영역은 상기 시편 상에서 상호 배타적이며, 상기 제1 프로세스 정보 및 상기 제2 프로세스 정보를 결정하는 단계는 상기 하나 이상의 출력 획득 서브시스템에 결합된 하나 이상의 컴퓨터 서브시스템에 의해 수행됨 -
    를 포함하는, 시편에 대한 정보를 결정하기 위한 컴퓨터 구현 방법.
KR1020227036412A 2020-03-20 2021-03-09 검사 도구를 사용한 시편에 대한 계측-유사 정보 결정 KR20220156596A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202062992423P 2020-03-20 2020-03-20
US62/992,423 2020-03-20
US17/194,173 2021-03-05
US17/194,173 US11221300B2 (en) 2020-03-20 2021-03-05 Determining metrology-like information for a specimen using an inspection tool
PCT/US2021/021598 WO2021188325A1 (en) 2020-03-20 2021-03-09 Determining metrology-like information for a specimen using an inspection tool

Publications (1)

Publication Number Publication Date
KR20220156596A true KR20220156596A (ko) 2022-11-25

Family

ID=77746670

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227036412A KR20220156596A (ko) 2020-03-20 2021-03-09 검사 도구를 사용한 시편에 대한 계측-유사 정보 결정

Country Status (6)

Country Link
US (1) US11221300B2 (ko)
EP (1) EP4122007A4 (ko)
KR (1) KR20220156596A (ko)
CN (1) CN115280479B (ko)
TW (1) TW202141210A (ko)
WO (1) WO2021188325A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022227049A1 (zh) * 2021-04-30 2022-11-03 京东方科技集团股份有限公司 产品不良信息处理、产品不良信息查询方法和装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US9046475B2 (en) * 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
JP6491677B2 (ja) 2014-06-10 2019-03-27 エーエスエムエル ネザーランズ ビー.ブイ. 計算的ウェーハ検査
US9341580B2 (en) 2014-06-27 2016-05-17 Applied Materials, Inc. Linear inspection system
US10267746B2 (en) 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US9658150B2 (en) 2015-01-12 2017-05-23 Kla-Tencor Corporation System and method for semiconductor wafer inspection and metrology
US9747520B2 (en) 2015-03-16 2017-08-29 Kla-Tencor Corporation Systems and methods for enhancing inspection sensitivity of an inspection tool
CN108139686B (zh) * 2015-10-12 2021-03-09 Asml荷兰有限公司 处理参数的间接确定
US10563973B2 (en) 2016-03-28 2020-02-18 Kla-Tencor Corporation All surface film metrology system
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US11074376B2 (en) 2017-04-26 2021-07-27 United Microelectronics Corp. Method for analyzing process output and method for creating equipment parameter model
US10699926B2 (en) * 2017-08-30 2020-06-30 Kla-Tencor Corp. Identifying nuisances and defects of interest in defects detected on a wafer
JP7348440B2 (ja) 2018-03-20 2023-09-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
US10714366B2 (en) * 2018-04-12 2020-07-14 Kla-Tencor Corp. Shape metric based scoring of wafer locations
US10620134B2 (en) * 2018-05-11 2020-04-14 Kla-Tencor Corp. Creating defect samples for array regions

Also Published As

Publication number Publication date
CN115280479B (zh) 2023-05-09
TW202141210A (zh) 2021-11-01
US20210293724A1 (en) 2021-09-23
CN115280479A (zh) 2022-11-01
EP4122007A1 (en) 2023-01-25
WO2021188325A1 (en) 2021-09-23
EP4122007A4 (en) 2024-02-21
US11221300B2 (en) 2022-01-11

Similar Documents

Publication Publication Date Title
KR102330735B1 (ko) 패터닝된 웨이퍼들 상의 결함들의 서브-픽셀 및 서브-해상도 로컬리제이션
US9830421B2 (en) Alignment of inspection to design using built in targets
US11010886B2 (en) Systems and methods for automatic correction of drift between inspection and design for massive pattern searching
US9767548B2 (en) Outlier detection on pattern of interest image populations
US10393671B2 (en) Intra-die defect detection
US9702827B1 (en) Optical mode analysis with design-based care areas
US11416982B2 (en) Controlling a process for inspection of a specimen
US11619592B2 (en) Selecting defect detection methods for inspection of a specimen
KR20220073766A (ko) 멀티 이미징 모드 이미지 정렬
KR20210118953A (ko) 테스트 이미지 대 설계물의 정렬을 위한 설계물 파일 선택
US10151706B1 (en) Inspection for specimens with extensive die to die process variation
US11221300B2 (en) Determining metrology-like information for a specimen using an inspection tool
US11748872B2 (en) Setting up inspection of a specimen
US11494895B2 (en) Detecting defects in array regions on specimens
US20240038558A1 (en) Metrology sampling plans for only out of specification detection
CN115210560B (zh) 确定用于样本扫描的焦点设置
KR20240026446A (ko) 표본 검사를 위한 케어 영역 설정

Legal Events

Date Code Title Description
E902 Notification of reason for refusal