KR20220155951A - Layer forming method - Google Patents

Layer forming method Download PDF

Info

Publication number
KR20220155951A
KR20220155951A KR1020220148055A KR20220148055A KR20220155951A KR 20220155951 A KR20220155951 A KR 20220155951A KR 1020220148055 A KR1020220148055 A KR 1020220148055A KR 20220148055 A KR20220148055 A KR 20220148055A KR 20220155951 A KR20220155951 A KR 20220155951A
Authority
KR
South Korea
Prior art keywords
precursor
layer
reactant
substrate
supplying
Prior art date
Application number
KR1020220148055A
Other languages
Korean (ko)
Inventor
치유 주
키란 쉬레스타
치 셰
부샨 조페
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/691,241 external-priority patent/US11056344B2/en
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20220155951A publication Critical patent/KR20220155951A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal

Abstract

Provided is a method for forming a layer which comprises the following steps of: depositing a seed layer on a substrate; and depositing a bulk layer on the seed layer. The step of depositing the seed layer comprises the following steps of: supplying a first precursor containing metal and halogen atoms to the substrate; and supplying a first reactant to the substrate. The step of depositing the bulk layer comprises the following steps of: supplying a second precursor containing metal and halogen atoms to the seed layer; and supplying a second reactant to the seed layer.

Description

층 형성 방법{LAYER FORMING METHOD}Layer forming method {LAYER FORMING METHOD}

관련 특허 출원의 상호 참조Cross references to related patent applications

본 출원은 2017년 8월 30일자로 출원되고 "층 형성 방법"으로 명명된 미국 비가출원 제 15/691,241호의 일부 계속 출원이며, 2017년 12월 18일자로 출원되고 "층 형성 방법"으로 명명된 미국 가출원 제 62/607,070호의 우선권을 주장하며, 양 특허 모두 본원에 참고로 원용된다.This application is a continuation-in-part of US Non-Application Serial No. 15/691,241, filed on August 30, 2017, entitled "Layer Formation Method", filed on December 18, 2017, and entitled "Layer Formation Method". Priority is claimed from US provisional application Ser. No. 62/607,070, both patents incorporated herein by reference.

기술분야technology field

본 개시는 일반적으로 기판 상에 층을 형성하는 방법에 관한 것이다. 특히, 본 개시는 피처 제조 중에 생성된 갭을 갖는 기판 상에 층의 적어도 일부를 형성하기 위해 원자층 증착(ALD) 사이클 또는 화학 기상 증착(CVD) 공정을 순차적으로 반복하는 것에 관한 것이다. 기판 상의 층은 반도체 소자의 제조에 사용될 수 있다.The present disclosure generally relates to a method of forming a layer on a substrate. In particular, the present disclosure relates to sequentially repeating atomic layer deposition (ALD) cycles or chemical vapor deposition (CVD) processes to form at least a portion of a layer on a substrate having gaps created during feature fabrication. The layer on the substrate can be used in the manufacture of semiconductor devices.

원자층 증착(ALD) 및 화학 기상 증착(CVD)에서, 기판은 기판 상의 원하는 층 안으로 반응하기에 적합한 제1 전구체 및 제1 반응물을 받게 된다. 층은 갭을 채우기 위해 기판 상의 피처의 제조 중에 생성된 갭 내에 증착될 수 있다.In atomic layer deposition (ALD) and chemical vapor deposition (CVD), a substrate is given a first precursor and a first reactant suitable for reacting into a desired layer on the substrate. A layer may be deposited within a gap created during fabrication of a feature on the substrate to fill the gap.

ALD에서, 기판은 제1 전구체의 펄스에 노출되고, 제1 전구체의 단층은 기판의 표면 상에 화학 흡착될 수 있다. 표면 부위는 제1 전구체의 전체 또는 분할에 의해 점유될 수 있다. 제1 전구체는 기판 표면 상에 이미 흡착된 제1 전구체 부분과 흡착하거나 반응하지 않기 때문에, 반응은 화학적으로 자기-제한적일 수 있다. 그 다음 제1 전구체의 과잉은 예를 들어, 비활성 가스 제공 및/또는 반응 챔버로부터 제1 전구체를 제거하는 것에 의해 퍼징된다. 순차적으로 기판은 제1 반응물 펄스에 노출되고, 이것이 흡착된 제1 전구체의 전체 또는 분할과 반응하여 최종적으로 반응이 완료되고 표면은 반응물의 단층으로 덮인다.In ALD, a substrate is exposed to a pulse of a first precursor, and a monolayer of the first precursor may be chemisorbed onto the surface of the substrate. The surface area may be occupied entirely or by division of the first precursor. Since the first precursor does not adsorb or react with portions of the first precursor already adsorbed on the substrate surface, the reaction may be chemically self-limiting. The excess of the first precursor is then purged, for example by providing an inert gas and/or removing the first precursor from the reaction chamber. Sequentially, the substrate is exposed to a first reactant pulse, which reacts with all or a fraction of the adsorbed first precursor to finally complete the reaction and cover the surface with a monolayer of the reactant.

증착층의 품질을 향상시킬 필요성이 있을 수 있다라는 사실이 발견되었다.It has been discovered that there may be a need to improve the quality of the deposited layer.

기판 상에 증착층을 형성하기 위한 개선된 방법에 대한 필요성이 있을 수 있다. 따라서, 층을 형성하는 방법이 제공될 수 있으며, 피처의 제조 중에 생성된 갭을 갖는 기판을 제공하는 단계 및 기판 상에 씨드층을 증착하는 단계; 및 씨드층 상에 벌크층을 증착하는 단계를 포함한다. 상기 씨드층을 증착하는 단계는, 금속 및 할로겐 원자를 포함하는 제1 전구체를 상기 기판에 공급하는 단계; 및 상기 기판에 제1 반응물을 공급하는 단계를 포함하되, 상기 제1 반응물과 상기 제1 전구체의 일부는 반응하여 상기 씨드층의 적어도 일부를 형성하는 단계를 포함할 수 있다. 상기 벌크층을 증착하는 단계는, 금속 및 할로겐 원자를 포함하는 제2 전구체를 상기 씨드층에 공급하는 단계; 및 상기 기판에 제2 반응물을 공급하는 단계를 포함하되, 상기 제2반응물과 상기 제2 전구체의 일부는 반응하여 상기 벌크층의 적어도 일부를 형성하는 단계를 포함할 수 있다. 제1 전구체과 제2 전구체는 상이할 수 있다.There may be a need for an improved method for forming a deposition layer on a substrate. Accordingly, a method of forming a layer may be provided, comprising providing a substrate having a gap created during fabrication of a feature and depositing a seed layer on the substrate; and depositing a bulk layer on the seed layer. Depositing the seed layer may include supplying a first precursor containing metal and halogen atoms to the substrate; and supplying a first reactant to the substrate, wherein the first reactant and a portion of the first precursor react to form at least a portion of the seed layer. Depositing the bulk layer may include supplying a second precursor containing metal and halogen atoms to the seed layer; and supplying a second reactant to the substrate, wherein the second reactant and a portion of the second precursor react to form at least a portion of the bulk layer. The first precursor and the second precursor may be different.

씨드층 및 벌크층에 대해 상이한 제1 및 제2 전구체를 가짐으로써, 씨드층 및 벌크층의 특성이 전체층의 품질이 개선될 수 있도록 최적화될 수 있다. 제1 반응물과 제2 반응물은 동일할 수 있고, 수소 원자를 포함할 수 있다.By having different first and second precursors for the seed and bulk layers, the properties of the seed and bulk layers can be optimized so that the quality of the entire layer can be improved. The first reactant and the second reactant may be the same and may include a hydrogen atom.

일부 다른 구현예에서, 반도체 공정 처리 방법이 제공된다. 상기 방법은 상기 기판 내 갭으로 금속층을 증착함으로써 상기 갭을 충진하는 단계를 포함한다.In some other implementations, a semiconductor processing method is provided. The method includes filling the gap in the substrate by depositing a metal layer into the gap.

본원에 개시된 발명의 이러한 그리고 기타 특징, 양태 및 장점은 특정 구현예의 도면을 참조하여 아래에 설명될 것이고, 이는 본 발명을 도시하고, 본 발명을 한정하기 위함은 아니다.
도 1a 및 도 1b는 일 구현예에 따라 층을 증착하는 방법을 도시하는 흐름도를 나타낸다.
도 2는 일 구현예에 따라 층으로 충진된 기판 상의 갭 구조의 단면을 나타낸다.
These and other features, aspects and advantages of the invention disclosed herein will be described below with reference to drawings of specific embodiments, which illustrate the invention and are not intended to limit it.
1A and 1B present a flow diagram illustrating a method of depositing a layer according to one implementation.
2 shows a cross-section of a gap structure on a substrate filled with layers according to one embodiment.

금속층은 반도체 소자의 전도층으로서 요구될 수 있다. 집적 회로 소자의 피처 제조 중에 생성된 갭은 금속층으로 채워질 수 있다. 갭은 그 깊이가 그 폭보다 훨씬 크므로 높은 종횡비를 가질 수 있다.A metal layer may be required as a conductive layer of a semiconductor device. Gaps created during feature fabrication of integrated circuit devices may be filled with metal layers. A gap can have a high aspect ratio because its depth is much greater than its width.

갭은 실질적으로 수평인 상부 표면을 갖는 이미 제조된 층에서 수직으로 연장될 수 있다. 금속으로 충진된 수직 방향의 갭은 예를 들어, 동적 랜덤 액세스 메모리(DRAM) 타입의 메모리 집적 회로의 워드 라인에 사용될 수 있다. 금속으로 충진된 수직 방향의 갭은 예를 들어, 로직 집적 회로에 또한 사용될 수 있다. 예를 들어, 금속 충진된 갭은 P형 금속 산화물 반도체(PMOS) 또는 상보형 금속 산화물 반도체(CMOS) 집적 회로 또는 소스/드레인 트렌치 접촉의 게이트 필로서 사용될 수 있다.The gap may extend vertically in an already prepared layer having a substantially horizontal top surface. Vertical gaps filled with metal may be used, for example, in word lines of memory integrated circuits of the dynamic random access memory (DRAM) type. Vertical gaps filled with metal can also be used, for example, in logic integrated circuits. For example, the metal filled gap may be used as a gate fill in a P-type metal oxide semiconductor (PMOS) or complementary metal oxide semiconductor (CMOS) integrated circuit or source/drain trench contact.

갭은 또한 이미 제조된 층에서 수평 방향으로 배열될 수 있다. 또한 갭은 그 깊이가 현재 수평 방향으로 그 폭보다 훨씬 크므로 높은 종횡비를 가질 수 있다. 금속으로 충진된 수평 방향의 갭은 예를 들어, 3D NAND 타입의 메모리 집적 회로의 워드 라인에 사용될 수 있다. 갭은 또한 수직 및 수평 방향의 조합으로 배열될 수 있다.The gaps can also be arranged in a horizontal direction in an already manufactured layer. Also, the gap can have a high aspect ratio because its depth is much greater than its width in the current horizontal direction. The metal-filled gap in the horizontal direction can be used, for example, in a word line of a 3D NAND type memory integrated circuit. Gaps can also be arranged in a combination of vertical and horizontal directions.

갭의 표면은 일종의 증착 물질을 포함할 수 있다. 대안적으로, 갭의 표면은 상이한 종류의 증착 물질을 포함할 수 있다. 갭의 표면은 예를 들어, 알루미늄산화물 및/또는 티타늄질화물을 포함할 수 있다. 예를 들어, 갭에 몰리브덴 전도층이 필요할 수 있는 경우, 갭 내의 상이한 재료 상에 몰리브덴을 증착하는 것이 어려울 수 있다. 몰리브덴층이 갭의 전체 표면을 덮고 완전한 갭을 충진하는 것이 요구될 수 있다. 몰리브덴층이 다른 종류의 재료를 포함하는 갭의 전체 표면을 덮을 수 있는 것이 더 요구될 수 있다.The surface of the gap may contain some kind of deposition material. Alternatively, the surface of the gap may include a different type of deposition material. The surface of the gap may include, for example, aluminum oxide and/or titanium nitride. For example, where a molybdenum conducting layer may be required in the gap, it may be difficult to deposit molybdenum on dissimilar materials within the gap. A molybdenum layer may be required to cover the entire surface of the gap and fill the gap completely. It may further be desired that the molybdenum layer be able to cover the entire surface of the gap containing other types of materials.

완전한 갭을 충진하기 위해, 씨드층이 갭 내에 증착될 수 있고 벌크층이 씨드층 상에 증착될 수 있다. 씨드층은 전처리 원자층 증착(ALD) 사이클을 순차적으로 반복함으로써 형성될 수 있다. 대안적으로, 씨드층은 화학 기상 증착(CVD) 공정에 의해 형성될 수 있다. CVD 공정은 펄스화될 수 있으되, 제1 전구체는 기판 상에 펄스로 공급되는 반면 제1 반응물을 기판에 연속적으로 또는 다른 방법으로 공급한다. 벌크층은 벌크 ALD 사이클을 순차적으로 반복함으로써 씨드층 상에 증착될 수 있다. 대안적으로, 벌크층은 CVD 공정에 의해 씨드층 상에 증착될 수 있다. CVD 공정은 펄스화될 수 있으되, 제2 전구체는 기판 상에 펄스로 공급되는 반면 제2 반응물을 기판에 연속적으로 또는 다른 방법으로 공급한다.To fill the complete gap, a seed layer can be deposited in the gap and a bulk layer can be deposited over the seed layer. The seed layer may be formed by sequentially repeating pretreatment atomic layer deposition (ALD) cycles. Alternatively, the seed layer may be formed by a chemical vapor deposition (CVD) process. The CVD process may be pulsed, wherein a first precursor is supplied in pulses to the substrate while a first reactant is supplied continuously or otherwise to the substrate. A bulk layer may be deposited on the seed layer by sequentially repeating the bulk ALD cycle. Alternatively, a bulk layer may be deposited on the seed layer by a CVD process. The CVD process may be pulsed, wherein the second precursor is supplied in pulses to the substrate while the second reactant is supplied continuously or otherwise to the substrate.

도 1a 및 도 1b는 씨드층이 갭 내에 증착되고 벌크층이 씨드층 상에 증착될 수 있는 구현예에 따른 층을 증착하는 방법을 도시하는 흐름도를 나타낸다. 씨드층에 대한 전처리 ALD 사이클(1)은 도 1a에 나타낼 수 있고, 벌크층에 대한 벌크 ALD 사이클(2)는 도 1b에 나타낼 수 있다.1A and 1B present a flow diagram illustrating a method of depositing a layer according to an implementation in which a seed layer may be deposited within the gap and a bulk layer may be deposited over the seed layer. A pretreatment ALD cycle (1) for the seed layer can be shown in FIG. 1A, and a bulk ALD cycle (2) for the bulk layer can be shown in FIG. 1B.

단계(3)에서 갭을 갖는 기판을 반응 챔버 내 제공한 후, 단계(5)에서 제1 공급 기간(T1) 동안 금속 및 할로겐 원자를 포함하는 제1 전구체가 기판에 공급될 수 있다(도 1a 참조). 순차적으로, 단계(7)에서 제1 제거 기간(R1) 동안 제1 전구체의 일부분을 반응 챔버로부터 제거, 예를 들어 퍼징함으로써, 기판으로의 제1 전구체의 부가 공급이 중단될 수 있다. 또한, 사이클은 제2 공급 기간(T2) 동안 기판에 제1 반응물을 공급하는 단계(9)를 포함할 수 있다. 제1 반응물과 제1 전구체의 일부는 기판 상의 씨드층의 적어도 일부를 형성하도록 반응할 수 있다. 정상적으로, 씨드층의 증착이 시작되기 전 소수의(약 50) 사이클이 걸릴 수 있다. 단계(11)에서 제2 제거 기간(R1) 동안 제1 반응물의 일부분을 반응 챔버로부터 제거, 예를 들어 퍼징함으로써, 기판으로의 제1 반응물의 부가 공급이 중단될 수 있다.After a substrate having a gap is provided in the reaction chamber in step (3), a first precursor including metal and halogen atoms may be supplied to the substrate during a first supply period (T1) in step (5) (FIG. 1A Reference). Sequentially, additional supply of the first precursor to the substrate may be stopped in step 7 by removing, eg, purging, a portion of the first precursor from the reaction chamber during the first removal period R1. Further, the cycle may include supplying the first reactant to the substrate during the second supply period T2 (step 9). The first reactant and a portion of the first precursor may react to form at least a portion of the seed layer on the substrate. Normally, it may take a few (about 50) cycles before deposition of the seed layer begins. In step 11, additional supply of the first reactant to the substrate may be stopped by removing, eg, purging, a portion of the first reactant from the reaction chamber during the second removal period R1.

제1 전구체 및 제1 반응물은 갭의 표면 상에 적절한 핵 형성을 갖도록 선택될 수 있다. 전처리 ALD 사이클(1)은 100 내지 1000, 바람직하게 200 내지 800, 보다 바람직하게 300 내지 600 중에서 선택된 N으로 씨드층을 증착하기 위해 N 회 반복될 수 있다. 씨드층은 1 내지 20, 바람직하게 2 내지 10, 보다 바람직하게 3 내지 7 nm의 두께를 가질 수 있다.The first precursor and first reactant may be selected to have appropriate nucleation on the surface of the gap. The pretreatment ALD cycle 1 may be repeated N times to deposit a seed layer with N selected from 100 to 1000, preferably 200 to 800, more preferably 300 to 600. The seed layer may have a thickness of 1 to 20, preferably 2 to 10, more preferably 3 to 7 nm.

전처리 이후 ALD 사이클(1)은 N 회 반복된다. 벌크 ALD 사이클(2)에서 제3 공급 기간(T3) 동안 단계(11)에서 금속 및 할로겐 원자를 포함하는 제2 전구체가 기판에 공급될 수 있다(도 1b 참조). 이는 도 1a의 전처리 ALD 사이클(1)과 동일한 반응 챔버 또는 상이한 반응 챔버에서 수행될 수 있다. 전처리 사이클에 대한 온도 요구 조건이 상이할 수 있을 때, 전처리 ALD 사이클과 다른 반응 챔버에서 벌크 ALD 사이클을 수행하는 것이 유리할 수 있다. 따라서 기판 이동은 필수일 수 있다. 순차적으로, 단계(13)에서 제3 제거 기간(R3) 동안 제2 전구체의 일부분을 반응 챔버로부터 제거, 예를 들어 퍼징함으로써, 기판으로의 제2 전구체의 부가 공급이 중단될 수 있다.After pretreatment, ALD cycle 1 is repeated N times. A second precursor including metal and halogen atoms may be supplied to the substrate in step 11 during the third supply period T3 in the bulk ALD cycle 2 (see FIG. 1B ). This may be performed in the same reaction chamber as the pretreatment ALD cycle 1 of FIG. 1A or in a different reaction chamber. When the temperature requirements for the pretreatment cycle may be different, it may be advantageous to perform the bulk ALD cycle in a different reaction chamber than the pretreatment ALD cycle. Substrate movement may therefore be necessary. Sequentially, additional supply of the second precursor to the substrate may be stopped by removing, eg, purging, a portion of the second precursor from the reaction chamber during the third removal period R3 in step 13 .

사이클은 제4 공급 기간(T4) 동안 기판에 제2 반응물을 공급하는 단계(15)를 더 포함할 수 있다. 제2 전구체 및 제2 반응물의 일부는 기판 상의 벌크층의 적어도 일부를 형성하도록 반응할 수 있다. 단계(17)에서 제4 제거 기간(R4) 동안 제2 반응물의 일부분을 반응 챔버로부터 제거, 예를 들어 퍼징함으로써, 기판으로의 제2 반응물의 부가 공급이 중단될 수 있다. 제2 전구체 및 제2 반응물은 예를 들어, 낮은 전기 비저항을 가지는 적절한 전자 특성을 갖도록 선택될 수 있다.   몰리브덴막은 3000 μΩ-cm 미만, 또는 1000 μΩ-cm 미만, 또는 500 μΩ-cm 미만, 또는 200 μΩ-cm 미만, 또는 100 μΩ-cm 미만, 또는 50 μΩ-cm 미만, 또는 25 μΩ-cm 미만, 또는 15 μΩ-cm 미만 또는 심지어 10 μΩ-cm 미만의 전기 비저항을 가질 수 있다.The cycle may further include a step 15 of supplying a second reactant to the substrate during a fourth supply period T4. A portion of the second precursor and second reactant may react to form at least a portion of a bulk layer on the substrate. Additional supply of the second reactant to the substrate may be stopped in step 17 by removing, eg, purging, a portion of the second reactant from the reaction chamber during the fourth removal period R4 . The second precursor and second reactant may be selected to have suitable electronic properties, such as low electrical resistivity. The molybdenum film is less than 3000 μΩ-cm, or less than 1000 μΩ-cm, or less than 500 μΩ-cm, or less than 200 μΩ-cm, or less than 100 μΩ-cm, or less than 50 μΩ-cm, or less than 25 μΩ-cm, or an electrical resistivity of less than 15 μΩ-cm or even less than 10 μΩ-cm.

벌크층에 대한 벌크 ALD 사이클(2)는 200 내지 2000, 바람직하게 400 내지 1200, 보다 바람직하게 600 내지 1000 중에서 선택된 M으로 M 회 반복될 수 있다. 벌크층은 1 내지 100, 바람직하게 5 내지 50, 보다 바람직하게 10 내지 30 nm의 두께를 가질 수 있다.The bulk ALD cycle (2) for the bulk layer may be repeated M times with M selected from 200 to 2000, preferably 400 to 1200, more preferably 600 to 1000. The bulk layer may have a thickness of 1 to 100, preferably 5 to 50, more preferably 10 to 30 nm.

제1 전구체와 제2 전구체는 동일한 금속 원자를 포함할 수 있다. 금속은 전이 금속 원자일 수 있다. 전이 금속 원자는 몰리브덴일 수 있다.The first precursor and the second precursor may include the same metal atom. The metal may be a transition metal atom. The transition metal atom may be molybdenum.

제1 전구체와 제2 전구체는 동일한 할로겐 원자를 포함할 수 있다. 할로겐 원자는 클로라이드일 수 있다. 동일한 할로겐을 가짐으로써 하나의 할로겐만 액세스될 필요가 있을 수 있으므로 팹에서 툴과 공정 자격이 단순화될 수 있다. 제1 전구체는 몰리브덴펜타클로라이드(MoCl5)를 포함할 수 있다.The first precursor and the second precursor may include the same halogen atom. A halogen atom can be a chloride. Having the same halogen can simplify tool and process qualification in the fab as only one halogen may need to be accessed. The first precursor may include molybdenum pentachloride (MoCl 5 ).

반응 챔버 내 공정 온도는 전처리 ALD 사이클 동안 300 내지 800, 바람직하게 400 내지 700, 보다 바람직하게 450 내지 550℃로 선택될 수 있다. 제1 전구체가 기화되는 용기는 40 내지 100, 바람직하게 60 내지 80, 보다 바람직하게 약 70℃로 유지될 수 있다.The process temperature in the reaction chamber may be selected between 300 and 800, preferably between 400 and 700, more preferably between 450 and 550° C. during the pretreatment ALD cycle. The vessel in which the first precursor is vaporized may be maintained at 40 to 100° C., preferably 60 to 80° C., more preferably about 70° C.

제2 전구체는 금속 또는 할로겐 원자가 아닌 부가의 원자를 포함할 수 있다. 추가의 원자는 칼코겐일 수 있다. 칼코겐은 산소, 황, 셀레늄, 또는 텔루륨일 수 있다. 제2 전구체는 몰리브덴(VI)디클로라이드디옥사이드(MoO2Cl2)를 포함할 수 있다.The second precursor may include additional atoms other than metal or halogen atoms. The additional atom may be a chalcogen. The chalcogen can be oxygen, sulfur, selenium, or tellurium. The second precursor may include molybdenum (VI) dichloride dioxide (MoO 2 Cl 2 ).

공정 온도는 벌크 ALD 사이클 동안 300 내지 800, 바람직하게 400 내지 700, 보다 바람직하게 500 내지 650℃일 수 있다. 제2 전구체가 기화되는 용기는 20 내지 150, 바람직하게 30 내지 120, 보다 바람직하게 약 40 내지 110℃로 유지될 수 있다.The process temperature may be 300 to 800, preferably 400 to 700, more preferably 500 to 650 °C during the bulk ALD cycle. The container in which the second precursor is vaporized may be maintained at 20 to 150° C., preferably 30 to 120° C., and more preferably about 40° C. to 110° C.

제1 전구체 및/또는 제2 전구체를 반응 챔버에 공급하는 것은 0.1 내지 10, 바람직하게 0.5 내지 5, 보다 바람직하게 0.8 내지 2 초 중에서 선택되는 지속 시간 T1, T3를 취할 수 있다. 예를 들어 T1은 1 초일 수 있고 T3는 1.3 초일 수 있다. 제1 전구체 또는 제2 전구체의 반응 챔버로의 유속은 50 내지 1000, 바람직하게 100 내지 500, 보다 바람직하게 200 내지 400 sccm 중에서 선택될 수 있다. 반응 챔버 내 압력은 0.1 내지 100, 바람직하게 1 내지 50, 보다 바람직하게 4 내지 20 Torr 중에서 선택될 수 있다.Feeding the first precursor and/or the second precursor to the reaction chamber may take a duration T1 , T3 selected from 0.1 to 10, preferably 0.5 to 5, more preferably 0.8 to 2 seconds. For example, T1 may be 1 second and T3 may be 1.3 seconds. The flow rate of the first precursor or the second precursor into the reaction chamber may be selected from 50 to 1000 sccm, preferably 100 to 500 sccm, and more preferably 200 to 400 sccm. The pressure in the reaction chamber may be selected from 0.1 to 100, preferably 1 to 50, more preferably 4 to 20 Torr.

제1 반응물 및 제2 반응물 중 하나 혹은 모두 수소 원자를 가질 수 있다. 제1 반응물 및 제2 반응물 중 적어도 하나는 수소(H2)를 포함할 수 있다. 제1 반응물과 제2 반응물은 동일할 수 있다. 제1 반응물 및/또는 제2 반응물을 반응 챔버에 공급하는 것은 0.5 내지 50, 바람직하게 1 내지 10, 보다 바람직하게 2 내지 8 초 중에서 선택되는 지속 시간 T2, T4를 취할 수 있다. 제1 반응물 또는 제2 반응물의 반응 챔버로의 유속은 50 내지 50000, 바람직하게 100 내지 20000, 보다 바람직하게 500 내지 10000 sccm일 수 있다.One or both of the first reactant and the second reactant may have a hydrogen atom. At least one of the first reactant and the second reactant may include hydrogen (H 2 ). The first reactant and the second reactant may be the same. Feeding the first reactant and/or the second reactant to the reaction chamber may take a duration T2, T4 selected from 0.5 to 50, preferably 1 to 10, more preferably 2 to 8 seconds. The flow rate of the first reactant or the second reactant into the reaction chamber may be 50 to 50000 sccm, preferably 100 to 20000 sccm, and more preferably 500 to 10000 sccm.

실란이 제1 반응물 및/또는 제2 반응물로 간주될 수 있다. 실란의 일반 조성식은 SixH2(x+2)(x는 정수값 1, 2, 3, 4...)으로 실란(SiH4), 디실란(Si2H6), 또는 트리실란(Si3H8)으로 수소 원자를 가지는 제1 반응물 및/또는 제2 반응물의 적절한 예가 될 수 있다.Silane can be considered a first reactant and/or a second reactant. The general formula for silane is Si x H2 (x+2) (where x is an integer value 1, 2, 3, 4...), such as silane (SiH 4 ), disilane (Si 2 H 6 ), or trisilane (Si 3 H 8 ) may be suitable examples of the first reactant and/or the second reactant having a hydrogen atom.

제1 전구체, 제1 반응물, 제2 전구체 및 제2 반응물 중 적어도 하나의 부분을 반응 챔버로부터 제거하는, 예를 들어 퍼징하는 기간 R1, R2, R3 또는 R4는 0.5 내지 50, 바람직하게 1 내지 10, 더욱 바람직하게 2 내지 8 초 사이에 행해질 수 있다. 퍼징은 제1 전구체를 기판에 공급한 후, 제1 반응물을 기판에 공급한 후, 제2 전구체를 씨드층에 공급한 후, 및 제1 전구체, 제1 반응물, 제2 전구체 및 제2 반응물 중 적어도 하나의 일부분을 반응 챔버로부터 상기 기간 R1, R2, R3 또는 R4 동안 제거하기 위해 씨드층에 제2 반응물을 공급한 후 사용될 수 있다. 제거는 펌핑 및/또는 퍼지 가스(purge gas)를 제공함으로써 달성될 수 있다. 퍼지 가스는 질소와 같은 비활성 가스일 수 있다.The period R1, R2, R3 or R4 for removing, for example purging, a portion of at least one of the first precursor, first reactant, second precursor and second reactant from the reaction chamber is from 0.5 to 50, preferably from 1 to 10 , more preferably between 2 and 8 seconds. Purging is performed after supplying the first precursor to the substrate, supplying the first reactant to the substrate, supplying the second precursor to the seed layer, and among the first precursor, the first reactant, the second precursor, and the second reactant. It may be used after supplying the second reactant to the seed layer to remove a portion of at least one from the reaction chamber during the period R1, R2, R3 or R4. Removal may be accomplished by pumping and/or providing a purge gas. The purge gas may be an inert gas such as nitrogen.

상기 방법은 단일 또는 배치 웨이퍼 ALD 장비 내에서 사용될 수 있다. 반응 챔버 내 기판을 제공하는 단계 및 상기 반응 챔버 내 전처리 ALD 사이클을 포함하는 상기 방법은 상기 반응 챔버 내 상기 기판으로 제1 전구체를 공급하는 단계; 상기 반응 챔버로부터 상기 제1 전구체의 일부분을 퍼징하는 단계; 상기 반응 챔버 내 상기 기판으로 상기 제1 반응물을 공급하는 단계; 및 상기 반응 챔버로부터 상기 제1 반응물의 일부분을 퍼징하는 단계를 포함할 수 있다. 또한 반응 챔버 내 상기 기판을 제공하는 단계 및 상기 반응 챔버 내 벌크 ALD 사이클을 포함하는 상기 방법은 상기 반응 챔버 내 상기 기판으로 제2 전구체를 공급하는 단계; 상기 반응 챔버로부터 상기 제2 전구체의 일부분을 퍼징하는 단계; 상기 반응 챔버 내 상기 기판으로 상기 제2 반응물을 공급하는 단계; 및 상기 반응 챔버로부터 상기 제2 반응물의 일부분을 퍼징하는 단계를 포함한다.The method can be used in single or batch wafer ALD equipment. The method comprising providing a substrate in a reaction chamber and a pretreatment ALD cycle in the reaction chamber includes supplying a first precursor to the substrate in the reaction chamber; purging a portion of the first precursor from the reaction chamber; supplying the first reactant to the substrate in the reaction chamber; and purging a portion of the first reactant from the reaction chamber. The method also comprising providing the substrate in a reaction chamber and a bulk ALD cycle in the reaction chamber includes supplying a second precursor to the substrate in the reaction chamber; purging a portion of the second precursor from the reaction chamber; supplying the second reactant to the substrate in the reaction chamber; and purging a portion of the second reactant from the reaction chamber.

ALD 공정을 수행하기 위해 구체적으로 설계된 예시적인 단일 웨이퍼 리액터는 상표명 Pulsar®, Emerald®, Dragon®, 및 Eagle®인 네덜란드 Almere의 ASM International NV사로부터 상업적으로 이용 가능하다. 상기 방법은 또한 배치 웨이퍼 리액터, 예로 수직형 퍼니스에서 수행될 수 있다. 예를 들어 증착 공정은 ASM International N.V.사로부터 이용 가능한 A412TM 수직형 퍼니스에서 수행될 수 있다. 이 퍼니스는 300 mm의 직경을 갖는, 150개의 반도체 기판, 또는 웨이퍼를 수용할 수 있는 공정 챔버를 가진다.Exemplary single wafer reactors specifically designed to perform ALD processes are commercially available from ASM International NV of Almere, The Netherlands under the tradenames Pulsar®, Emerald®, Dragon®, and Eagle®. The method can also be performed in a batch wafer reactor, eg a vertical furnace. For example, the deposition process can be performed in an A412 TM vertical furnace available from ASM International NV. This furnace has a process chamber that can accommodate 150 semiconductor substrates, or wafers, with a diameter of 300 mm.

웨이퍼 리액터는 컨트롤러 및 리액터를 제어할 수 있는 메모리를 제공받을 수 있다. 메모리는 컨트롤러 상에서 실행될 때 본 개시의 구현예에 따라 반응 챔버에서 전구체 및 반응물을 공급하는 프로그램으로 프로그래밍될 수 있다.The wafer reactor may be provided with a controller and a memory capable of controlling the reactor. The memory may be programmed with a program that, when executed on the controller, supplies precursors and reactants in the reaction chamber according to embodiments of the present disclosure.

도 2는 본 개시의 일 구현예에 따라 층으로 충진된 기판 상의 갭 구조의 단면을 나타낸다. 보여지는 대로 갭은 실질적으로 수평인 상부 표면을 갖는 이미 제조된 층에서 수직으로 연장될 수 있다.2 shows a cross-section of a gap structure on a layer-filled substrate according to one embodiment of the present disclosure. As can be seen the gap can extend vertically in an already prepared layer having a substantially horizontal top surface.

갭은 수직 또는 수직 방향으로 그 깊이가 그 폭보다 훨씬 크므로 높은 종횡비를 가질 수 있다. 예를 들어, 수직 방향에서, 갭은 상부에서 207 nm, 중간에서 169 nm 및 하부에서 149 nm의 폭을 갖는 반면, 갭의 깊이는 432 nm로 훨씬 더 크다. 예를 들어, 수평 방향에서, 상부로부터의 제1 갭은 34 nm의 폭을 가지며, 갭의 깊이는 163nm(반올림됨)에서 훨씬 더 크다. 갭의 종횡비(갭 깊이/갭 폭)는 약 2 초과, 약 5 초과, 약 10 초과, 약 20 초과, 약 50 초과, 약 75 초과 또는 일부 경우에서 약 100 초과 또는 약 150 초과 또는 약 200 초과일 수 있다.A gap can have a high aspect ratio because its depth is much greater than its width in the vertical or vertical direction. For example, in the vertical direction, the gap has a width of 207 nm at the top, 169 nm at the middle and 149 nm at the bottom, while the depth of the gap is much larger at 432 nm. For example, in the horizontal direction, the first gap from the top has a width of 34 nm, and the depth of the gap is even greater at 163 nm (rounded). wherein the aspect ratio of the gap (gap depth/gap width) is greater than about 2, greater than about 5, greater than about 10, greater than about 20, greater than about 50, greater than about 75 or in some cases greater than about 100 or greater than about 150 or greater than about 200; can

종횡비는 갭에 대해 결정하기 어려울 수 있지만, 이 문맥에서 종횡비는 웨이퍼 또는 웨이퍼 일부분의 평면 표면적에 대한 웨이퍼 또는 웨이퍼 일부분 내 갭의 전체 표면적의 비율일 수 있는 표면 향상 비(surface enhancement ratio)로 대체될 수 있다고 기술될 수 있다. 갭의 표면 향상 비(표면 갭/표면 웨이퍼)는 약 2 초과, 약 5 초과, 약 10 초과, 약 20 초과, 약 50 초과, 약 75 초과 또는 일부 경우에서 약 100 초과 또는 약 150 초과 또는 약 200 초과일 수 있다.An aspect ratio can be difficult to determine for a gap, but in this context aspect ratio is replaced by a surface enhancement ratio, which may be the ratio of the total surface area of a gap in a wafer or wafer portion to the planar surface area of the wafer or wafer portion. can be described as possible. The surface enhancement ratio of the gap (surface gap/surface wafer) is greater than about 2, greater than about 5, greater than about 10, greater than about 20, greater than about 50, greater than about 75 or in some cases greater than about 100 or greater than about 150 or about 200 may be excessive.

갭의 표면은 상이한 종류의 증착 물질(19, 21)을 포함할 수 있다. 표면은 예를 들어, Al2O3 또는 TiN을 포함할 수 있다.The surface of the gap may contain different types of deposition materials 19 and 21 . The surface may include, for example, Al 2 O 3 or TiN.

등각성 금속층(23)은 제1 전구체로 전처리 ALD 사이클을 순차적으로 반복함으로써 씨드층을 증착하고, 제2 전구체로 벌크 ALD 사이클을 순차적으로 반복함으로써 벌크층을 증착하는 것에 의해 갭의 표면 상에 증착된다. 사용된 방법의 세부 사항이 도 1a 및 1b 및 관련 설명에 나타난다. 일부 구현예에서, Mo을 포함하는 증착막은 약 50% 초과, 약 80% 초과, 약 90% 초과, 약 95% 초과, 약 98% 초과, 약 99% 초과의 스텝 커버리지를 가질 수 있다.A conformal metal layer 23 is deposited on the surface of the gap by depositing a seed layer by sequentially repeating a pretreatment ALD cycle with a first precursor and depositing a bulk layer by sequentially repeating a bulk ALD cycle with a second precursor. do. Details of the method used appear in FIGS. 1A and 1B and associated description. In some embodiments, the deposited film including Mo may have a step coverage greater than about 50%, greater than about 80%, greater than about 90%, greater than about 95%, greater than about 98%, greater than about 99%.

제1 전구체와 제2 전구체는 동일한 금속 원자, 예를 들면 몰리브덴과 같은 전이 금속 원자를 포함할 수 있다. 제1 전구체와 제2 전구체는 동일한 할로겐 원자, 예를 들어 클로라이드를 포함할 수 있다. 제1 전구체는 MoCl5를 포함할 수 있다. 제2 전구체는 금속 또는 할로겐 원자가 아닌 부가의 원자, 예를 들면 산소와 같은 칼코지나이드를 포함할 수 있다. 제2 전구체는 몰리브덴(VI)디클로라이드디옥사이드(MoO2Cl2)를 포함할 수 있다. 상기 방법은 원자층 증착 장치에서 수행될 수 있다. 예를 들어, 증착 공정은 EMERALD® XP ALD 장치에서 수행될 수 있다.The first precursor and the second precursor may include the same metal atom, for example, a transition metal atom such as molybdenum. The first precursor and the second precursor may include the same halogen atom, for example chloride. The first precursor may include MoCl5. The second precursor may include an additional atom other than a metal or halogen atom, for example a chalcogenide such as oxygen. The second precursor may include molybdenum (VI) dichloride dioxide (MoO 2 Cl 2 ). The method may be performed in an atomic layer deposition apparatus. For example, the deposition process can be performed on an EMERALD® XP ALD device.

제1 반응물 및 제2 반응물은 수소(H2)였고, 495 sccm의 유속으로 5 초의 지속 기간 T2, T4 동안 반응 챔버 내 공급되었다. 질소 퍼지 가스는 제1 전구체를 공급한 후, 제1 반응물을 공급한 후, 제2 전구체를 공급한 후, 및 제2 반응물을 5 초의 지속 시간 R1, R2, R3 또는 R4 동안 공급한 후 사용되었다.The first and second reactants were hydrogen (H 2 ) and were supplied into the reaction chamber at a flow rate of 495 sccm for durations T2 and T4 of 5 seconds. A nitrogen purge gas was used after feeding the first precursor, after feeding the first reactant, after feeding the second precursor, and after feeding the second reactant for a duration of 5 seconds R1, R2, R3, or R4 .

전처리 및 벌크 ALD 사이클 동안 공정 온도는 약 550℃였고 압력은 약 10 Torr였다. 제1 전구체가 안에서 기화된 용기는 약 70℃였다. 제2 전구체가 안에서 기화된 용기는 약 35℃였다.During the pretreatment and bulk ALD cycles, the process temperature was about 550° C. and the pressure was about 10 Torr. The container in which the first precursor was vaporized was about 70°C. The container in which the second precursor was vaporized was about 35°C.

전처리 ALD 사이클을 500 사이클 동안 사용하여 약 4.6 nm의 씨드층이 증착되었고, 벌크 ALD 사이클을 800 사이클 동안 사용하여 약 21.4 nm의 벌크층이 증착되었다. 보여지는 것처럼, 몰리브덴층(23)은 갭의 표면 위에 매우 균일하게 증착되고 총 두께는 약 26 nm이다.A seed layer of about 4.6 nm was deposited using a pretreatment ALD cycle for 500 cycles, and a bulk layer of about 21.4 nm was deposited using a bulk ALD cycle for 800 cycles. As can be seen, the molybdenum layer 23 is deposited very uniformly over the surface of the gap and has a total thickness of about 26 nm.

갭의 방향(그것이 수평 또는 수직이든지) 및 갭의 폭은 실질적으로 층(23)의 두께에 영향을 미치지 않는 것으로 보인다. 또한 표면의 재료(그것이 Al2O3(19) 또는 TiN(21)이든지)는 층(23)의 두께에 영향을 미치지 않는 것으로 보인다. 이러한 방식으로 양호한 균일성을 갖는 금속 충진된 갭을 생성하는 것이 가능해진다.The direction of the gap (whether horizontal or vertical) and the width of the gap do not appear to substantially affect the thickness of layer 23 . Also, the material of the surface (whether it is Al 2 O 3 (19) or TiN (21)) does not appear to affect the thickness of layer 23. In this way it becomes possible to create metal filled gaps with good uniformity.

상기 방법은 또한 공간 원자층 증착 장치에서 사용될 수 있다. 공간 ALD에서, 전구체 및 반응물은 상이한 물리적 섹션 내 연속적으로 공급되고, 기판은 섹션 사이를 이동한다. 기판의 존재 하에, 절반 반응(half-reaction)이 발생할 수 있는 적어도 2 개의 섹션이 제공될 수 있다. 상기 기판이 이러한 절반 반응 섹션에 있다면, 단층은 제1 전구체 또는 제2 전구체로부터 형성될 수 있다. 그 다음 기판이 제2 절반 반응 영역으로 이동되고, 거기서 하나의 ALD 단층을 형성하도록 제1 반응물 또는 제2 반응물로 ALD 사이클이 완수된다. 대안적으로, 기판 위치는 고정될 수 있고 가스 공급원은 이동될 수 있거나 또는 이들 둘의 조합일 수 있다. 보다 두꺼운 필름을 얻기 위해서 이 순서가 반복될 수 있다.The method can also be used in a spatial atomic layer deposition apparatus. In spatial ALD, precursors and reactants are supplied sequentially in different physical sections, and the substrate moves between sections. In the presence of the substrate, at least two sections can be provided in which a half-reaction can occur. If the substrate is in this half reaction section, a monolayer may be formed from either the first precursor or the second precursor. The substrate is then moved to the second half reaction zone, where the ALD cycle is completed with either the first reactant or the second reactant to form one ALD monolayer. Alternatively, the substrate position can be fixed and the gas source can be moved or a combination of the two. This sequence can be repeated to obtain thicker films.

공간 ALD 장치 내 한 구현예에 따라 상기 방법은,According to one embodiment in a spatial ALD device, the method comprises:

복수 개의 섹션(각 섹션은 가스 커튼에 의해 근접 섹션으로부터 분리됨)을 포함하는 반응 챔버에 상기 기판을 위치시키는 단계;positioning the substrate in a reaction chamber comprising a plurality of sections, each section separated from proximate sections by a gas curtain;

상기 제1 전구체를 상기 반응 챔버의 제1 섹션 내 상기 기판에 공급하는 단계;supplying the first precursor to the substrate in a first section of the reaction chamber;

가스 커튼을 통해 상기 기판 표면을 상기 반응 챔버에 대해 상기 반응 챔버의 제2 섹션으로 측방향 이동시키는 단계;laterally moving the substrate surface relative to the reaction chamber into a second section of the reaction chamber through a gas curtain;

상기 제1 반응물을 상기 씨드층을 형성하기 위해 상기 반응 챔버의 상기 제2 섹션 내 상기 기판으로 공급하는 단계;supplying the first reactant to the substrate in the second section of the reaction chamber to form the seed layer;

가스 커튼을 통해 상기 기판 표면을 상기 반응 챔버에 대해 측방향 이동시키는 단계; 및laterally moving the substrate surface relative to the reaction chamber through a gas curtain; and

상기 씨드층을 형성하기 위해 상기 반응 챔버에 대해 상기 기판 표면의 측방향 이동을 포함하는 상기 반응물과 상기 제1 전구체를 공급하는 것을 반복하는 단계를 포함한다.and repeating supplying the reactant and the first precursor comprising lateral movement of the substrate surface relative to the reaction chamber to form the seed layer.

벌크층을 형성하기 위해 상기 방법은,To form the bulk layer, the method,

복수 개의 섹션(각 섹션은 가스 커튼에 의해 근접 섹션으로부터 분리됨)을 포함하는 반응 챔버에 상기 기판을 위치시키는 단계;positioning the substrate in a reaction chamber comprising a plurality of sections, each section separated from proximate sections by a gas curtain;

상기 제2 전구체를 상기 반응 챔버의 제1 섹션 내 상기 기판에 공급하는 단계;supplying the second precursor to the substrate in the first section of the reaction chamber;

가스 커튼을 통해 상기 기판 표면을 상기 반응 챔버에 대해 상기 반응 챔버의 제2 섹션으로 측방향 이동시키는 단계;laterally moving the substrate surface relative to the reaction chamber into a second section of the reaction chamber through a gas curtain;

상기 제2 반응물을 상기 씨드층을 형성하기 위해 상기 반응 챔버의 상기 제2 섹션 내 상기 기판으로 공급하는 단계;supplying the second reactant to the substrate in the second section of the reaction chamber to form the seed layer;

가스 커튼을 통해 상기 기판 표면을 상기 반응 챔버에 대해 측방향 이동시키는 단계; 및laterally moving the substrate surface relative to the reaction chamber through a gas curtain; and

상기 벌크층을 형성하기 위해 상기 반응 챔버에 대해 상기 기판 표면의 측방향 이동을 포함하는 상기 반응물 및 상기 제2 전구체를 공급하는 것을 반복하는 단계를 더 포함한다.and repeating supplying the reactant and the second precursor comprising lateral movement of the substrate surface relative to the reaction chamber to form the bulk layer.

제1 전구체와 제2 전구체는 상이할 수 있다. 제1 반응물과 제2 반응물은 동일할 수 있고, 수소 원자를 포함할 수 있다.The first precursor and the second precursor may be different. The first reactant and the second reactant may be the same and may include a hydrogen atom.

일 구현예에 따라, 씨드층은 화학 기상 증착(CVD) 공정으로 증착될 수 있으되, 제1 전구체 및 제1 반응물은 동시에 기판으로 공급된다. 벌크층은 CVD 공정으로 증착될 수 있으되, 제2 전구체 및 제2 반응물이 기판으로 동시에 공급될 수도 있다.According to an embodiment, the seed layer may be deposited by a chemical vapor deposition (CVD) process, and the first precursor and the first reactant are simultaneously supplied to the substrate. The bulk layer may be deposited by a CVD process, but the second precursor and the second reactant may be simultaneously supplied to the substrate.

CVD 공정은 펄스화된 CVD 공정일 수 있으되, 전구체는 기판으로 펄스 공급되는 반면 반응물은 기판으로 연속 공급한다. 이점은 반응물의 농도가 높을수록 할로겐 농도를 낮출 수 있다는 것일 수 있다. 할로겐의 고농도는 기판 상의 반도체 소자에 피해를 줄 수 있다.The CVD process may be a pulsed CVD process wherein precursors are pulsed to the substrate while reactants are continuously supplied to the substrate. An advantage may be that higher reactant concentrations may lower halogen concentrations. High concentrations of halogen can damage semiconductor devices on substrates.

예를 들어, 씨드층에 대한 펄스화 CVD 공정에서, 제1 전구체 몰리브덴펜타클로라이드(MoCl5)은 1 초의 펄스로 5 초의 퍼지 가스 유속과 교대로 제공될 수 있다. 제1 반응물 수소는 500 sccm의 유량으로 연속적으로 공급될 수 있고 기판은 550℃로 유지될 수 있다.For example, in a pulsed CVD process for the seed layer, the first precursor molybdenum pentachloride (MoCl5) may be provided in pulses of 1 second alternating with purge gas flow rates of 5 seconds. The first reactant hydrogen may be continuously supplied at a flow rate of 500 sccm and the substrate may be maintained at 550°C.

CVD 공정을 수행하기 위해 구체적으로 설계된 예시적인 단일 웨이퍼 리액터는 상표명 Dragon®인 네덜란드 알메르의 ASM International NV사로부터 상업적으로 이용 가능하다. 상기 방법은 또한 배치 웨이퍼 리액터, 예로 수직형 퍼니스에서 수행될 수 있다. 예를 들어 증착 공정은 ASM International N.V.사로부터 이용 가능한 A400TM 또는 A412TM 수직형 퍼니스에서 수행될 수 있다. 이 퍼니스는 150개의 반도체 기판, 또는 웨이퍼를 수용할 수 있는 공정 챔버를 가질 수 있다.An exemplary single wafer reactor specifically designed to perform the CVD process is commercially available from ASM International NV, Almere, The Netherlands, under the trademark Dragon®. The method can also be performed in a batch wafer reactor, eg a vertical furnace. For example, the deposition process may be performed in an A400 or A412 vertical furnace available from ASM International NV. This furnace may have a process chamber capable of holding 150 semiconductor substrates, or wafers.

3D NAND 메모리의 제조를 위해, 워드 라인은 낮은 비저항의 금속 충진을 필요로 하는 갭을 가질 수 있다. 기존 해결책은 CVD 텅스텐 갭 충진을 위한 씨드층으로서 TiN을 이용할 수 있다. 현재의 불소계 텅스텐 증착 공정에 있어서, WF6 전구체로부터의 불소는 확산될 수 있다. 불소 확산 및 확산된 불소에 의해 high-k Al2O3막의 공격을 방지하기 위해서 두꺼운(= 3 nm) TiN 장벽이 필요할 수 있다. 그러나, TiN막(3 nm에서 800 μΩ-cm)의 높은 비저항은 원치 않을 수 있는 TiN/W 스택 저항의 증가를 초래한다.For fabrication of 3D NAND memory, word lines may have gaps that require low resistivity metal filling. Existing solutions may use TiN as a seed layer for CVD tungsten gap filling. In current fluorine-based tungsten deposition processes, fluorine from the WF6 precursor can diffuse. A thick (= 3 nm) TiN barrier may be needed to prevent fluorine diffusion and attack of the high-k Al2O3 film by the diffused fluorine. However, the high resistivity of the TiN film (800 μΩ-cm at 3 nm) results in an undesirable increase in the TiN/W stack resistance.

불소가 없는 상태에서 낮은 비저항을 갖는 기판 상에 증착층을 형성하기 위한 개선된 방법이 필요할 수 있다. 따라서, 층을 형성하는 방법이 제공될 수 있으며, 피처의 제조 중에 생성된 갭을 갖는 기판을 제공하는 단계, 기판 상에 씨드층을 증착하는 단계; 및 씨드층 상에 벌크층을 증착하는 단계를 포함한다. 벌크층을 증착하는 단계는 텅스텐과 같은 전이 금속을 포함하는 제 2 전구체를 공급하여 씨드층의 상부 상에 벌크층을 증착시키는 단계를 포함할 수 있다.An improved method for forming deposited layers on substrates having low resistivity in the absence of fluorine may be needed. Accordingly, a method of forming a layer may be provided, comprising providing a substrate having a gap created during fabrication of a feature, depositing a seed layer on the substrate; and depositing a bulk layer on the seed layer. Depositing the bulk layer may include depositing the bulk layer on top of the seed layer by supplying a second precursor including a transition metal such as tungsten.

제2 전구체는 벌크층을 형성하기 위해 클로라이드와 같은 할로겐을 포함할 수 있다. 제2 전구체는 텅스텐(V)펜타클로라이드(WCl5) 또는 텅스테(VI)헥사클로라이드(WCl6)일 수 있다. 벌크층은 ALD 또는 CVD 모드 작동에서 텅스텐(V)펜타클로라이드(WCl5) 또는 텅스텐(VI)헥사클로라이드(WCl6)와 수소(H2)의 반응에 의해 증착될 수 있다. 예를 들어 WCl5의 반응은 450℃의 온도 및 40 Torr의 압력에서 수행될 수 있다. 전구체는 ALD 또는 CVD 모드 작동에서 제공될 수 있다.The second precursor may include a halogen such as chloride to form a bulk layer. The second precursor may be tungsten (V) pentachloride (WCl 5 ) or tungsten (VI) hexachloride (WCl 6 ). The bulk layer may be deposited by reaction of tungsten (V) pentachloride (WCl 5 ) or tungsten (VI) hexachloride (WCl 6 ) with hydrogen (H 2 ) in an ALD or CVD mode of operation. For example, the reaction of WCl 5 may be performed at a temperature of 450° C. and a pressure of 40 Torr. Precursors may be provided in ALD or CVD modes of operation.

씨드층은 수소와 반응하는 제1 전구체를 포함하는 몰리브덴으로 증착될 수 있다. 몰리브덴을 사용하는 씨드층의 비저항은 3 nm 두께에서 107 μΩ-cm으로 TiN층보다 훨씬 작다. 특히 15 nm의 스택 두께(30nm CD 구조에서의 갭-충진(gap-fill)과 동등함)에 대해, 이 방법을 사용하여 양호한 갭-충진(gap-fill)이 달성되었다. 씨드층의 상부 상에 벌크층을 증착하기 위해 텅스텐(V)펜타클로라이드(WCl5) 또는 텅스텐(VI)헥사클로라이드(WCl6)를 사용함으로써, 불소 사용 없이 및 낮은 비저항성을 여전히 가지면서 텅스텐층을 증착하는 것이 가능해진다. 씨드층용 전구체는 전이금속(예, 몰리브덴(MO)), 할로겐(예, 클로라이드(Cl)), 및 선택적으로 칼코지나이드(예, 산소(O))를 포함할 수 있다. 씨드층용 전구체는 예를 들어, 몰리브덴펜타클로라이드(MoCl5) 또는 몰리브덴(VI)디클로라이드디옥사이드(MoO2Cl2)일 수 있으며, 둘 다 수소와 반응한다. 몰리브덴펜타클로라이드(MoCl5)가 몰리브덴(VI)디클로라이드디옥사이드(MoO2Cl2)에 대해 사용된다면 수소의 부분 기압은 100 배나 더 낮을 수 있다.The seed layer may be deposited with molybdenum containing a first precursor that reacts with hydrogen. The resistivity of the seed layer using molybdenum is 107 μΩ-cm at a thickness of 3 nm, which is much smaller than that of the TiN layer. Good gap-fill was achieved using this method, especially for stack thicknesses of 15 nm (equivalent to gap-fill in 30 nm CD structures). By using tungsten (V) pentachloride (WCl 5 ) or tungsten (VI) hexachloride (WCl 6 ) to deposit a bulk layer on top of the seed layer, a tungsten layer without the use of fluorine and still having a low resistivity It becomes possible to deposit The precursor for the seed layer may include a transition metal (eg, molybdenum (MO)), a halogen (eg, chloride (Cl)), and optionally a chalcogenide (eg, oxygen (O)). The precursor for the seed layer may be, for example, molybdenum pentachloride (MoCl 5 ) or molybdenum (VI) dichloride dioxide (MoO 2 Cl 2 ), both of which react with hydrogen. If molybdenumpentachloride (MoCl 5 ) is used for molybdenum(VI) dichloride dioxide (MoO 2 Cl 2 ), the partial pressure of hydrogen can be 100 times lower.

몰리브덴 씨드층의 증착 속도는 사이클당 1.2

Figure pat00001
일 수 있다. 비교를 위해, 동일한 환경 하에서, TiN 씨드층의 증착 속도는 0.6
Figure pat00002
/사이클일 수 있다. 따라서 몰리브덴 씨드층의 증착 속도는 충분할 수 있다.The deposition rate of the molybdenum seed layer was 1.2 per cycle.
Figure pat00001
can be For comparison, under the same conditions, the deposition rate of the TiN seed layer is 0.6
Figure pat00002
/can be a cycle. Therefore, the deposition rate of the molybdenum seed layer may be sufficient.

씨드층 상에 증착된 금속은 구리일 수 있다. 제2 전구체는 구리를 포함할 수 있다. 제2 전구체는 벌크층을 형성하기 위해 클로라이드와 같은 할로겐을 포함할 수 있다. 제2 전구체는 이염화구리(II)(CuCl2) 또는 염화구리(CuCl)를 포함할 수 있다. 전구체는 수소와 반응하는 ALD 또는 CVD 모드 작동에서 제공될 수 있다.The metal deposited on the seed layer may be copper. The second precursor may include copper. The second precursor may include a halogen such as chloride to form a bulk layer. The second precursor may include copper (II) chloride (CuCl 2 ) or copper chloride (CuCl). The precursor may be provided in an ALD or CVD mode of operation in which it reacts with hydrogen.

씨드층 상에 증착된 금속은 전이 금속 또는 Ti, V, Cr, Mn, Nb, Mo, Ru, Rh, Pd, Ag, Hf, Ta, W, Re, Os, Ir 및 Pt의 군의 귀금속일 수 있다. 일부 구현예에서, 층은 코발트(Co) 또는 니켈(Ni)을 포함할 수 있다.The metal deposited on the seed layer may be a transition metal or a noble metal from the group of Ti, V, Cr, Mn, Nb, Mo, Ru, Rh, Pd, Ag, Hf, Ta, W, Re, Os, Ir and Pt. have. In some embodiments, the layer can include cobalt (Co) or nickel (Ni).

부가 구현예에서, 씨드층 또는 벌크층은 약 40 원자 백분율 미만, 약 30 원자 백분율 미만, 약 20 원자 백분율 미만, 약 10 원자 백분율 미만, 약 5 원자 백분율 미만, 또는 약 2 원자 백분율 미만의 산소를 포함할 수 있다. 또 다른 구현예에서, 씨드층 또는 벌크층은 약 30 원자 백분율 미만, 약 20 원자 백분율 미만, 약 10 원자 백분율 미만, 약 5 원자 백분율 미만, 또는 약 2 원자 백분율 미만, 또는 심지어 1 원자 백분율 미만의 수소를 포함할 수 있다.   일부 구현예에서, 씨드층 또는 벌크층은 약 10 원자 백분율 미만, 또는 5 원자 백분율 미만, 약 1 원자 백분율 미만, 또는 심지어 0.5 원자 백분율 미만의 할라이드 또는 클로라이드를 포함할 수 있다.     또 다른 구현예에서, 씨드층 또는 벌크층은 약 10 원자 백분율 미만, 약 5 원자 백분율 미만, 또는 약 2 원자 백분율 미만, 또는 약 1 원자 백분율 미만, 또는 심지어 0.5 원자 백분율 미만의 탄소를 포함할 수 있다. 본원에 개요된 구현예에서, 원소의 원자 백분율 농도는 러더퍼드 후방산란(RBS)을 사용하여 결정될 수 있다.In additional embodiments, the seed layer or bulk layer contains less than about 40 atomic percent, less than about 30 atomic percent, less than about 20 atomic percent, less than about 10 atomic percent, less than about 5 atomic percent, or less than about 2 atomic percent oxygen. can include In another embodiment, the seed layer or bulk layer has less than about 30 atomic percent, less than about 20 atomic percent, less than about 10 atomic percent, less than about 5 atomic percent, or less than about 2 atomic percent, or even less than 1 atomic percent. May contain hydrogen. In some embodiments, the seed layer or bulk layer can include less than about 10 atomic percent, or less than 5 atomic percent, less than about 1 atomic percent, or even less than 0.5 atomic percent halide or chloride. In yet another embodiment, the seed layer or bulk layer may comprise less than about 10 atomic percent, less than about 5 atomic percent, or less than about 2 atomic percent, or less than about 1 atomic percent, or even less than 0.5 atomic percent carbon. have. In the embodiments outlined herein, atomic percent concentrations of elements can be determined using Rutherford Backscattering (RBS).

본 개시의 일부 구현예에서, 반도체 소자 구조와 같은 반도체 소자 구조를 형성하는 단계는, 대략 4.9 eV보다 크거나, 대략 5.0 eV보다 크거나, 대략 5.1 eV보다 크거나, 대략 5.2 eV보다 크거나, 대략 5.3 eV보다 크거나, 심지어 대략 5.4 eV보다 큰 유효 일함수를 갖는 몰리브덴막을 포함하는 게이트 전극 구조를 형성하는 단계를 포함할 수 있다. 일부 구현예에서, 상기에서 주어진 유효 일함수 값은 대략 100

Figure pat00003
미만, 또는 대략 50
Figure pat00004
미만, 또는 대략 40
Figure pat00005
미만, 또는 심지어 대략 30
Figure pat00006
미만의 두께를 갖는 몰리브덴막을 포함하는 전극 구조에서 입증될 수 있다.In some implementations of the present disclosure, forming a semiconductor device structure, such as a semiconductor device structure, is greater than approximately 4.9 eV, greater than approximately 5.0 eV, greater than approximately 5.1 eV, greater than approximately 5.2 eV, forming a gate electrode structure comprising a molybdenum film having an effective work function greater than about 5.3 eV, or even greater than about 5.4 eV. In some embodiments, the effective work function value given above is approximately 100
Figure pat00003
less than, or about 50
Figure pat00004
less than, or about 40
Figure pat00005
less than, or even about 30
Figure pat00006
It can be demonstrated in an electrode structure comprising a molybdenum film having a thickness of less than

본 발명의 범주를 벗어나지 않고서 위에서 설명된 공정 및 구조에 대한 다양한 생략, 부가 및 변경이 이루어질 수 있음을 당업자는 이해할 것이다. 구현예의 구체적 특징 및 양태의 다양한 조합 또는 부조합이 이루어질 수 있고 이 조합은 여전히 본 명세서의 범주 내에 여전히 있다고 간주된다. 개시된 구현예의 다양한 특징 및 양태는 서로 순서대로 조합되거나 치환될 수 있다. 이러한 모든 변형 및 변경은 첨부된 청구범위에 의해 정의된 본 발명의 범주에 속하는 것으로 의도된다.It will be appreciated by those skilled in the art that various omissions, additions and changes may be made to the processes and structures described above without departing from the scope of the present invention. Various combinations or sub-combinations of the specific features and aspects of the embodiments may be made and such combinations are still considered to be within the scope of this disclosure. The various features and aspects of the disclosed embodiments may be combined in any order or substituted for one another. All such modifications and variations are intended to fall within the scope of this invention as defined by the appended claims.

Claims (20)

층을 형성하는 방법으로서,
반응 챔버로 기판을 제공하는 단계;
상기 기판 상에 제 1 층을 증착하는 단계;
상기 제 1 층 상에 제 2 층을 증착하는 단계를 포함하고,
상기 제 1 층을 증착하는 단계는,
상기 기판에 금속 및 할로겐 원자들을 포함하는 제 1 전구체를 공급하는 단계; 및
상기 기판에 제 1 반응물을 공급하는 단계를 포함하고,
상기 제 1 전구체의 일부 및 상기 제 1 반응물은 반응하여 상기 제 1 층의 적어도 일부를 형성하고;
상기 제 2 층을 증착하는 단계는,
금속 및 할로겐을 포함하는 제 2 전구체를 공급하는 단계; 및
제 2 반응물을 공급하는 단계를 포함하고,
상기 제 2 전구체의 일부 및 상기 제 2 반응물은 반응하여 상기 제 1 층 상에 상기 제 2 층의 적어도 일부를 형성하고;
상기 제 1 반응물 또는 상기 제 2 반응물 중 적어도 하나는 실란을 포함하고,
상기 제 1 및 제 2 전구체는 상이한, 층을 형성하는 방법.
As a method of forming a layer,
providing a substrate to the reaction chamber;
depositing a first layer on the substrate;
depositing a second layer on the first layer;
Depositing the first layer,
supplying a first precursor containing metal and halogen atoms to the substrate; and
Supplying a first reactant to the substrate;
a portion of the first precursor and the first reactant react to form at least a portion of the first layer;
Depositing the second layer,
supplying a second precursor containing a metal and a halogen; and
supplying a second reactant;
a portion of the second precursor and the second reactant react to form at least a portion of the second layer on the first layer;
At least one of the first reactant or the second reactant includes silane,
wherein the first and second precursors are different.
제 1 항에 있어서,
상기 실란은 화학식 SixH(2x+2) 에 의해 표현되는, 층을 형성하는 방법.
According to claim 1,
wherein the silane is represented by the formula Si x H (2x+2) .
제 2 항에 있어서,
x 는 1 이상 및 4 이하의 정수인, 층을 형성하는 방법.
According to claim 2,
x is an integer greater than or equal to 1 and less than or equal to 4.
제 1 항에 있어서, 상기 제 1 반응물 및 상기 제 2 반응물 중 하나는 수소(H2)를 포함하는, 층을 형성하는 방법.The method of claim 1 , wherein one of the first reactant and the second reactant comprises hydrogen (H 2 ). 제 1 항에 있어서,
상기 제 1 전구체 및 상기 제 2 전구체는 동일한 금속 원자를 포함하는, 층을 형성하는 방법.
According to claim 1,
The method of claim 1 , wherein the first precursor and the second precursor comprise the same metal atom.
제 1 항 에 있어서,
상기 제 1 전구체 및 상기 제 2 전구체 중 적어도 하나는 전이 금속 원자를 포함하는, 층을 형성하는 방법.
According to claim 1,
wherein at least one of the first precursor and the second precursor comprises a transition metal atom.
제 5 항에 있어서,
상기 전이 금속 원자는 몰리브덴인, 층을 형성하는 방법.
According to claim 5,
The method of claim 1 , wherein the transition metal atom is molybdenum.
제 1 항에 있어서,
상기 제 1 전구체 및 상기 제 2 전구체는 동일한 할로겐 원자를 포함하는, 층을 형성하는 방법.
According to claim 1,
The method of claim 1 , wherein the first precursor and the second precursor comprise the same halogen atom.
제 1 항에 있어서,
상기 할로겐 원자는 클로라이드인, 층을 형성하는 방법.
According to claim 1,
wherein the halogen atom is a chloride.
제 1 항에 있어서,
상기 제 1 전구체는 몰리브덴펜타클로라이드(MoCl5)를 포함하는, 층을 형성하는 방법.
According to claim 1,
wherein the first precursor comprises molybdenumpentachloride (MoCl 5 ).
제 1 항에 있어서,
상기 제 2 전구체는 금속 또는 할로겐 원자가 아닌 부가적 원자를 포함하는, 층을 형성하는 방법.
According to claim 1,
wherein the second precursor comprises an additional atom other than a metal or halogen atom.
제 10 항에 있어서,
상기 부가적 원자는 칼코지나이드인, 층을 형성하는 방법.
According to claim 10,
wherein the additional atom is a chalcogenide.
제 11 항에 있어서,
상기 칼코지나이드는 산소인, 층을 형성하는 방법.
According to claim 11,
wherein the chalcogenide is oxygen.
제 12 항에 있어서,
상기 제 2 전구체는 몰리브덴(VI)디클로라이드디옥사이드(MoO2Cl2)를 포함하는, 층을 형성하는 방법.
According to claim 12,
wherein the second precursor comprises molybdenum(VI) dichloride dioxide (MoO 2 Cl 2 ).
제 1 항에 있어서,
상기 반응 챔버 내의 압력은 0.1 내지 100 토르인, 층을 형성하는 방법.
According to claim 1,
wherein the pressure in the reaction chamber is between 0.1 and 100 torr.
제 1 항에 있어서,
상기 반응 챔버 내의 처리 온도는 300 ℃ 내지 800℃ 인, 층을 형성하는 방법.
According to claim 1,
The process temperature in the reaction chamber is 300 ° C to 800 ° C, the method of forming a layer.
제 1 항에 있어서,
상기 제 1 층을 증착하는 단계는 상기 제 1 전구체를 상기 기판에 공급하는 단계 및 상기 제 1 반응물을 상기 기판에 공급하는 단계를 순차적으로 포함하는 원자층 증착(ALD) 사이클을 반복하는 단계를 포함하고; 및/또는
상기 제 2 층을 증착하는 단계는 상기 제 2 전구체를 상기 기판에 공급하는 단계 및 상기 제 2 반응물을 상기 기판에 공급하는 단계를 순차적으로 포함하는 원자층 증착(ALD) 사이클을 반복하는 단계를 포함하는, 층을 형성하는 방법.
According to claim 1,
Depositing the first layer includes repeating an atomic layer deposition (ALD) cycle that sequentially includes supplying the first precursor to the substrate and supplying the first reactant to the substrate. do; and/or
Depositing the second layer includes repeating an atomic layer deposition (ALD) cycle that sequentially includes supplying the second precursor to the substrate and supplying the second reactant to the substrate. To do, how to form a layer.
제 1 항에 있어서,
상기 제 1 층 및 상기 제 2 층 중 적어도 하나를 증착하는 단계는 화학적 기상 증착 (CVD) 공정을 포함하는, 층을 형성하는 방법.
According to claim 1,
wherein depositing at least one of the first layer and the second layer comprises a chemical vapor deposition (CVD) process.
제 5 항에 있어서,
상기 전이 금속은 텅스텐(W) 또는 구리 (Cu) 인, 층을 형성하는 방법.
According to claim 5,
wherein the transition metal is tungsten (W) or copper (Cu).
제 1 항에 있어서,
상기 제 2 전구체는 텅스텐(W) 또는 구리 (Cu) 을 포함하는, 층을 형성하는 방법.
According to claim 1,
The method of claim 1 , wherein the second precursor comprises tungsten (W) or copper (Cu).
KR1020220148055A 2017-08-30 2022-11-08 Layer forming method KR20220155951A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/691,241 2017-08-30
US15/691,241 US11056344B2 (en) 2017-08-30 2017-08-30 Layer forming method
US201762607070P 2017-12-18 2017-12-18
US62/607,070 2017-12-18
KR1020180102066A KR20190024806A (en) 2017-08-30 2018-08-29 Layer forming method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020180102066A Division KR20190024806A (en) 2017-08-30 2018-08-29 Layer forming method

Publications (1)

Publication Number Publication Date
KR20220155951A true KR20220155951A (en) 2022-11-24

Family

ID=65514591

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180102066A KR20190024806A (en) 2017-08-30 2018-08-29 Layer forming method
KR1020220148055A KR20220155951A (en) 2017-08-30 2022-11-08 Layer forming method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020180102066A KR20190024806A (en) 2017-08-30 2018-08-29 Layer forming method

Country Status (4)

Country Link
JP (1) JP7460319B2 (en)
KR (2) KR20190024806A (en)
CN (1) CN109427570B (en)
TW (1) TWI784036B (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200131628A1 (en) * 2018-10-24 2020-04-30 Entegris, Inc. Method for forming molybdenum films on a substrate
JP7117336B2 (en) * 2020-01-30 2022-08-12 株式会社Kokusai Electric Semiconductor device manufacturing method, program and substrate processing apparatus
TW202218133A (en) * 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202204662A (en) * 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20230050451A (en) * 2020-09-23 2023-04-14 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing method, semiconductor device manufacturing method, program and substrate processing device
JP2023550331A (en) * 2020-11-19 2023-12-01 ラム リサーチ コーポレーション Low resistivity contacts and interconnects

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1386043A (en) * 2001-05-14 2002-12-18 长春石油化学股份有限公司 Deposition method for IC copper inner conductive wire inculating crystal layer
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
KR100487639B1 (en) * 2002-12-11 2005-05-03 주식회사 하이닉스반도체 Method for forming metal line of semiconductor device
JP2006228923A (en) * 2005-02-17 2006-08-31 Kyushu Univ Method for manufacturing thin film
US7432200B2 (en) * 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US7354849B2 (en) * 2006-02-28 2008-04-08 Intel Corporation Catalytically enhanced atomic layer deposition process
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
CN101308794B (en) * 2007-05-15 2010-09-15 应用材料股份有限公司 Atomic layer deposition of tungsten material
JP5582727B2 (en) * 2009-01-19 2014-09-03 株式会社東芝 Semiconductor device manufacturing method and semiconductor device
US8945305B2 (en) * 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
WO2014140672A1 (en) * 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US20150001720A1 (en) * 2013-06-27 2015-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect Structure and Method for Forming Interconnect Structure
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10109534B2 (en) * 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
JP2016098406A (en) * 2014-11-21 2016-05-30 東京エレクトロン株式会社 Film deposition method of molybdenum film
US10121671B2 (en) * 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
JP6417051B2 (en) * 2015-09-29 2018-10-31 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program

Also Published As

Publication number Publication date
JP7460319B2 (en) 2024-04-02
JP2019044266A (en) 2019-03-22
TW201934792A (en) 2019-09-01
CN109427570B (en) 2024-04-12
TW202305163A (en) 2023-02-01
TWI784036B (en) 2022-11-21
KR20190024806A (en) 2019-03-08
CN109427570A (en) 2019-03-05

Similar Documents

Publication Publication Date Title
US20210313182A1 (en) Layer forming method
US20190067095A1 (en) Layer forming method
US20220328318A1 (en) Deposition method
US11447864B2 (en) Layer forming method and apparatus
US11898242B2 (en) Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
JP7460319B2 (en) Layer formation method
US10910262B2 (en) Method of selectively depositing a capping layer structure on a semiconductor device structure
US11295980B2 (en) Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6980020B2 (en) Cobalt cohesion resistance and gap filling effect enhanced by ruthenium doping
US20190067003A1 (en) Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US20060177601A1 (en) Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
KR102361226B1 (en) Tungsten nucleation process to enable low resistivity tungsten feature fill
TW202122615A (en) Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
KR20220044601A (en) Reduced line bending during metal filling process
US20220359532A1 (en) Enhancing gapfill performance of dram word line

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal