KR20220139233A - 패터닝된 구조체의 형성 중 언더레이어 손상을 완화하기 위한 방법 및 시스템 - Google Patents

패터닝된 구조체의 형성 중 언더레이어 손상을 완화하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20220139233A
KR20220139233A KR1020220039754A KR20220039754A KR20220139233A KR 20220139233 A KR20220139233 A KR 20220139233A KR 1020220039754 A KR1020220039754 A KR 1020220039754A KR 20220039754 A KR20220039754 A KR 20220039754A KR 20220139233 A KR20220139233 A KR 20220139233A
Authority
KR
South Korea
Prior art keywords
plasma
reactant
reaction chamber
during
inert gas
Prior art date
Application number
KR1020220039754A
Other languages
English (en)
Inventor
에이이치로 시바
도모미 다카야마
처 천 수
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20220139233A publication Critical patent/KR20220139233A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

다중 패터닝 공정에 적합한 구조체를 형성하는 방법이 개시된다. 예시적인 방법은, 실리콘 전구체 펄스 기간 동안 반응 챔버에 실리콘 전구체를 제공하는 단계, 반응물 펄스 기간 동안 반응 챔버에 질소 반응물 및 산소 반응물 중 하나 이상을 제공하는 단계, 불활성 가스 펄스 기간 동안 반응 챔버에 불활성 가스를 제공하는 단계, 및 플라즈마 펄스 기간 동안 반응 챔버 내에 플라즈마를 형성하기 위한 플라즈마 전력을 제공하는 단계에 의해, 기판 위에 재료를 형성하는 단계를 포함한다. 불활성 가스는 플라즈마 기간 동안 제공될 수 있고/있거나, 플라즈마 전력은 재료 층의 원하는 특성을 제공하면서 하부 층에 대한 임의의 손상을 완화시키기 위해 펄스화될 수 있다.

Description

패터닝된 구조의 형성 중 하층 손상을 완화하기 위한 방법 및 시스템{METHOD AND SYSTEM FOR MITIGATING UNDERLAYER DAMAGE DURING FORMATION OF PATTERNED STRUCTURES}
본 개시는, 일반적으로 전자 소자를 형성하기에 적합한 구조체를 형성하기 위한 방법 및 시스템에 관한 것이다. 보다 구체적으로, 본 개시의 예시는 실리콘 질화물을 포함한 층을 형성하기 위한 방법 및 시스템에 관한 것이다.
전자 소자의 제조 중에, 기판 표면을 패터닝하고, 예를 들어 습식 및/또는 건식 에칭 공정을 이용하여 기판 표면으로부터 재료를 제거함으로써, 미세 패턴의 특징부가 기판의 표면 상에 형성될 수 있다. 포토레지스트는 이렇게 기판의 표면을 패터닝하기 위한 템플릿으로서 자주 사용된다.
기판 표면 상으로 포토레지스트 층을 도포하고, 포토레지스트의 표면을 마스킹하고, 포토레지스트의 비마스킹 부분을 자외선 광 또는 전자 빔과 같은 복사선에 노출시키고, 포토레지스트의 일부(예, 비마스킹 또는 마스킹 부분)을 제거하면서 포토레지스트의 일부(예, 비마스킹 또는 마스킹 부분의 다른 부분)를 기판 표면 상에 남기는 것에 의해서, 포토레지스트 패턴을 형성할 수 있다. 일단 포토레지스트가 패터닝되면, 패터닝된 포토레지스트는, 포토레지스트가 제거된 영역에서 기판 표면 상의 재료를 에칭하기 위한 템플릿으로서 사용되어, 포토레지스트 아래에 놓인 층 내에 전사된 패턴을 형성할 수 있다. 에칭 후, 나머지 포토레지스트를 제거할 수 있다.
소자의 크기가 감소함에 따라, 종래의 포토레지스트 기술은 원하는 크기의 패턴을 형성하기에 적합하지 않을 수 있다. 이러한 경우에, (예를 들어, 극자외선(EUV)) 다중 패터닝 기술을 사용하여, 포토리소그래피 공정의 노출 해상도보다 작을 수 있는 특징부의 패터닝 및 에칭을 허용할 수 있다. 다수의 패터닝 공정은, 패터닝된 특징부(예, 패터닝된 포토레지스트)에 대한 스페이서를 형성하는 단계, 패터닝된 특징부를 제거하여 패터닝된 구조체를 형성하는 단계, 및 후속하는 에칭 동안에 패터닝된 구조체를 마스크로서 사용하는 단계를 포함할 수 있다.
이러한 기술은 일부 응용예에서 비교적 양호하게 작용할 수 있지만, EUV 기반 다중 패터닝 가공과 같은 일부 다중 패터닝 공정은, 언더레이어에 원하지 않는 플라즈마 손상을 초래할 수 있다. 이러한 현상은, 패터닝된 구조체의 크기가 감소함에 따라 점점 문제가 된다.
언더레이어 손상을 해결하기 위한 기술은, 스페이서 층의 증착 동안 더 낮은 RF 전력을 사용하는 것을 포함한다. 그러나, 이러한 기술은 낮은 플라즈마 반응성 및/또는 플라즈마 점화 실패를 초래할 수 있다. 언더레이어에 대한 원치 않는 손상을 해결하기 위한 다른 시도로 인해, 막 성질이 저하된(예, 에칭 선택도가 낮은) 스페이서 막이 생성되었다.
따라서, 기판의 표면 상에 패터닝된 구조체를 형성하면서 언더레이어에 원치 않는 손상을 완화시키는 개선 방법이 요구된다. 또한, 패터닝된 구조체를 포함하는 소자 구조체도 요구된다. 또한, 상기 방법을 수행하기 위한 시스템이 요구된다.
이 부분에서 진술된 문제점 및 해결책에 대한 임의의 논의는 단지 본 개시에 대한 맥락을 제공하는 목적으로 본 개시에 포함되었고, 그 논의의 일부 또는 전부가 본 발명이 이루어진 당시에 알려졌다는 것을 인정하는 것으로 받아들여져서는 안 된다.
본 개시의 다양한 구현예는, 기판의 표면 상에 패터닝된 구조체를 형성하는 방법, 및 패터닝된 구조체를 형성하기 위한 시스템에 관한 것이다. 패터닝된 구조체는 반도체 소자 및 다른 전자 소자와 같이, 소자의 형성에 사용될 수 있다.
본 개시의 다양한 구현예가 이전 방법과 시스템의 문제점을 해결하는 방식은 이하에서 보다 상세히 논의되면서, 일반적으로 본 개시의 다양한 구현예는 패터닝된 구조체를 형성하면서 증착(예, 스페이서) 층의 품질을 유지하고 언더레이어 손상을 완화시키는 개선된 방법을 제공한다. 이하에서 더욱 상세히 설명되는 바와 같이, 본 개시의 실시예는, 플라즈마를 형성하고 유지하기 위해, 감소된 플라즈마 전력 및 불활성 가스의 사용을 포함한다. 불활성 가스의 사용은, 플라즈마를 통해 형성된 종의 반응성을 증가시킬 수 있는데, 이는 플라즈마 전력이 감소한 경우에도 마찬가지이다. 본 개시의 추가 예시는, 증착된 재료의 품질을 유지하고/유지하거나 언더레이어 손상을 완화하는 것을 용이하게 하기 위한 펄스형 플라즈마 전력의 사용을 포함한다.
본 개시의 예시에 따라, 구조체를 형성하는 방법이 개시된다. 상기 방법은, 예를 들어 다중 패터닝(예, 스페이서 한정 이중 패터닝) 기술을 사용하여 전자 소자를 형성하기 위해 사용될 수 있다. 예시적인 방법은, 반응 챔버 내에 기판을 제공하는 단계, 및 재료 층을 증착하는 단계(상기 증착 단계는 다음에 의함: 실리콘 전구체 펄스를 위해 상기 반응 챔버에 실리콘 전구체를 제공하는 단계, 반응물 펄스를 위해 질소 반응물 및 산소 반응물 중 하나 이상을 반응 챔버에 제공하는 단계(하나 이상의 증착 사이클을 통해 연속될 수 있음), 불활성 가스 펄스를 위해 상기 반응 챔버에 불활성 가스를 제공하는 단계, 및 불활성 가스를 사용하여 플라즈마 기간 동안 플라즈마를 형성하여 기판의 표면 상에 재료 층을 증착하는 단계에 의함)를 포함한다. 본 개시의 예시에 따라, 기판은 패터닝된(예, 탄소 및/또는 희생) 특징부를 포함하고, 실리콘 질화물 및/또는 실리콘 산화물은 패터닝된 희생 특징부 위에 증착된다. 예시적인 방법의 예시에 따라, 불활성 가스 펄스 및 플라즈마 형성 단계는 반응 챔버에서 중첩된다. 추가 예시에 따라, 플라즈마를 형성하는 단계 동안에 전력은 800 W 미만, 500 W 미만, 또는 400 W 미만이다. 또 다른 추가 예시에 따라, 플라즈마 전력은 플라즈마 기간 동안 펄스화된다. 이하에서 더욱 상세히 설명되는 바와 같이, 플라즈마에 대한 파괴를 완화시키기 위해, 플라즈마를 형성하는 단계 동안에 질소 반응물 및 산소 반응물 중 하나 이상의 (예를 들어, 부피) 유량 및 불활성 가스의 유량은 (예를 들어, 약 ±10%, ±5%, 또는 ±2% 이내) 대략 동일할 수 있다. 추가 예시에 따라, 불활성 가스가 반응 챔버에 제공될 경우에, 예를 들어 반응 챔버로의 가스의 총 유량이 대략 일정하게 유지되도록, 반응 챔버로의 캐리어 가스 및/또는 반응물 유량이 감소될 수 있다. 일부 경우에, 캐리어 가스 유량은 하나 이상의 증착 사이클을 통해 일정할 수 있고, 불활성 가스가 반응 챔버에 제공될 경우에 (예를 들어, 질소 및/또는 산소) 반응물 유량이 감소될 수 있다. 대안적으로, (예를 들어, 질소 및/또는 산소) 반응물 유량은 하나 이상의 증착 사이클을 통해 일정할 수 있고, 캐리어 가스 유량은 불활성 가스가 반응 챔버에 제공될 경우에 감소될 수 있다.
본 개시의 추가 예시에 따라, 상기 방법은 (예를 들어, 반응성 이온 에칭을 사용하여) 재료 층의 일부를 제거하는 단계를 추가로 포함할 수 있다. 추가적으로 또는 대안적으로, 상기 방법은 희생 특징부의 나머지 부분을 제거함으로써 패터닝된 구조체를 형성하는 단계를 포함할 수 있다. 추가적으로 또는 대안적으로, 상기 방법은 패터닝된 구조체를 사용하여 기판의 일부분을 에칭하는 단계를 포함할 수 있다.
본 개시의 추가 구현예에 따라, 소자 구조체가 제공된다. 본원에서 설명되는 바와 같은 방법에 따라 소자 구조체가 형성될 수 있다. 소자 구조체는 기판, 및 그 위에 또는 그 안에 형성된 하나 이상의 패터닝된 구조체를 포함할 수 있다.
본 개시의 다른 추가 예시적인 구현예에 따라, 본원에 설명된 방법을 수행하고/수행하거나 소자 구조체를 형성하도록 구성된 시스템이 제공된다.
본 발명은 개시된 임의의 특정 구현예(들)에 제한되지 않으며, 이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다.
본 개시의 예시적인 실시예에 대한 더 완전한 이해는 다음의 예시적인 도면과 관련하여 고려될 때, 발명의 상세한 설명 및 청구 범위를 참조함으로써 도출될 수 있다.
도 1은 본 개시의 적어도 하나의 구현예에 따른 방법을 나타낸다.
도 2는, 본 개시의 예시에 따른 다른 시간 순서를 나타낸다.
도 3 내지 도 7은 본 개시의 예시적인 구현예에 따른 소자 구조체를 나타낸다.
도 8은, 본 개시의 추가 예시에 따라 펄스화된 플라즈마 전력을 사용하여 형성된 플라즈마에 대한 플라즈마 밀도 및 이온 에너지를 나타낸다.
도 9는, 본 개시의 예시에 따른 다른 시간 순서를 나타낸다.
도 10은 본 개시의 예시에 따른 흐름 제어 장치를 나타낸다.
도 11은 본 개시의 적어도 일 구현예에 따른 시스템을 나타낸다.
도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되는 구체적인 개시된 구현예에 의해 제한되지 않도록 의도된다.
본 개시는 일반적으로 구조체를 형성하는 방법, 구조체 또는 방법을 사용하여 형성되거나 이를 포함하는 소자 구조체, 및 방법을 수행하고/하거나 소자 구조체를 형성하는 시스템에 관한 것이다. 이하에서 더욱 상세히 설명되는 바와 같이, 예시적인 방법을 사용하여 전자 소자를 형성하기에 적합한 소자 구조를 형성할 수 있다. 예를 들어, 예시적인 방법을 사용하여 기판의 표면 상에 패터닝된 구조체를 형성할 수 있다. 패터닝된 구조체는 다음 세트의 패터닝된 구조체를 형성하기 위한 에칭 마스크로서 또는 패터닝된 특징부로서 사용될 수 있다. 이하에서 더욱 상세히 설명되는 바와 같이, 예시적인 방법 및 시스템은 증착된 재료의 원하는 특성(예를 들어, 밀도, 언더레이어 재료에 대한 에칭 선택도 등)을 유지하면서, 재료 층의 증착 중에 달리 발생할 수 있는 언더레이어에 대한 손상을 완화시킬 수 있다.
본 개시에서, 가스는 정상 온도 및 압력에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 예를 들어 샤워헤드, 다른 가스 분배 장치 등과 같은 가스 분배 어셈블리를 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 희귀 가스 또는 다른 불활성 가스와 같은 밀폐 가스를 포함할 수 있다. 용어 불활성 가스는 상당한 정도까지 화학 반응에 참여하지 않고/않거나 플라즈마 전력이 인가될 경우에 전구체를 여기시킬 수 있는 가스를 지칭한다. 용어 전구체 및 반응물은 상호 교환적으로 사용될 수 있다.
본원에서 사용되는 바와 같이, 용어 기판은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, GaAs와 같은 화합물 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 특징부, 예컨대 오목부, 라인 등을 포함할 수 있다. 특정 예시로서, 기판은 벌크 반도체 재료 및/또는 그 위에 형성된 에칭 및 패터닝될 희생 특징부를 포함할 수 있다.
일부 구현예에서, 막은 두께 방향에 수직한 방향으로 연장되어 전체 타켓 또는 관심 표면을 커버하는 층, 또는 단순히 타켓 또는 관심 표면을 커버하는 층을 지칭한다. 일부 구현예에서, 층은 표면에 형성된 특정 두께를 갖는 구조체를 지칭하거나, 막 또는 막이 아닌 구조체의 동의어를 지칭한다. 층은 연속적이거나 비연속적일 수 있다. 막 또는 층은 특정 특성을 갖는 별개의 단일막 또는 층, 또는 다수의 막 또는 층으로 구성될 수 있고, 인접하는 막 또는 층 사이의 경계는 명확하거나 그렇지 않을 수 있으며, 물리적, 화학적, 및/또는 임의의 특성, 형성 공정 및 시퀀스, 및/또는 인접하는 막 또는 층의 기능 또는 목적에 기반하여 구축되거나 되지 않을 수 있다.
본 개시에서, 연속적으로는, 진공 파괴가 없으며, 시간적으로 중단이 없고, 임의의 재료의 개입 단계가 없으며, 다음 단계로서 그 직후에 처리 조건의 변경이 없고, 또는 일부 구현예에서는 두 개의 구조체 사이에 두 개의 구조체 이외의 분리된 물리적 또는 화학적 구조체이 개입하지 않는 것 중 하나 이상을 지칭할 수 있다. 예를 들어, 반응물은 방법의 두 개 이상의 단계 및/또는 증착 사이클 동안에 연속적으로 공급될 수 있다.
용어 주기적 증착 공정 또는 순환 증착 공정 또는 주기적 증착 사이클은 반응 챔버 내로 전구체(및/또는 반응물)를 순차적으로 도입시켜 기판 위에 층을 증착하는 것을 지칭할 수 있으며 원자층 증착(ALD) 및 주기적 화학 기상 증착(주기적 CVD), 및 ALD 성분과 주기적 CVD 성분을 포함한 하이브리드 주기적 증착 공정과 같은 처리 기술을 포함한다.
본원에서 사용되는 바와 같이, 용어 원자층 증착(ALD)은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클은, 바람직하게는 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 일반적으로, 각각의 사이클 중에 전구체는 도입되고, 증착 표면(예, 기판 표면, 또는 이전 ALD 사이클로부터의 재료와 같이 이전에 증착된 하부 표면)에 화학 흡착될 수 있고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응) 단층 또는 서브 단층을 형성한다. 그 후, 반응물(예, 다른 전구체 또는 반응 가스)을 후속해서 공정 챔버에 도입시켜 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 데 사용한다. 일반적으로, 이러한 반응물은 전구체와 더 반응할 수 있다. 각각의 사이클 중에 공정 챔버로부터 과잉의 전구체를 제거하고/하거나, 화학 흡착된 전구체의 변환 후 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거하기 위해 퍼지 단계들이 더 활용될 수도 있다. 추가로, 본원에서 사용된 용어 원자층 증착은 전구체 조성(들), 반응 가스, 및 퍼지(예, 불활성 캐리어) 가스의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착, 원자층 에피택시(ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다. PEALD는 ALD 공정을 지칭하고, 여기서 ALD 단계 또는 하위 단계 중 하나 이상 동안에 플라즈마를 인가한다.
본원에서 사용되는 바와 같이, 용어 퍼지는 서로 반응하는 가스의 두 펄스 사이에서 불활성 또는 실질적으로 불활성인 가스가 반응기 챔버에 제공되는 절차를 지칭할 수 있다. 예를 들어, 퍼지는 전구체 펄스와 반응물 펄스 사이에 제공될 수 있어서, 전구체와 반응물 사이의 기상 상호 작용을 피하거나 적어도 감소시킬 수 있다. 퍼지는 시간 또는 공간, 또는 둘 모두에 영향을 미칠 수 있음을 이해해야 한다. 예를 들어 시간적 퍼지의 경우, 퍼지 단계는, 예를 들어 반응기 챔버에 제1 전구체를 제공하는 단계, 반응기 챔버에 퍼지 가스를 제공하는 단계, 및 반응기 챔버에 반응물을 제공하는 단계의 시간적 순서로 사용될 수 있으며, 여기서 층이 증착되는 기판은 이동하지 않는다. 예를 들어, 공간적 퍼지의 경우, 퍼지 단계는 다음과 같은 형태: 기판을, 제1 전구체가 공급되는 제1 위치로부터 퍼지 가스 커튼을 통해 반응물이 공급되는 제2 위치로 이동시키는 단계를 취할 수 있다.
본원에서 사용되는 바와 같이, 실리콘 산화물은 실리콘 및 산소를 포함하는 재료를 지칭한다. 실리콘 산화물은 조성식 SiO2로 나타낼 수 있다. 일부 경우에, 실리콘 산화물은 화학양론적 실리콘 산화물을 포함하지 않을 수 있다. 일부 경우에, 실리콘 산화물은 탄소, 질소, 수소 등과 같은 다른 원소를 포함할 수 있다.
본원에서 사용되는 바와 같이, 실리콘 질화물은 실리콘 및 질소를 포함하는 재료를 지칭한다. 실리콘 질화물은 조성식 Si3N4로 나타낼 수 있다. 일부 경우에, 실리콘 질화물은 화학양론적 실리콘 질화물을 포함하지 않을 수 있다. 일부 경우에, 실리콘 질화물은 탄소, 산소, 수소 등과 같은 다른 원소를 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 중첩은 시간에 대해 그리고 반응 챔버 내에서 일치함을 의미할 수 있다. 예를 들어, 전구체 펄스 기간 및 반응물 펄스 기간과 같은 가스 펄스 기간에 관해, 각각의 펄스 기간으로부터의 가스가 반응 챔버 내에 있거나 일정 기간 동안 반응 챔버에 제공되는 경우에, 두 개 이상의 가스 펄스 기간이 중첩될 수 있다.
또한, 본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 표시된 변수의 임의의 값은 (약의 표시 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 일부 구현예에서는 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 포함하다, 포함한, 의해 구성되는, 및 갖는은 일부 구현예에서 통상적으로 또는 대략적으로 포함하는, 포함하는, 본질적으로 이루어지는, 또는 이루어지는을 독립적으로 지칭할 수 있다. 본 개시에서, 임의의 정의된 의미는 일부 구현예에서 반드시 보통의 그리고 관습적인 의미를 배제하는 것은 아니다.
이제 도면을 보면, 도 1은 구조체를 형성하기 위한 예시적인 방법(100)을 나타낸다. 방법(100)은 기판의 표면 상에 패터닝된 구조체를 형성하는 데 적합하고, 예를 들어 다수의 패터닝 공정에 사용될 수 있다. 방법(100)은, 반응 챔버 내에 기판을 제공하는 단계(102) 및 기판 위에 재료 층을 형성하는 단계(104)를 포함한다. 방법(100)은 또한 재료 층의 일부를 제거하는 단계(106), 희생 특징부를 제거하는 단계(108), 및 기판의 일부를 에칭하는 단계(110)를 포함할 수 있다. 단계(102-110)로 설명되었지만, 예시적인 방법은 이러한 모든 단계를 포함할 필요는 없지만, 오히려 달리 공지하지 않는 한 단계의 임의의 하위 집합을 포함할 수 있다.
단계(102) 동안에, (예를 들어, 패터닝된 특징부를 포함하는 표면을 포함한) 기판이 반응기 시스템의 반응 챔버 내에 제공된다. 단계(102) 동안에 사용하기 위한 예시적인 기판(300)이 도 3에 나타나 있다. 이러한 예시에서, 기판(소자 구조체)은 제1 층 또는 벌크 재료(304), 제2 층(306)(예, 에칭될 층), 및 희생 특징부(308-312)를 포함하는 표면(302)을 포함한다. 제1 층 또는 벌크 재료(304)는, 예를 들어 실리콘 또는 다른 반도체 재료를 포함할 수 있고; 제2 층(306)은, 예를 들어 특징부(308-312) 및 층(402)를 형성하기 위해 사용되는 재료에 대해 에칭 선택도를 갖는 하나 이상의 재료를 포함할 수 있다. 희생 특징부(308-312)는, 예를 들어 포토레지스트, 탄소 하드마스크 재료, 비정질 실리콘, 또는 폴리실리콘 중 하나 이상을 포함할 수 있다.
도 1을 다시 보면, 단계(102) 중에 사용된 반응 챔버는, 주기적 증착 공정, 및 특히 플라즈마 향상 주기적 증착 공정을 수행하도록 구성된 화학 기상 증착 반응기 시스템의 반응 챔버일 수 있거나 이를 포함할 수 있다. 반응 챔버는 독립형 반응 챔버 또는 클러스터 툴의 일부일 수 있다.
단계(102)는, 반응 챔버 내에서 기판을 원하는 증착 온도로 가열하는 단계를 포함할 수 있다. 본 개시의 일부 구현예에서, 단계(102)는 800℃ 미만 또는 500℃ 미만의 온도로 기판을 가열하는 단계를 포함할 수 있다. 예를 들어, 본 개시의 일부 구현예에서, 증착 온도로 기판을 가열하는 단계는 대략 75℃ 내지 대략 350℃의 온도 또는 약 250℃ 내지 약 300℃로 기판을 가열하는 단계를 포함할 수 있다. 기판의 온도를 제어하는 것 이외에, 반응 챔버 내의 압력도 조절될 수 있다. 예를 들어, 본 개시의 일부 구현예에서 단계(102) 동안에 반응 챔버 내의 압력은 760 토르 미만, 또는 1500 Pa 내지 3000 Pa, 약 10 Pa 내지 13333 Pa일 수 있다.
단계(104) 동안에, 단계(102)에서 제공된 기판 위에 재료 층(예, 도 4에 나타낸 재료 층(402))이 형성된다. 재료층(402)은, 예를 들어 질화물 또는 산화물 예컨대 실리콘 산화물 또는 실리콘 질화물일 수 있거나 이를 포함할 수 있다. 본 개시의 실시예에 따라, 층(402)은 층(402)의 바람직한 특성으로 인해 비교적 얇을 수 있고, 예를 들어 15 nm 미만 또는 20 nm 미만일 수 있다. 특히, 재료 층(402)은, 예를 들어 층(306) 재료에 비해 높은 에칭 선택도를 나타낼 수 있다.
단계(104)는, 전구체 펄스 기간 동안 반응 챔버에 전구체(예, 실리콘 전구체)를 제공하는 단계(112), 반응물 펄스 기간 동안 반응 챔버에 (예, 질소 및/또는 산소) 반응물을 제공하는 단계(114), 불활성 가스 펄스 기간 동안 반응 챔버에 불활성 가스를 제공하는 단계(116), 및 플라즈마 기간 동안 플라즈마 전력을 인가하는 단계(118)를 포함할 수 있다. 단계(104) 동안의 압력 및/또는 온도는 단계(102)와 연관하여 설정된 온도 및 압력의 범위와 동일하거나 유사하거나 이 범위 내에 있을 수 있다.
본원에서 사용되는 바와 같이, 펄스 기간은 가스(예, 전구체, 반응물, 불활성 가스, 및/또는 캐리어 가스)가 반응 챔버로 흐르는 기간 및/또는 전력이 인가되는 기간(예, 플라즈마를 생성하기 위한 전력)를 의미한다. 일부 경우에, 펄스 기간은 하나 이상의 증착 사이클을 통해 연속적일 수 있다. 일부 경우에, 연속 펄스는, 가스를 반응 챔버에 연속적으로 제공하는 단계를 포함할 수 있고; 연속 펄스 동안 가스의 유량은 변할 수 있다. 나타낸 펄스 기간의 높이 및/또는 폭은, 펄스의 특정 양 또는 지속 기간을 반드시 나타내는 것은 아니다.
도 2는, 기판 위에 놓이는 재료 층을 형성하는 단계(104)에 적합한 시간 순서(200) 예시를 나타낸다. 나타낸 예시에서, 전구체는 전구체 펄스 기간 동안해 반응 챔버에 제공되고(202), 반응물은 반응물 펄스 기간 동안 반응 챔버에 제공되며(204), 불활성 가스는 불활성 가스 펄스 기간 동안 반응 챔버에 제공되고(206), 플라즈마 전력이 인가되어 플라즈마 기간 동안 플라즈마를 형성한다(208). 나타낸 바와 같이, 순서(200)는 하나 이상의 증착 사이클(214)을 포함할 수 있고; 각각의 증착 사이클은 전구체 펄스 기간(202), 반응물 펄스 기간(204), 불활성 가스 펄스 기간(206), 및 플라즈마 전력 기간(208)을 포함할 수 있다. 나타낸 경우에, 증착 사이클(214)은 주기적 증착 공정, 예컨대 플라즈마 향상 ALD 공정을 포함한다. 위에서 언급된 온도 및/또는 압력은 바람직하게는 하나 이상의 증착 사이클(214) 및/또는 이의 하위 단계 전에 안정화될 수 있다.
순서(200)는 또한 캐리어 가스 펄스 기간(216)을 포함할 수 있다. 캐리어 가스 펄스 기간(216) 동안에, 아르곤, 헬륨 중 하나 이상과 같이 (예를 들어, 전구체의 제공을 용이하게 하기 위해 사용되는) 캐리어 가스가 단독으로 또는 임의의 조합으로 반응 챔버에 제공된다. 캐리어 가스의 유량은 약 500 내지 약 5000 sccm일 수 있다. 캐리어 가스 펄스 기간(216)은 전구체 펄스 기간(202)과 중첩될 수 있다. 또한, 캐리어 가스 펄스 기간(216) 동안 캐리어 가스의 유량(및/또는 반응물 펄스 기간(204) 동안에 반응물 가스의 유량)은 불활성 가스 펄스 기간(206)과 중첩되는 동안 감소될 수 있다.
나타낸 예시에서, (예를 들어, 실리콘) 전구체 펄스 기간(202)은 플라즈마 기간(208) 전에 정지한다. 반응물 펄스 기간(204) 및 캐리어 가스 펄스 기간(216)은, 하나 이상의 증착 사이클(214)을 통해 연속적일 수 있다. 본원에서 언급된 바와 같이, 연속 펄스 기간 동안, 반응물 및/또는 캐리어 가스의 유량은, 예를 들어 대략 펄스 기간(216, 204, 및 206)의 중첩 동안 반응 챔버로의 가스의 총(예를 들어, 체적) 유량이 유지되도록 변할 수 있다.
전구체 펄스 기간(202)과 함께 사용하기에 적합한 예시적인 전구체는, 하나 이상의 실리콘 전구체를 포함한다. 예시적인 실리콘 전구체는, 전구체로서 아미노실란, 할로겐화 실란, 모노실란, 디실란, 및 유기실란으로 이루어진 군으로부터 선택될 수 있다. 아미노실란은 비스디에틸아미노실란, 비스디메틸아미노실란, 헥사에틸아미노실란, 테트라에틸아미노실란, tert-부틸아미노실란, 비스tert-부틸아미노실란, 트리메틸실릴디에틸아민, 및 트리메틸실릴디에틸아민, 및 비스디메틸아미노디메틸실란을 포함하나 이에 제한되지 않는다. 할로겐실란은, 예를 들어 디클로로실란, 디요오드실란, 헥사클로로디실란, 옥타클로로트리실란, 디브로모실란, 트리브로모실란, 트리클로로실란(HSiCl3), 클로로실란(H3SiCl), 실리콘 테트라클로라이드(SiCl4), 브로모실란(H3SiBr), 트리요오드실란(HSil3), 요오드실란(H3Sil), 디요오드실란(H2Si2l4), H4Si2l2, 및 H5Si2l 중 하나 이상을 포함한다. 유기실란은 아미노실란 및 헤테로실란 중 하나 이상을 포함할 수 있다. 특정 예시로서, 실리콘 전구체는 트리스(디메틸아미노)실란, 비스(tert-부틸아미노)실란, 디(sec-부틸아미노)실란, 트리실릴아민, 네오펜타실란, 비스(다이메틸아미노)실란, (디메틸아미노)실란(DMAS), 비스(디에틸아미노)실란(BDEAS), 비스(에틸메틸아미노)실란(BEMAS), 테트라키스(디메틸아미노)실란(TKDMAS), 트리메틸실란(SiH(CH3)3), 테트라메틸실란(Si(CH3)4), 실란, 테트라(에톡시)실란(TEOS, Si(OC2H5)4), 트리스(tert-부톡시)실란올(TBOS), 트리스(tert-펜톡시)실란올(TPSOL), 및 디메틸디클로로실란(Si(OC2H5)4, Si(CH3)2(OCH3)2) 중 하나 이상을 포함한다.
캐리어 가스 유량을 갖는 전구체는 약 500 내지 약 5000 sccm의 범위일 수 있다. 전구체 펄스 기간(202)의 지속 시간은 약 0.05초 내지 약 5초 범위일 수 있다. 질소 반응물 및 산소 반응물 중 하나 이상의 유량은, 플라즈마를 형성하는 단계 이전에 질소 반응물 및 산소 반응물 중 하나 이상의 유량에 비해, 플라즈마 기간 동안 플라즈마을 형성하는 단계(118) 동안에 감소될 수 있다.
단계(114) 동안에, 반응물이 반응 챔버에 (예를 들어 반응물 펄스 기간(204) 동안) 제공된다. 반응물 펄스 기간(204)과 함께 사용하기에 적합한 예시적인 반응물은, 산소 및 질소 중 하나 이상을 단독으로 또는 불활성 가스와 임의의 조합으로 또는 없이 포함한다. 적합한 질소 반응물 예시는 질소(N2), N2O, 및 NO 중 하나 이상을 포함한다. 적합한 산소 반응물 예시는 O2, CO2, CO, N2O 및 NO 중 하나 이상을 포함한다. 반응물 유량은 약 100 내지 약 10000 sccm의 범위일 수 있다. 나타낸 바와 같이, 반응물 펄스 기간(204)은, 하나 이상의 증착 사이클(214)을 통해 연속적일 수 있다.
불활성 가스 펄스 기간(예, 펄스 기간(206)) 동안 불활성 가스를 반응 챔버에 제공하는 단계(116) 중에, 불활성 가스가 반응 챔버에 제공된다. 단계(116)/펄스(206)에 적합한 예시적인 불활성 가스는 헬륨, 네온, 크세논을 단독으로 또는 임의의 조합으로 포함한다. 나타낸 예시에서, 불활성 가스 펄스 기간(206)은 플라즈마 기간(208) 전에 시작되고 플라즈마 기간(208)과 중첩된다. 나타낸 예시에서, 불활성 가스 펄스 기간(206) 및 플라즈마 기간(208)은 대략 동일한 시간에 종료된다. 불활성 가스의 흐름은 주어진 전력 수준에 대한 플라즈마 활성을 증가시키고, 점화를 용이하게 하고, 더 낮은 전력 수준에서 플라즈마를 유지하는데, 이는 결과적으로 층(402)의 증착 동안에 층(306)에 대한 손상을 덜 생성한다.
불활성 가스 유량은 약 1000 내지 약 10000 sccm의 범위일 수 있다. 단계(116)/불활성 가스 펄스 기간(206)의 지속 시간은 약 0.1 내지 약 2.0초 또는 약 0.01 내지 약 10초의 범위일 수 있다.
플라즈마 형성 단계(118)/플라즈마 기간(208) 동안에, 플라즈마를 생성하기에 적합한 전력이 제공된다. 플라즈마는 반응 챔버 내에 형성된 직접식 플라즈마일 수 있다. 본 개시의 예시에 따라, 플라즈마 기간(208) 동안 제공된 전력은, 약 13 MHz 내지 약 14 MHz 또는 약 26 MHz 내지 약 28 MHz의 주파수를 갖는다. 제1 플라즈마 기간(208) 동안 인가된 전력은, (예를 들어, 300 mm 기판의 경우) 제로 초과 800 W 미만, 500 W 미만, 또는 400 W 미만 또는 유사한 전력 밀도(기판의 표면적 당) 및/또는 300 mm 기판 또는 유사한 전력 밀도의 경우에 50 W 초과일 수 있다.
일부 경우에, 단계(118)/플라즈마 기간(208) 동안 공급된 전력은 펄스화된다. 플라즈마 전력의 펄스 기간은 0보다 크고 100 마이크로초 미만일 수 있거나, 단계(118)/플라즈마 기간(208) 동안 생성된 이온에 대한 (예, 평균) 이온 수명보다 작을 수 있다.
도 8은, 본 개시의 예시에 따라 펄스 플라즈마 전력(806)에 대한 플라즈마 밀도(802) 및 이온 에너지(804)를 나타낸다. 나타낸 바와 같이, 단계(118)/플라즈마 기간(208) 동안의 전력 기간이 이온 수명보다 작을 경우에, 플라즈마 밀도(802)는 상대적으로 일정하게 유지될 수 있는 반면, 이온 에너지(804)는 각각의 펄스 사이클(808) 동안 떨어질 수 있다. 따라서, 언더레이어(예, 층(306))에 달리 발생할 수 있는 손상이 감소될 수 있는 한편, 재료 층 형성 단계(104) 동안 원하는 플라즈마 밀도를 유지할 수 있다. 그리고, 증착된 재료 층의 막 품질을 유지할 수 있다.
시간 순서(100)는 소스 퍼지 기간(210) 및 증착후 퍼지 기간(212)을 포함한다. 소스 퍼지 기간(210) 동안, 펄스 기간(204, 206 및 216)은 중첩될 수 있다. 소스 퍼지 기간(210)의 지속 시간은 약 0.1 내지 약 10초일 수 있다. 증착후 퍼지 기간(212) 동안, 펄스 기간(204 및 206)은 중첩될 수 있다. 증착후 퍼지 기간(212)의 지속 시간은 약 0.01 내지 약 5초일 수 있다.
시간 순서(200)는 캐리어 가스 펄스 기간(216) 및 밀봉 가스 펄스 기간(미도시)을 또한 포함할 수 있다. 캐리어 가스 펄스 기간(216) 동안에, 아르곤, 헬륨 중 하나 이상과 같이 (예를 들어, 전구체의 제공을 용이하게 하기 위해 사용되는) 캐리어 가스가 단독으로 또는 임의의 조합으로 반응 챔버에 제공된다. 캐리어 가스의 유량은 약 500 내지 약 5000 sccm일 수 있다.
밀봉 가스 펄스 기간(seal gas pulse period) 동안에, 밀봉 가스는 반응기의 내부 내에 도입되어 반응기 내부의 반응 구역 및 전달 구역의 분리를 용이하게 할 수 있다. 밀봉 가스 펄스 기간은, 증착 사이클 전에 시작될 수 있고 마지막 증착 사이클 후에 종료될 수 있다.
도 9는 단계(104)로 사용하기에 적합한 다른 시간 순서(900)를 나타낸다. 시간 순서(900)는 전구체 펄스 기간(902), 반응물 펄스 기간(904), 불활성 가스 펄스 기간(906), 플라즈마 기간(908), 소스 퍼지 기간(910), 증착후 퍼지 기간(912), 및 캐리어 가스 기간(916)을 포함하고, 이는 증착 사이클(914)을 형성할 수 있다. 순서(900)는, 플라즈마 기간(908)이 시작되는 대략적인 시점에 불활성 가스 펄스 기간(906)이 정지하는 것을 제외하고는, 순서(200)와 동일할 수 있다. 이 경우, 불활성 가스는 플라즈마를 점화하는 데 사용될 수 있고, 반응 챔버 내의 불활성 가스는 플라즈마 기간(908) 동안 감소될 수 있다.
데이터는, 펄스형 플라즈마를 갖는 펄스 방법(100)이 50% 더 낮은 총 플라즈마 전력 온 시간에도 불구하고 (10% 이내 또는 5% 이내) 비펄스형 플라즈마의 범위와 유사한 커버리지를 나타냄을 보여주었다. 특징부(예, 특징부(308))의 측벽의 중간 지점에서 그리고 특징부의 상단에서, 증착된 재료(예를 들어, 층(402))에 대해, 특정 데이터가 측정되었다.
도 1로 돌아가면, 단계(104)(예, 시간 순서(200 또는 900))가 완료되면, 층(402)의 일부가 제거되어 소자 구조체(500)를 형성할 수 있고, 도 5에 나타낸 바와 같이 스페이서로 지칭되는 패터닝된 구조체(502-510)를 포함한다. 층(402)의 일부는, 예를 들어 건식 에칭 공정(예, 활성화된 불소 사용)을 사용하여 제거될 수 있다. 건식 에칭 공정 동안의 반응물은, 예를 들어 약 50°C 내지 약 300°C의 NF3을 포함할 수 있다.
단계(108) 동안에, 패터닝된 (예, 복수의 탄소) 특징부(308-312) 또는 이의 임의의 잔여 부분이 제거될 수 있다. 예를 들어, 애싱 공정을 사용하여 패터닝된 특징부(308-312)를 제거하여 도 6에 나타낸 바와 같이 소자 구조체(600)를 형성할 수 있다.
단계(110) 동안에, 패터닝된 구조체(502-508)를 템플릿 마스크로 사용하여 층(306)을 에칭할 수 있어서 도 7에 나타낸 바와 같이 소자 구조체(700)를 형성한다. 소자 구조체(700)는 기판(304), 및 그 위에 형성된 패터닝 구조체(702-708)를 포함한다. 임의의 적절한 에칭 공정이 특징부(702-708)를 형성하는 데 사용될 수 있고, 일반적으로 층(306)의 조성에 의존할 것이다.
도 10은, 본 개시의 예시에 따라 사용하기에 적합한 흐름 제어 장치(1000)를 예시한다. 특히, 도 10은, 불활성 가스 공급원(1002)로부터의 불활성 가스, 반응물 가스 공급원 및/또는 캐리어 가스 공급원(1004)로부터의 반응물 가스 및/또는 캐리어 가스에 대한 흐름 제어 장치(1000)가 (a) 전구체 펄스(예, 전구체 펄스 기간(202, 902)), (b) 소스 퍼지 기간(예, 소스 퍼지 기간(210, 910)), (c) 플라즈마 기간(예, 플라즈마 기간(208, 908)), 및 (d) 증착후 퍼지 기간(예, 증착후 퍼지 기간(212, 912)) 동안에 흐르고 제어될 수 있다. 장치(1000)는 불활성 가스 흐름 제어기(1006), 불활성 가스 밸브(1008), 반응물(및/또는 캐리어) 가스 흐름 제어기(1010), 및 반응물(및/또는 캐리어) 가스 밸브(1012)를 포함한다. 불활성 가스 밸브(1008)는 반응 챔버와 불활성 가스 흐름 제어기(1006) 사이에 있을 수 있다. 반응물(및/또는 캐리어) 가스 밸브(1012)는 반응 챔버와 반응물 (및/또는 캐리어) 가스 흐름 제어기(1010) 사이에 있을 수 있다. 불활성 가스 흐름 제어기(1006) 및/또는 반응물 (및/또는 캐리어) 가스 흐름 제어기(1010)는, 예를 들어 질량 흐름 제어기일 수 있거나 이를 포함할 수 있다. 증착 시스템의 중단을 완화하기 위해, 불활성 가스 공급원(1002)으로부터의 불활성 가스의 (질량 또는 체적) 유량 및 반응물(및/또는 캐리어) 가스 공급원(1004)으로부터의 반응물(및/또는 캐리어)의 유량은, (예를 들어, 약 ±10%, ±5%, 또는 ±2% 이내로) 대략 동일할 수 있다. 예시에 따르면, 불활성 가스가 반응 챔버에 도입됨에 따라, 캐리어 및/또는 반응물 가스의 유량이 감소되어, 총 유량(체적 또는 질량)은 (예를 들어, 약 ±10%, ±5%, 또는 ±2% 이내로) 거의 동일하게 유지될 수 있다.
도 10(a)에 나타낸 바와 같이, 전구체 펄스 동안, 불활성 가스 밸브(1008)가 폐쇄될 수 있고, 반응물(및/또는 캐리어) 가스 밸브(1012)가 개방될 수 있다. 도 10(b)에 나타낸 소스 퍼지 동안에, 불활성 가스 밸브(1008) 및 반응물(및/또는 캐리어) 가스 밸브(1012) 모두 개방될 수 있다. 플라즈마 기간 동안, 불활성 가스 밸브(1008) 및 반응물(및/또는 캐리어) 가스 밸브(1012)가 개방될 수 있거나(도 10c에 나타낸 바와 같음) 불활성 가스 밸브(1008)가 개방될 수 있고 반응물(및/또는 캐리어) 가스 밸브(1012)가 폐쇄될 수 있다. 증착후 퍼지 동안, 불활성 가스 밸브(1008)가 폐쇄될 수 있고, 반응물(및/또는 캐리어) 가스 밸브(1012)가 개방될 수 있다.
이제 도 11로 돌아가면, 본 개시의 예시적인 구현예에 따른 반응기 시스템(1100)을 나타낸다. 반응기 시스템(1100)은, 본원에 설명된 하나 이상의 단계 또는 하위 단계를 수행하고/수행하거나 본원에 설명된 하나 이상의 소자 구조체 또는 이의 부분을 형성하기 위해 사용될 수 있다.
반응기 시스템(1100)은, 반응 챔버(1102)의 내부(1101)(반응 구역)에서 서로 평행하게, 그리고 서로 마주하는 한 쌍의 전기 전도성 평판 전극(1114, 1118)을 포함한다. 하나의 반응 챔버(1102)로 도시되었지만, 시스템(1100)은 두 개 이상의 반응 챔버를 포함할 수 있다. 예를 들어, 플라즈마 전원(들)(1108)에서 하나의 전극(예, 전극(1118))으로 RF 전력을 인가하고 다른 전극(예, 전극(1114))을 전기적으로 접지함으로써, 플라즈마는 반응 챔버(1102) 내에서 여기될 수 있다. 온도 조절기(1103)가 하부 스테이지(1114)(하부 전극)에 제공될 수 있고, 그 위에 배치된 기판(1122)의 온도는 원하는 온도, 예컨대 전술한 온도로 유지될 수 있다. 전극(1118)은, 샤워 플레이트 또는 샤워헤드와 같은 가스 분배 장치로서 기능할 수 있다. 전구체 가스, 반응물 가스, 및 캐리어 또는 불활성 가스 등은, 하나 이상의 가스 라인(예, 반응물 공급원(1130)(예, 산소 반응물 공급원 및 질소 반응물 공급원 중 하나 이상)에 결합된 반응물 가스 라인(1104)) 및 실리콘 전구체 공급원(1131) 각각에 결합된 전구체 가스 라인(1106) 및 불활성 가스 라인(1134)을 사용하여 반응 챔버(1102) 내에 도입될 수 있다. 예를 들어, 불활성 가스 및 반응물(예를 들어, 전술한 바와 같음)은 라인(1104)을 사용해 반응 챔버(1102) 내로 도입될 수 있고/있거나 전구체 및 캐리어 가스(예를 들어, 전술한 바와 같음)가 라인(1106)을 사용해 반응 챔버 내로 도입될 수 있다. 두 개의 유입구 가스 라인(1104, 1106)으로 나타냈지만, 반응기 시스템(1100)은 임의 적절한 개수의 가스 라인을 포함할 수 있다. 흐름 제어기(1132, 1133, 1135)를 포함한 흐름 제어 시스템은, 반응 챔버(1102) 내로 하나 이상의 반응물, 전구체, 및 불활성 가스의 흐름을 제어하기 위해 사용될 수 있다.
반응 챔버(1102)에 배기 라인(1121)을 갖는 원형 덕트(1120)가 제공될 수 있고, 이를 통해 반응 챔버(1102)의 내부(1101)에 있는 가스가 배기 공급원(1110)으로 배기될 수 있다. 추가적으로, 이송 챔버(1123)는, 이송 챔버(1123)의 내부(이송 구역)를 통해 반응 챔버(1102)의 내부(1101)로 밀봉 가스를 유입하기 위한 밀봉 가스 라인(1129)을 구비할 수 있고, 반응 구역(1101)과 이송 챔버(1123)를 분리하기 위한 분리 판(1125)이 제공될 수 있다(기판이 이송 챔버(1123)로 또는 이송 챔버로부터 이송되는 게이트 밸브는 본 도면에서 생략됨). 이송 챔버(1123)는 또한 배기 공급원(1110)에 결합된 배기 라인(1127)을 구비할 수 있다. 일부 구현예에서, 반응 챔버(1102)로의 캐리어 가스의 연속적인 흐름은 유동 통과 시스템(FPS)을 사용하여 수행될 수 있다.
반응기 시스템(1100)은, 본원에 설명된 하나 이상의 방법 단계를 수행하도록 달리 구성되거나 프로그래밍된 하나 이상의 제어기(들)(1112)를 포함할 수 있다. 제어기(들)(1112)는, 당업자가 이해하는 바와 같이, 다양한 전력원, 가열 시스템, 펌프, 로보틱스, 및 반응기의 가스 유량 제어기 또는 밸브들과 결합한다. 예로서, 제어기(1112)는 하나 이상의 반응 챔버 중 적어도 하나 내로의 전구체, 반응물, 및 불활성 가스의 가스 흐름을 제어하여 기판의 표면 상에 층을 형성하도록 구성될 수 있다. 제어기(1112)는, 예를 들어 반응 챔버(1102) 내에 플라즈마를 형성하기 위한 전력을 제공하도록 추가로 구성될 수 있다. 제어기(1112)는 본원에서 설명된 바와 같은 추가 단계를 수행하도록 유사하게 구성될 수 있다. 예시로서, 제어기(1112)는, 하나 이상의 반응 챔버 중 적어도 하나 내로 전구체, 불활성 가스, 및 반응물의 가스 흐름을 제어하여, 기판 위에 실리콘 질화물 층을 형성하도록 구성될 수 있다.
제어기(1112)는 밸브, 매니폴드, 히터, 펌프 및 시스템(1100)에 포함된 다른 구성 요소를 선택적으로 작동시키기 위한 전자 회로 및 소프트웨어를 포함할 수 있다. 이러한 회로 및 구성 요소는, 전구체, 반응물, 퍼지 가스를 각각의 공급원으로부터 도입하기 위해 작동한다. 제어기(1112)는 가스 펄스 순서의 시점, 기판 및/또는 반응 챔버의 온도, 반응 챔버의 압력, 및 시스템(1100)의 적절한 작동을 제공하는데 다양한 기타 작동을 제어할 수 있다.
제어기(1112)는, 반응 챔버(1102) 내로 그리고 반응 챔버로부터의 전구체, 반응물 및/또는 퍼지 가스의 흐름을 제어하기 위한 밸브를 전기식 혹은 공압식으로 제어하는 제어 소프트웨어를 포함할 수 있다. 제어기(1112)는, 소프트웨어 또는 하드웨어 구성 요소, 예를 들어 특정 작업을 수행하는 FPGA 또는 ASIC과 같은 모듈을 포함할 수 있다. 모듈은 제어 시스템의 어드레스 가능한 저장 매체에 탑재되도록 구성되고, 하나 이상의 공정을 실행하도록 유리하게 구성될 수 있다.
특정 예시로서, 제어기(1112)는 반응 챔버 내로 실리콘 전구체 펄스용 실리콘 전구체, 반응물 펄스용 질소 및/또는 산소 반응물, 및 불활성 가스 펄스용 불활성 가스의 가스 흐름을 제어하여 기판의 표면 상에 실리콘 질화물 층 및 실리콘 산화물 층 중 하나 이상을 형성하도록 구성된다. 질소 및/또는 산소 반응물 및 불활성 가스는, 플라즈마 펄스 기간 동안 반응 챔버에 제공될 수 있고, 플라즈마 전력은 플라즈마 전력 기간 동안 펄스화될 수 있다.
일부 구현예에서, 듀얼 챔버 반응기(서로 근접하게 배치된 기판을 공정 처리하기 위한 두 개의 섹션 또는 컴파트먼트)가 이용될 수 있고, 반응물 가스 및 귀가스는 공유된 라인을 통해 공급될 수 있는 반면에 전구체 가스는 공유되지 않는 라인을 통해 공급된다.
시스템(1100)의 작동 중에, 반도체 웨이퍼와 같은 기판은, 예를 들어 기판 핸들링 영역(1123)에서 반응 구역(1101)으로 이송된다. 일단 기판(들)이 반응 구역(1101)으로 이송되면, 전구체, 반응물, 캐리어 가스, 및/또는 퍼지 가스와 같이, 하나 이상의 가스가 반응 챔버(1102) 내로 유입된다.
위에 설명된 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 구현예 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 개시의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경예 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (20)

  1. 구조체를 형성하는 방법으로서, 상기 방법은,
    기판을 반응 챔버 내에 제공하는 단계; 및
    재료 층을 형성하는 단계를 포함하되, 상기 재료 층을 형성하는 단계는,
    실리콘 전구체 펄스 기간 동안에 실리콘 전구체를 상기 반응 챔버에 제공하는 단계;
    질소 반응물 및 산소 반응물 중 하나 이상을 상기 반응 챔버에 제공하는 단계;
    불활성 가스 펄스 기간 동안에 불활성 가스를 상기 반응 챔버에 제공하는 단계; 및
    상기 불활성 가스를 사용하여 플라즈마 기간 동안에 플라즈마를 형성함으로써 상기 기판의 표면 상에 실리콘 질화물 및 실리콘 산화물 중 하나 이상을 증착하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 불활성 가스 펄스 및 상기 플라즈마 형성 단계는 상기 반응 챔버에서 중첩되는, 방법.
  3. 제1항에 있어서, 상기 플라즈마를 형성하는 단계 동안의 전력은 800 W 미만, 500 W 미만, 또는 400 W 미만인, 방법.
  4. 제1항에 있어서, 플라즈마 전력은 상기 플라즈마 기간 동안에 펄스화되는, 방법.
  5. 제4항에 있어서, 상기 플라즈마 전력의 펄스 기간은 100 마이크로초 미만인, 방법.
  6. 제1항에 있어서, 상기 기판은 복수의 탄소 특징부(carbon features)를 포함하는, 방법.
  7. 제1항에 있어서, 상기 실리콘 전구체는 실란, 할로겐실란, 및 유기실란 중 하나 이상을 포함하는, 방법.
  8. 제7항에 있어서, 상기 실리콘 전구체는 상기 할로겐실란을 포함하고, 상기 할로겐실란은 디클로로실란, 디요오드실란, 헥사클로로디실란, 옥타클로로트리실란, 디브로모실란, 트리브로모실란, 트리클로로실란(HSiCl3), 클로로실란(H3SiCl), 실리콘 테트라클로라이드(SiCl4), 브로모실란(H3SiBr), 트리요오드실란(HSil3), 요오드실란(HSil3), 디요오드실란(H2Si2l4), H4Si2l2, 및 H5Si2l 중 하나 이상을 포함하는, 방법.
  9. 제7항에 있어서, 상기 실리콘 전구체는 상기 유기실란을 포함하고, 상기 유기실란은 아미노실란 및 헤테로실란 중 하나 이상을 포함하는, 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 실리콘 전구체는 트리스(디메틸아미노)실란, 비스(tert-부틸아미노)실란, 디(sec-부틸아미노)실란, 트리실릴아민, 네오펜타실란, 비스(디메틸아미노)실란, (디메틸아미노)실란(DMAS), 비스(디에틸아미노)실란(BDEAS), 비스(에틸메틸아미노)실란(BEMAS), 테트라키스(디메틸아미노)실란(TKDMAS), 트리메틸실란(SiH(CH3)3), 테트라메틸실란(Si(Ch3)4), 실란, 테트라(에톡시)실란(TEOS, Si(OC2H5)4), 트리스(tert-부톡시)실란올(TBOS), 트리스(tert-펜톡시)실란올(TPSOL), 및 디메틸디클로로실란((Si(OC2H5)4 및Si(CH3)2(OCH3)2) 중 하나 이상을 포함하는, 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 상기 질소 반응물은 질소(N2), N2O, 및 NO 중 하나 이상을 포함하는, 방법.
  12. 제1항 내지 제10항 중 어느 한 항에 있어서, 상기 산소 반응물은 O2, CO2, CO, N2O 및 NO 중 하나 이상을 포함하는, 방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서, 상기 질소 반응물 및 산소 반응물 중 하나 이상을 상기 반응 챔버에 제공하는 단계는, 실리콘 전구체를 제공하는 단계 동안에 질소 반응물 및 산소 반응물 중 하나 이상을 연속적으로 제공하는 단계 및 상기 플라즈마를 형성하는 단계를 포함하는, 방법.
  14. 제13항에 있어서, 상기 질소 반응물 및 상기 산소 반응물 중 하나 이상의 유량은, 상기 플라즈마를 형성하는 단계 이전에 상기 질소 반응물 및 상기 산소 반응물 중 하나 이상의 유량에 비해, 상기 플라즈마 기간 동안 상기 플라즈마를 형성하는 단계 중에 감소되는, 방법.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서, 상기 플라즈마를 형성하는 단계 동안의 서셉터의 온도는 약 250°C 내지 약 300°C 또는 약 75°C 내지 약 350°C인, 방법.
  16. 제1항 내지 제15항 중 어느 한 항에 있어서, 상기 플라즈마를 형성하는 단계 동안에 상기 질소 반응물 및 상기 산소 반응물 중 하나 이상의 유량과 상기 불활성 가스의 유량은 대략 동일한, 방법.
  17. 제1항 내지 제16항 중 어느 한 항에 있어서, 상기 불활성 가스는 헬륨, 네온 및 크세논 중 하나 이상을 포함하는, 방법.
  18. 제1항 내지 제17항 중 어느 한 항에 있어서, 상기 형성 단계 동안의 상기 반응 챔버 내 압력은, 약 1500 Pa 내지 약 3000 Pa 또는 약 10 Pa 내지 약 13333 Pa인, 방법.
  19. 제1항 내지 제18항 중 어느 한 항의 방법을 사용하여 형성된 소자 구조체.
  20. 시스템으로서,
    반응 챔버;
    실리콘 전구체 공급원;
    산소 반응물 공급원 및 질소 반응물 공급원 중 하나 이상;
    불활성 가스 공급원;
    흐름 제어 시스템;
    플라즈마 전원;
    배기 공급원; 및
    제어기를 포함하되,
    상기 제어기는 상기 반응 챔버로 실리콘 전구체 펄스용 실리콘 전구체, 반응물 펄스용 질소 및/또는 산소 반응물, 및 불활성 가스 펄스용 불활성 가스의 가스 흐름을 제어하도록 구성되어 기판의 표면 상에 실리콘 질화물 층과 실리콘 산화물 층 중 하나 이상을 형성하고,
    플라즈마 전력은 플라즈마 펄스 기간 동안 펄스화되고,
    상기 질소 및/또는 산소 반응물 그리고 상기 불활성 가스는 상기 플라즈마 펄스 기간 동안 상기 반응 챔버에 제공되는, 시스템.
KR1020220039754A 2021-04-06 2022-03-30 패터닝된 구조체의 형성 중 언더레이어 손상을 완화하기 위한 방법 및 시스템 KR20220139233A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163171244P 2021-04-06 2021-04-06
US63/171,244 2021-04-06

Publications (1)

Publication Number Publication Date
KR20220139233A true KR20220139233A (ko) 2022-10-14

Family

ID=83448346

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220039754A KR20220139233A (ko) 2021-04-06 2022-03-30 패터닝된 구조체의 형성 중 언더레이어 손상을 완화하기 위한 방법 및 시스템

Country Status (4)

Country Link
US (1) US20220319833A1 (ko)
KR (1) KR20220139233A (ko)
CN (1) CN115198247A (ko)
TW (1) TW202316488A (ko)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157736B2 (en) * 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
CN114245832A (zh) * 2019-06-07 2022-03-25 朗姆研究公司 原子层沉积期间的膜特性的原位控制

Also Published As

Publication number Publication date
CN115198247A (zh) 2022-10-18
TW202316488A (zh) 2023-04-16
US20220319833A1 (en) 2022-10-06

Similar Documents

Publication Publication Date Title
US10655221B2 (en) Method for depositing oxide film by thermal ALD and PEALD
CN107680903B (zh) 用于半导体图案化应用的掺杂ald膜
KR102384484B1 (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
CN105990108B (zh) 超薄原子层沉积膜厚度的精密控制
TWI834679B (zh) 含金屬硬遮罩薄膜的選擇性生長
KR20180025247A (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR20180053238A (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
US20220005693A1 (en) Silicon nitride and silicon oxide deposition methods using fluorine inhibitor
CN115428122A (zh) 接缝减轻和用于间隙填充的整合式衬垫
KR20220139233A (ko) 패터닝된 구조체의 형성 중 언더레이어 손상을 완화하기 위한 방법 및 시스템
EP4367709A1 (en) Plasma enhanced atomic layer deposition of silicon-containing films
TW202307575A (zh) 光阻底層上形成黏附層之方法及含有黏附層之結構
TW202212623A (zh) 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220136149A (ko) 중간 처리 공정으로 실리콘 질화물을 증착하기 위한 방법 및 시스템
US12074022B2 (en) Method and system for forming patterned structures using multiple patterning process
US20230395372A1 (en) Method and system for forming patterned structures using multiple patterning process
US12100597B2 (en) Method and system for forming patterned structures including silicon nitride
US20220319858A1 (en) Method and system for forming patterned structures including silicon nitride
US20220068647A1 (en) Method and system for forming patterned features on a surface of a substrate
US20230070199A1 (en) Topology-selective deposition method and structure formed using same
KR20220136172A (ko) 무선 저주파 플라즈마 공정을 사용하여 실리콘 질화물 층을 형성하기 위한 방법 및 시스템
KR20240008788A (ko) 실리콘 질화물을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
TW202332793A (zh) 形成碳氧化矽層之方法及系統及使用其形成之結構
CN115772656A (zh) 拓扑选择性氮化物沉积方法和使用该方法形成的结构