KR20220116017A - 개선된 열 균일성 및 프로파일 제어를 위한 선형 램프 어레이 - Google Patents

개선된 열 균일성 및 프로파일 제어를 위한 선형 램프 어레이 Download PDF

Info

Publication number
KR20220116017A
KR20220116017A KR1020227024544A KR20227024544A KR20220116017A KR 20220116017 A KR20220116017 A KR 20220116017A KR 1020227024544 A KR1020227024544 A KR 1020227024544A KR 20227024544 A KR20227024544 A KR 20227024544A KR 20220116017 A KR20220116017 A KR 20220116017A
Authority
KR
South Korea
Prior art keywords
linear
reflector
mounting ring
coupled
lamp
Prior art date
Application number
KR1020227024544A
Other languages
English (en)
Other versions
KR102689403B1 (ko
Inventor
브라이언 버로우즈
슈-콴 대니 라우
지위안 예
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220116017A publication Critical patent/KR20220116017A/ko
Application granted granted Critical
Publication of KR102689403B1 publication Critical patent/KR102689403B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/0033Heating devices using lamps
    • H05B3/0038Heating devices using lamps for industrial applications
    • H05B3/0047Heating devices using lamps for industrial applications for semiconductor manufacture
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B7/00Mountings, adjusting means, or light-tight connections, for optical elements
    • G02B7/008Mountings, adjusting means, or light-tight connections, for optical elements with means for compensating for changes in temperature or for controlling the temperature; thermal stabilisation
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B7/00Mountings, adjusting means, or light-tight connections, for optical elements
    • G02B7/18Mountings, adjusting means, or light-tight connections, for optical elements for prisms; for mirrors
    • G02B7/181Mountings, adjusting means, or light-tight connections, for optical elements for prisms; for mirrors with means for compensating for changes in temperature or for controlling the temperature; thermal stabilisation
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B7/00Mountings, adjusting means, or light-tight connections, for optical elements
    • G02B7/18Mountings, adjusting means, or light-tight connections, for optical elements for prisms; for mirrors
    • G02B7/182Mountings, adjusting means, or light-tight connections, for optical elements for prisms; for mirrors for mirrors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/02Details
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K7/00Constructional details common to different types of electric apparatus
    • H05K7/20Modifications to facilitate cooling, ventilating, or heating
    • H05K7/20009Modifications to facilitate cooling, ventilating, or heating using a gaseous coolant in electronic enclosures
    • H05K7/20136Forced ventilation, e.g. by fans
    • H05K7/20145Means for directing air flow, e.g. ducts, deflectors, plenum or guides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Thermal Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Arrangement Of Elements, Cooling, Sealing, Or The Like Of Lighting Devices (AREA)

Abstract

프로세스 챔버에서 사용하기 위한 상부 반사기 조립체를 위한 방법들 및 장치가 본원에서 제공된다. 일부 실시예들에서, 프로세스 챔버에서 사용하기 위한 상부 반사기 조립체는, 반사기 장착 링; 및 반사기 장착 링에 커플링되고 상부 표면 및 하부 표면을 갖는 상부 반사기 플레이트를 포함하며, 하부 표면은 하부 표면에 걸쳐 서로 실질적으로 평행하게 연장되는 복수의 선형 채널들을 포함하고, 상부 반사기 플레이트는 상부 표면으로부터 하부 표면으로 연장되는 공기 냉각 슬롯들을 포함한다.

Description

개선된 열 균일성 및 프로파일 제어를 위한 선형 램프 어레이
[0001] 본 개시내용의 실시예들은 일반적으로 기판 프로세싱 장비에 관한 것이다.
[0002] 기판을 프로세싱하는 일부 방법들, 예컨대 에피택셜 증착 프로세스들은 프로세스 챔버의 프로세싱 환경 내의 온도에 민감할 수 있다. 예컨대, 프로세싱 환경의 온도에 영향을 미칠 수 있는 하나 이상의 컴포넌트들은, 프로세싱 환경과 열이 교환될 수 있는 챔버 벽들 및/또는 표면들일 수 있다. 그러나, 에피택셜 증착 챔버에서의 열 제어의 부족은 기판 상에 증착되는 재료의 불균일한 두께 및 농도로 이어질 수 있다.
[0003] 이에 따라서, 본 발명자들은 기판 프로세싱 시스템의 가열 및 온도 관리를 위한 개선된 장치를 제공하였다.
[0004] 프로세스 챔버에서 사용하기 위한 상부 반사기 조립체를 위한 방법들 및 장치가 본원에서 제공된다. 일부 실시예들에서, 프로세스 챔버에서 사용하기 위한 상부 반사기 조립체는, 반사기 장착 링; 및 반사기 장착 링에 커플링되고 상부 표면 및 하부 표면을 갖는 상부 반사기 플레이트를 포함하며, 하부 표면은 하부 표면에 걸쳐 서로 실질적으로 평행하게 연장되는 복수의 선형 채널들을 포함하고, 상부 반사기 플레이트는 상부 표면으로부터 하부 표면으로 연장되는 공기 냉각 슬롯들을 포함한다.
[0005] 일부 실시예들에서, 상부 램프 모듈은, 상부 표면 및 하부 표면을 갖는 상부 반사기 플레이트에 커플링된 반사기 장착 링을 포함하는 상부 반사기 조립체 ―하부 표면은 하부 표면에 걸쳐 서로 실질적으로 평행하게 연장되는 복수의 선형 채널들을 포함하고, 상부 반사기 플레이트는 상부 표면으로부터 하부 표면으로 연장되는 공기 냉각 슬롯들을 포함함―; 및 램프 장착 링에 커플링된 외부 하우징 및 램프 장착 링에 커플링된 복수의 선형 가열 램프들을 포함하는 슈라우드 조립체를 포함하며, 램프 장착 링은 반사기 장착 링에 제거가능하게 커플링되며, 복수의 선형 가열 램프들은 복수의 선형 채널들의 측벽들로부터의 열을 반사시키도록 복수의 선형 채널들 내에서 연장된다.
[0006] 일부 실시예들에서, 에피택셜 챔버는, 에피택셜 챔버의 프로세싱 볼륨을 정의하도록 상부 돔 및 하부 돔에 커플링된 챔버 바디; 기판을 지지하도록 내부 볼륨에 배치된 지지 페데스탈; 상부 돔 위에서 챔버 바디에 커플링되고 상부 표면 및 하부 표면을 갖는 상부 반사기 플레이트 ―하부 표면은 하부 표면에 걸쳐 서로 실질적으로 평행하게 연장되는 복수의 선형 채널들을 포함하고, 상부 반사기 플레이트는 상부 표면으로부터 하부 표면으로 연장되는 공기 냉각 슬롯들을 포함함―; 및 램프 장착 링 및 램프 장착 링에 커플링된 복수의 선형 가열 램프들을 포함하는 슈라우드 조립체를 포함하며, 복수의 선형 가열 램프들은 복수의 선형 채널들의 측벽들로부터의 열을 상부 돔을 향해 반사시키도록 복수의 선형 채널들 내에서 연장된다.
[0007] 본 개시내용의 다른 그리고 추가적인 실시예들이 아래에서 설명된다.
[0008] 위에서 간략히 요약되고 아래에서 더 상세히 논의되는 본 개시내용의 실시예들은 첨부된 도면들에 도시된 본 개시내용의 예시적인 실시예들을 참조로 하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 통상적인 실시예들을 예시하므로 범위를 제한하는 것으로 간주되지 않아야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시내용의 일부 실시예들에 따른 프로세스 챔버의 개략적인 측면도를 도시한다.
[0010] 도 2는 본 개시내용의 일부 실시예들에 따른 프로세스 챔버의 개략적인 부분 측면도를 도시한다.
[0011] 도 3은 본 개시내용의 일부 실시예들에 따른 프로세스 챔버의 등각 단면도를 도시한다.
[0012] 도 4는 본 개시내용의 일부 실시예들에 따른 램프 클램핑 메커니즘의 등각도를 도시한다.
[0013] 도 5는 본 개시내용의 일부 실시예들에 따른 램프 클램핑 메커니즘의 등각도를 도시한다.
[0014] 도 6은 본 개시내용의 일부 실시예들에 따른 상부 램프 모듈의 부분 등각 단면도를 도시한다.
[0015] 도 7은 본 개시내용의 일부 실시예들에 따른 상부 램프 모듈의 부분 등각 단면도를 도시한다.
[0016] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 도면들은 실척대로 그려지지 않으며, 명확성을 위해 단순화될 수 있다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있다.
[0017] 기판 프로세스 챔버에서 사용하기 위한 상부 램프 모듈의 실시예들이 본원에서 제공된다. 상부 램프 모듈은 복수의 선형 가열 램프들을 갖는 슈라우드 조립체에 커플링된, 반사기들을 갖는 상부 반사기 조립체를 포함한다. 반사기들은 유리하게는, 개선된 열 균일성 및 프로파일 제어를 위해, 복수의 선형 가열 램프들로부터의 에너지를 프로세싱되고 있는 기판의 표면 상의 특정 위치들로 포커싱하도록 형상화된다.
[0018] 도 1은 본 개시내용의 일부 실시예들에 따른 프로세스 챔버(100)의 개략적인 측면도를 도시한다. 일부 실시예들에서, 프로세스 챔버(100)는 적절하게 수정된(modified) 상업적으로 입수가능한 프로세스 챔버, 이를테면 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 입수가능한 임의의 에피택셜 증착 반응기들, 예컨대 프로세스 챔버들의 Centura® EPI 라인 중 임의의 것 또는 본원에서 설명되는 바와 같은 가열 램프들을 사용할 수 있는 임의의 적절한 반도체 프로세스 챔버일 수 있다. 가열 램프 조립체들을 사용하는 다른 프로세스 챔버들이 또한, 본원에서 제공되는 교시들로부터 이익을 얻을 수 있다.
[0019] 일부 실시예들에서, 프로세스 챔버(100)는 에피택셜 증착 챔버이다. 프로세스 챔버(100)는 일반적으로 챔버 바디(110), 지원 시스템들(130), 제어기(140), 및 전력 소스(150)를 포함할 수 있다. 챔버 바디(110)는 일반적으로 상부 부분(102), 하부 부분(104), 및 인클로저(120)를 포함한다. 진공 시스템(123)이 챔버 바디(110) 내에 원하는 압력을 유지하는 것을 가능하게 하기 위해 챔버 바디(110)에 커플링될 수 있다. 일부 실시예들에서, 진공 시스템(123)은 챔버 바디(110)를 배기시키기 위해 사용되는 진공 펌프(119) 및 스로틀 밸브(도시되지 않음)를 포함할 수 있다. 일부 실시예들에서, 챔버 바디(110) 내부의 압력은 스로틀 밸브 및/또는 진공 펌프(119)를 조정함으로써 조절될 수 있다.
[0020] 상부 부분(102)은 하부 부분(104) 상에 배치되고, 상부 돔(106), 클램프 링(108), 라이너(116), 베이스플레이트(112), 상부 램프 모듈(172), 및 상부 고온계(156)를 포함한다. 상부 램프 모듈(172)은 상부 돔(106) 위에 배치된다. 일부 실시예들에서, 상부 돔(106)은 돔형 폼 팩터를 갖지만, 다른 폼 팩터들(예컨대, 편평한 또는 역곡선 리드(reverse curve lid)들)을 갖는 리드들이 또한 고려된다.
[0021] 하부 부분(104)은 유입 포트(114) 및 배기 포트(118)에 커플링되고, 베이스플레이트 조립체(121), 하부 돔(132), 기판 지지부(124), 예열 링(122), 기판 리프트 조립체(160), 기판 지지 조립체(164), 하부 가열 램프 조립체(152), 하부 반사기 조립체(154) 및 하부 고온계(158)를 포함한다. 상부 돔(106) 및 하부 돔(132)은 프로세스 챔버(100)의 프로세싱 볼륨(148)을 정의하도록 챔버 바디(110)에 커플링된다. 기판 지지부(124)는 기판(101)을 지지하도록 프로세싱 볼륨(148)에 배치된다. 일부 실시예들에서, 기판(101)은 반도체 웨이퍼, 이를테면 150 mm, 200 mm, 300 mm, 450 mm 웨이퍼 등, 또는 박막 제작 프로세스들에서 사용되는 임의의 다른 타입의 기판일 수 있다. 일부 실시예들에서, 기판(101)은 패터닝된 기판일 수 있다.
[0022] "링"이라는 용어가 프로세스 챔버(100)의 특정 컴포넌트들, 이를테면 예열 링(122)을 설명하기 위해 사용되지만, 이들 컴포넌트들의 형상은 원형일 필요는 없으며, 직사각형들, 다각형들, 타원형들 등을 포함(그러나, 이에 제한되지 않음)하는 임의의 형상을 포함할 수 있다. 일부 실시예들에서, 가스 공급부(117)가 유입 포트(114)를 통해 프로세스 챔버(100)에 하나 이상의 프로세스 가스들을 제공할 수 있다. 그러한 실시예들에서, 가스 공급부(117)로부터의 프로세스 가스들의 유동을 제어하기 위해 밸브 또는 질량 유동 제어기(115)가 가스 공급부(117)에 커플링될 수 있다. 상부 돔(106), 클램프 링(108), 및 하부 돔(132)은 석영으로 형성되지만; 다른 IR-투과성 및 프로세스 양립가능 재료들이 또한, 이들 컴포넌트들을 형성하기 위해 사용될 수 있다.
[0023] 프로세싱 동안, 기판(101)은 기판 지지부(124) 상에 배치된다. IR(infrared) 방사(예컨대, 열)의 소스들인 복수의 가열 램프들을 포함하는 하나 이상의 가열 램프 조립체들(예컨대, 아래에서 설명되는 가열 램프 조립체(238), 하부 가열 램프 조립체(152))이 기판(101)에 걸쳐 미리 결정된 온도 분포를 생성하기 위해 사용된다. 하나 이상의 가열 램프 조립체들은 전력 소스(150)로부터 전력을 수신한다.
[0024] 기판 지지 조립체(164)는 일반적으로, 기판 지지부(124)에 커플링된 복수의 지지 핀들(166)을 갖는 지지 브래킷(134)을 포함한다. 기판 리프트 조립체(160)는 기판 리프트 샤프트(126) 및 기판 리프트 샤프트(126)의 개개의 패드들(127) 상에 선택적으로 놓이는 복수의 리프트 핀 모듈들(161)을 포함한다. 일부 실시예들에서, 리프트 핀 모듈(161)은 기판 지지부(124)에 있는 제1 개구(162)를 관통하여 이동가능하게 배치되는, 리프트 핀(128)의 선택적인 상부 부분을 포함한다. 동작 시에, 기판 리프트 샤프트(126)는 리프트 핀들(128)과 맞물리도록 이동된다. 맞물려진 경우, 리프트 핀들(128)은 기판 지지부(124) 위로 기판(101)을 상승시키거나 또는 기판 지지부(124) 상으로 기판(101)을 하강시킬 수 있다.
[0025] 지원 시스템들(130)은 프로세스 챔버(100)에서 (예컨대, 에피택셜 막들을 성장시키는) 미리 결정된 프로세스들을 실행 및 모니터링하기 위해 사용되는 컴포넌트들을 포함한다. 그러한 컴포넌트들은 일반적으로, 프로세스 챔버(100)의 다양한 서브-시스템들(예컨대, 가스 패널(들), 가스 분배 도관들, 진공 및 배기 서브-시스템들 등) 및 디바이스들(예컨대, 전력 공급부들, 프로세스 제어 기기(instrument)들 등)을 포함한다. 이들 컴포넌트들은 당업자들에게 잘 알려져 있으며, 명확성을 위해 도면들로부터 생략된다.
[0026] 제어기(140)는 프로세스 챔버(100)의 컴포넌트들을 제어하기 위해 프로세스 챔버(100)에 제공 및 커플링될 수 있다. 제어기(140)는 기판 프로세스 챔버의 동작을 제어하기 위한 임의의 적절한 제어기일 수 있다. 제어기(140)는 일반적으로, CPU(Central Processing Unit)(142), 메모리(144), 및 지원 회로들(146)을 포함하고, (도 1에 도시된 바와 같이) 직접적으로, 또는 대안적으로 프로세스 챔버 및/또는 지원 시스템들과 연관된 컴퓨터들(또는 제어기들)을 통해, 프로세스 챔버(100), 지원 시스템들(130), 및 전력 소스(150)에 커플링되고 이들을 제어한다.
[0027] CPU(142)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 지원 회로들(146)은 CPU(142)에 커플링되며, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들 등을 포함할 수 있다. 소프트웨어 루틴들은 제어기(140)의 메모리(144)에 저장될 수 있다. 소프트웨어 루틴들은, CPU(142)에 의해 실행될 때, CPU(142)를 특정 목적 컴퓨터(제어기)(140)로 변환한다. 소프트웨어 루틴들은 또한, 제어기(140)로부터 원격으로 위치된 제2 제어기(도시되지 않음)에 의해 실행 및/또는 저장될 수 있다. 대안적으로 또는 조합하여, 예컨대 프로세스 챔버(100)가 다중-챔버 프로세싱 시스템의 일부인 일부 실시예들에서, 다중-챔버 프로세싱 시스템의 각각의 프로세스 챔버는, 해당 특정 프로세스 챔버에서 수행될 수 있는, 본원에서 개시되는 본 발명의 방법들의 일부분들을 제어하기 위한 개개의 제어기를 가질 수 있다. 그러한 실시예들에서, 개별적인 제어기들은 제어기(140)와 유사하게 구성될 수 있고, 프로세스 챔버(100)의 동작을 동기화시키기 위해 제어기(140)에 커플링될 수 있다.
[0028] 도 2는 본 개시내용의 일부 실시예들에 따른 프로세스 챔버(100)의 개략적인 부분 측면도를 도시한다. 일부 실시예들에서, 상부 램프 모듈(172)은 상부 반사기 조립체(236)에 제거가능하게 커플링된 슈라우드 조립체(229)를 포함한다. 일부 실시예들에서, 슈라우드 조립체(229)는 슈라우드 조립체(229)에 배치된 램프 장착 링(208)에 커플링된 외부 하우징(202)을 포함한다. 일부 실시예들에서, 램프 장착 링(208)은 하나 이상의 브래킷들(212)을 통해 외부 하우징(202)에 커플링된다. 외부 하우징(202)은 일반적으로, 중심 개구(204)를 갖는 환형 바디이다. 일부 실시예들에서, 외부 하우징(202)은 인클로저(120)를 부분적으로 정의할 수 있다. 외부 하우징(202)의 측벽은 중심 개구(204)를 통해 도입되는 임의의 가스, 이를테면 공기를 위한 가스 배출구를 제공하기 위한 배기 포트(214)를 포함한다.
[0029] 램프 장착 링(208)은 가열 램프 조립체(238)에 커플링된다. 가열 램프 조립체(238)는 램프 장착 링(208)의 중심 개구를 가로질러 연장되는 복수의 선형 가열 램프들(222)을 포함한다. 일부 실시예들에서, 환형 열 차폐부(228)가 램프 장착 링(208)에 커플링된다. 일부 실시예들에서, 환형 열 차폐부(228)는 임의의 적절한 방식으로, 예컨대 패스너(226)를 통해 제1 돌출부들(220)에 커플링된다. 환상 열 차폐부(228)는 유리하게는, 선형 가열 램프들(222)로부터의 열을 상부 돔(106)을 향해 반사시킨다. 일부 실시예들에서, 환형 열 차폐부(228)는 금 도금된다.
[0030] 상부 반사기 조립체(236)는, 상부 반사기 플레이트(224)의 상부 표면(217) 주위에 배치되고 이러한 상부 표면(217)에 커플링된 반사기 장착 링(206)을 포함한다. 상부 반사기 플레이트(224)는 상부 돔(106) 위에 배치된다. 상부 반사기 플레이트(224)의 하부 표면(248)은 하부 표면(248)에 걸쳐 서로 실질적으로 평행하게 연장되는 복수의 선형 채널들(244)을 포함한다. 복수의 선형 가열 램프들(222)은, 복수의 선형 채널들(244)의 측벽들로부터의 열을 반사시키고 상부 돔(106)을 향해 열을 지향시키도록 복수의 선형 채널들(244) 내에서 연장된다. 복수의 선형 채널들(244)은, 웨이퍼 상의 특정 위치에서의 피크 조사(irradiation)를 포커싱하여 그러한 위치들에서의 온도의 제어를 가능하게 하기 위한 상이한 단면 형상들을 갖는다. 일부 실시예들에서, 복수의 선형 채널들(244)은 U-형상 단면, V-형상 단면, 타원형 단면, 포물형 단면, 또는 직사각형 단면 중 적어도 하나를 갖는다. 상부 반사기 플레이트(224)의 하부 표면(248)은, 유리하게는 더 우수한 열 프로파일 제어를 가능하게 하기 위해 기판(101)에 걸친 많은 위치들에서 방사조도(irradiance) 피크들을 전달하도록 설계될 수 있다. 일부 실시예들에서, 복수의 선형 채널들(244)은 최대 10 개의 선형 채널들을 포함한다. 일부 실시예들에서, 상부 반사기 플레이트는 복수의 선형 가열 램프들(222) 내의 램프들의 수만큼 많은 최대 방사조도 피크들을 생성하도록 구성된다. 일부 실시예들에서, 상부 반사기 플레이트(224)는 금 도금된다. 일부 실시예들에서, 상부 반사기 플레이트(224)는 디스크-형상 플레이트를 형성하도록 함께 커플링되는 복수의 부분들을 포함한다.
[0031] 일부 실시예들에서, 상부 반사기 조립체(236)는 반사기 장착 링(206)의 최상부 표면에 커플링된 내부 하우징(246)을 포함한다. 공기 유입구(256)를 갖는 최상부 플레이트(250)가 내부 하우징(246)에 내부 공기 볼륨(254)을 정의하도록 내부 하우징(246)의 최상부에 커플링된다. 공기 유입구(256)는 공기 공급 덕트(270)에 커플링되고, 배기 포트(214)에 유체적으로 커플링된다. 일부 실시예들에서, 공기 유입구(256)로부터의 공기의 유동을 분할하고 상부 반사기 플레이트(224)의 다양한 존들로 공기의 유동을 지향시키기 위해 하나 이상의 유동 터닝 베인들(260)이 최상부 플레이트(250)와 상부 반사기 플레이트(224) 사이에 배치된다. 일부 실시예들에서, 하나 이상의 유동 터닝 베인들(260)은 상부 반사기 플레이트(224)의 상부 표면(217)에 커플링된다. 일부 실시예들에서, 상부 반사기 조립체(236)는, 내부 하우징(246)에 커플링되며 그리고 외부 하우징(202)과 정합(mate)하도록 반경방향 바깥쪽으로 연장되는 플랜지(215)를 포함한다.
[0032] 상부 반사기 플레이트(224)는 상부 표면(217)으로부터 하부 표면(248)으로 연장되는 공기 냉각 슬롯들(242)을 포함한다. 상부 반사기 조립체(236) 및 슈라우드 조립체(229)는 공기 유입구(256)로부터 상부 반사기 플레이트(224)의 공기 냉각 슬롯들(242) 및 환형 열 차폐부(228)를 통해 배기 포트(214)로 공기를 유동시키도록 구성된다. 일부 실시예들에서, 공기 냉각 슬롯들(242)은, 복수의 선형 가열 램프들(222)을 냉각시켜 타겟 램프 온도를 유지하기 위해 복수의 선형 가열 램프들(222)을 향해 공기 스트림을 지향시키도록 구성된 복수의 제1 슬롯들(258)을 포함한다. 일부 실시예들에서, 타겟 램프 온도는 섭씨 800도 미만이다. 일부 실시예들에서, 복수의 제1 슬롯들(258)은 유리하게는, 상부 돔(106)에 제공되는 냉각 공기에 비해 복수의 선형 가열 램프들(222)로의 냉각 공기의 양(amount)을 제어하기 위해 상부 반사기 플레이트(224)의 상부 표면(217)에 대해 90도 미만의 각도로 연장된다. 일부 실시예들에서, 공기 냉각 슬롯들(242)은, 타겟 상부 돔 온도를 유지하기 위해 유리하게는 상부 돔(106)을 냉각시키기 위한 복수의 제2 슬롯들(262)을 포함한다. 일부 실시예들에서, 타겟 상부 돔 온도는 섭씨 약 200도 내지 약 600도이다. 일부 실시예들에서, 복수의 제1 슬롯들(258)은 복수의 제2 슬롯들(262)보다 더 작다. 공기 냉각 슬롯들(242)은 슬롯별로(slot to slot) 유동 균일성을 위한 적절한 배압을 제공하도록 사이즈가 정해진다. 일부 실시예들에서, 복수의 제2 슬롯들(262)은 상부 반사기 플레이트(224)의 상부 표면(217)에 직교(orthogonal)하게 연장된다.
[0033] 일부 실시예들에서, 램프 장착 링(208)은 램프 장착 링(208)으로부터 반경방향 안쪽으로 연장되는 복수의 제1 돌출부들(220)을 포함한다. 일부 실시예들에서, 복수의 정렬 핀들(216)이 램프 장착 링(208)에 커플링된다. 일부 실시예들에서, 복수의 정렬 핀들(216)의 각각의 핀은 제1 돌출부들(220) 중 대응하는 하나에 커플링된다. 일부 실시예들에서, 복수의 정렬 핀들(216)은 패스너(232)를 통해 제1 돌출부들(220)에 커플링된다. 복수의 정렬 핀들(216)은, 램프 장착 링(208)을 반사기 장착 링(206)에 정렬시키고 제거가능하게 커플링하기 위해, 반사기 장착 링(206)에 있는 개구들(218)을 관통하여 연장되도록 구성된다. 육안 검사를 위해 프로세스 챔버(100)의 내부로 그리고 교체를 위해 선형 가열 램프들(222)로 액세스하기 위해 반사기 장착 링(206)이 유리하게는 쉽게 제거될 수 있도록, 램프 장착 링(208)은 반사기 장착 링(206)에 제거가능하게 커플링된다. 일부 실시예들에서, 램프 장착 링(208)은 램프 장착 링(208)을 클램프 링(108)에 정렬시키기 위해 램프 장착 링(208)의 하부 표면으로부터 연장되는 하나 이상의 핀들(252)을 포함한다.
[0034] 복수의 선형 가열 램프들(222)은, 방사 효율 및 열 제어를 개선하기 위해 상부 돔(106)에 가능한 한 가깝지만, 상부 돔(106) 내부의 기생 증착으로 이어질 수 있는 국부적 콜드 스폿들을 방지하기에는 충분히 멀리 떨어져 포지셔닝된다. 일부 실시예들에서, 복수의 선형 가열 램프들(222)은 상부 돔(106)으로부터 약 25.0 mm 내지 약 150.0 mm 떨어져 배치된다. 복수의 선형 가열 램프들(222)과 상부 돔(106) 사이의 근접성은 유리하게는, 개선된 방사 효율을 가능하게 하여서, 프로세싱 온도들에 도달하는 데 더 적은 전력이 요구된다. 밀접한 근접성(close proximity)은 또한 유리하게는, 복수의 공기 냉각 슬롯들(242)을 통한 가스 유동이 돔에 직접적으로 충돌하여서 대류 열 전달을 개선하기 때문에 효율적인 돔 냉각을 가능하게 한다.
[0035] 도 3은 본 개시내용의 일부 실시예들에 따른 프로세스 챔버의 부분 등각 단면도를 도시한다. 일부 실시예들에서, 복수의 선형 가열 램프들(222)은 환형 열 차폐부(228)에 있는 컷아웃들(302)을 관통하여 연장된다. 일부 실시예들에서, 복수의 선형 가열 램프들(222)은 텅스텐 할로겐 램프들이다. 일부 실시예들에서, 복수의 선형 가열 램프들(222)의 각각의 선형 가열 램프의 제1 단부(308)는 램프 클램핑 메커니즘(306)을 통해 램프 장착 링(208)에 커플링된다. 일부 실시예들에서, 복수의 선형 가열 램프들(222)의 각각의 선형 가열 램프는 복수의 선형 가열 램프들(222)에 전력을 제공하기 위한 전력 라인(310)에 커플링된다. 일부 실시예들에서, 복수의 선형 가열 램프들(222)은 300 mm 반도체 기판을 프로세싱하기 위한 최대 10 개의 램프들을 포함한다. 복수의 선형 가열 램프들(222)의 각각의 램프는 필라멘트(304)를 포함한다. 복수의 선형 가열 램프들(222)의 구조적으로 더 약한 부분인 램프 핀치 포인트(예컨대, 핀치 부분(404))를 갖는 제1 단부(308)를 프로세스 챔버(100)의 고온 영역들로부터 떨어지게 이동시키기 위해서, 복수의 선형 가열 램프들(222)의 각각의 램프의 전체 길이는 점화(lighted) 필라멘트 길이보다 더 길다.
[0036] 복수의 선형 가열 램프들(222)은 형상이 원형인 프로세싱 볼륨(148)에 걸쳐 필라멘트들(304)의 길이를 최대화하기 위해 상이한 사이즈들을 갖는다. 예컨대, 램프 장착 링(208) 내의 중심 구역 위로 연장되는 복수의 선형 가열 램프들(222)의 램프들은 일반적으로, 램프 장착 링(208) 내의 주변 구역 위로 연장되는 램프들보다 길이가 더 길다. 필라멘트(304)는 복수의 선형 가열 램프들(222)의 각각의 램프의 축을 따라 연속적인 방사 플럭스를 방출할 수 있거나, 또는 필라멘트(304)는 복수의 선형 가열 램프들(222)의 각각의 램프의 축을 따라 변하는 방사 플럭스를 가능하게 하도록 프로파일링될 수 있다. 예컨대, 복수의 선형 가열 램프들(222) 중의 램프(222A)는, 램프(222A)의 축을 따라 방사 플럭스를 변화시키기 위해 필라멘트(304)의 코일형 부분들 사이에 갭을 가짐으로써 프로파일링되는 필라멘트(304)를 도시한다. 필라멘트(304)의 길이, 및 축을 따르는 필라멘트(304)의 방사 프로파일은 기판(101) 상의 방사조도 프로파일을 튜닝하도록 선정된다.
[0037] 복수의 선형 가열 램프들(222)은 램프의 축이 (예컨대, 가스 공급부(117)로부터) 프로세스 가스의 가스 유동 경로에 평행하게 또는 수직(perpendicular)이 되게 배향될 수 있다. 도 2에 도시된 바와 같이, 램프들은 가스 유동 경로에 수직으로 배향된다. 복수의 선형 가열 램프들(222)이 기판(101)에 대한 열 프로파일을 제어할 수 있는 것에 추가하여, 다양한 양들의 가스 예열을 가능하게 하기 위해, 유입 포트(114)에 가장 가까운 램프들이 예열 링(122) 및/또는 기판 지지부의 온도를 변경하는 데 사용될 수 있다. 예열 링(122) 및/또는 기판 지지부의 온도에 대한 추가적인 제어는 유리하게는, 기판(101)에 걸친 두께 및/또는 농도 균일성을 위한 추가적인 튜닝 노브(nob)를 제공한다. 복수의 선형 가열 램프들(222)이 유동 경로에 평행하게 배향되는 경우, 가스 유동 경로의 선행 및 후행 에지들에서의 라이너(116) 및 예열 링(122)의 온도들은 낮아질 수 있다. 가스 유동 경로의 선행 및 후행 에지들에서의 라이너(116) 및 예열 링(122)의 더 낮은 온도는 유리하게는 라이너(116) 및 예열 링(122) 상의 기생 증착을 감소시킬 수 있고, 유리하게는 증착 레이트를 증가시킬 수 있으며, 유리하게는 프로세스 챔버(100)의 인-시튜(in-situ) 세정을 수행하기 위한 시간을 감소시킬 수 있다.
[0038] 도 4는 본 개시내용의 일부 실시예들에 따른 램프 클램핑 메커니즘(306)의 등각도를 도시한다. 일부 실시예들에서, 램프 장착 링(208)은 램프 장착 링(208)으로부터 반경방향 안쪽으로 연장되는 복수의 제2 돌출부들(406)을 포함한다. 일부 실시예들에서, 램프 클램핑 메커니즘(306)은 램프 장착 링(208)에 커플링된 장착 블록(402)을 포함한다. 일부 실시예들에서, 램프 클램핑 메커니즘(306)은 복수의 제2 돌출부들(406)의 각각의 제2 돌출부에 커플링된다. 장착 블록(402)은 제1 측(408) 및 제2 측(410), 및 제1 측(408)과 제2 측(410) 사이에 배치된 슬롯(414)을 포함한다. 슬롯(414)은 복수의 선형 가열 램프들(222) 중의 램프를 수용하도록 구성된다. 일부 실시예들에서, 슬롯(414)을 따르는 장착 블록(402)의 상부 표면(418)은 복수의 선형 가열 램프들(222)의 각각의 램프를 포지셔닝하도록 윤곽이 형성된다. 복수의 선형 가열 램프들(222)의 제1 단부(308)는 핀치 부분(404)을 포함하며, 여기서, 복수의 선형 가열 램프들(222)은 복수의 선형 가열 램프들(222)의 나머지의 원형 단면 프로파일과 대조적으로 직사각형 단면 프로파일을 갖는다.
[0039] 일부 실시예들에서, 램프 클램핑 메커니즘(306)은, 장착 블록(402)에 커플링되고 슬롯(414)에 배치된 후방 블록(430)을 포함한다. 일부 실시예들에서, 후방 블록(430)은 전력 라인(310)을 수용하기 위한 개구(426)를 갖는다. 일부 실시예들에서, 후방 블록(430)은 개구(426)로부터 후방 블록(430)의 외부 표면까지 연장되는 슬롯(424)을 포함한다. 일부 실시예들에서, 핀치 부분(404)은 장착 블록(402)에 대한 복수의 선형 가열 램프들(222) 각각의 회전 이동을 방지하기 위해 슬롯(424)에 배치된다.
[0040] 장착 블록(402)은 장착 블록(402)의 제1 측(408)에 회전가능하게 커플링된 클램프(412)를 포함한다. 일부 실시예들에서, 클램프(412)는 암(407)의 제1 단부(405)에 커플링된 바디(403)를 포함한다. 암(407)의 제2 단부(420)는 핀(422)을 포함한다. 사용 시에, 암(407)의 제2 단부(420)는, 핀(422)이 제2 측(410)에 있는 개구(428)에 진입하여 상부 표면(418)과 암(407) 사이에 각각의 램프를 유지할 수 있도록, 복수의 선형 가열 램프들(222)의 각각의 램프 위에서 제2 측(410)으로 스윙한다. 일부 실시예들에서, 핀(422)은 개구(428)에 배치된 대응하는 스레드들과 맞물리도록 스레딩된다.
[0041] 도 5는 본 개시내용의 일부 실시예들에 따른 램프 클램핑 메커니즘(306)의 등각도를 도시한다. 복수의 선형 가열 램프들(222) 중의 램프는 램프 클램핑 메커니즘(306)의 세부사항들이 불명료하게 되지 않도록 도 5로부터 생략된다. 일부 실시예들에서, 램프 클램핑 메커니즘(306)은 램프 장착 링(208)에 커플링된 장착 블록(502)을 포함한다. 일부 실시예들에서, 장착 블록(502)은 복수의 제2 돌출부들(406)의 각각의 제2 돌출부에 커플링된다. 일부 실시예들에서, 장착 블록(502)은 바디(516) 및 융기 부분(520)을 포함한다. 융기 부분은 복수의 선형 가열 램프들(222)의 각각의 램프를 포지셔닝하도록 윤곽이 형성된 상부 표면(518)을 포함한다.
[0042] 장착 블록(502)은 제1 측(508) 및 제2 측(510)을 포함한다. 일부 실시예들에서, 클램프(512)는 장착 블록(502)의 바디(516)에 회전가능하게 커플링된다. 일부 실시예들에서, 클램프(512)는 장착 블록(502)에 회전가능하게 커플링된 제1 핀(503) 및 제1 핀(503)에 커플링된 암(507)을 포함한다. 일부 실시예들에서, 제2 핀(505)이 장착 블록(502)에 커플링된다. 암(507)은, 암(507)이 제2 핀(505)을 향해 회전될 때 제2 핀(505)을 수용하도록 구성된 그루브(522)를 포함한다. 제2 핀(505)은 암(507)의 추가적인 회전을 방지하도록 구성된다. 일부 실시예들에서, 제1 핀(503)은 제1 측(508)에 근접하게 배치되고, 제2 핀(505)은 제2 측(510)에 근접하게 배치된다. 일부 실시예들에서, 제1 핀(503)은 제2 측(510)에 근접하게 배치되고, 제2 핀(505)은 제1 측(508)에 근접하게 배치된다. 복수의 선형 가열 램프들(222) 중의 램프는 제1 핀(503)과 제2 핀(505) 그리고 암(507)과 바디(516) 사이에 유지된다.
[0043] 일부 실시예들에서, 램프 클램핑 메커니즘(306)은 램프 장착 링(208) 상에 배치된 후방 블록(530)을 포함한다. 일부 실시예들에서, 램프 클램핑 메커니즘(306)은 핀(532)을 포함하며, 핀(532)은 후방 블록(530)을 통해 연장되며 후방 블록(530)이 핀(532)을 따라 측방향으로 이동할 수 있도록 장착 블록(502)에 커플링된다. 바이어싱 엘리먼트(534)가 후방 블록(530) 및 복수의 선형 가열 램프들(222)의 각각의 램프를 장착 블록(502)을 향해 가압하도록 핀(532)의 헤드(536)와 후방 블록(530) 사이에서 핀(532) 주위에 배치된다. 바이어싱 엘리먼트(534)는, 장착 블록(502)에 대한 각각의 램프의 반복가능한 축 정렬을 제공하기 위해, 복수의 선형 가열 램프들(222)의 각각의 램프의 제1 단부(308)에 대향하는 단부를 고정 포지션 블록(도시되지 않음)을 향해 가압한다. 후방 블록(530)은 복수의 선형 가열 램프들(222) 각각의 설치 및 제거를 가능하게 하기 위해 헤드(536)를 향해 가압될 수 있다. 일부 실시예들에서, 후방 블록(530)은 전력 라인(310)을 수용하기 위한 개구(526)를 갖는다. 일부 실시예들에서, 후방 블록(530)은 개구(526)로부터 후방 블록(530)의 외부 표면으로 연장되는 슬롯(524)을 포함한다. 일부 실시예들에서, 핀치 부분(404)은 장착 블록(502)에 대한 복수의 선형 가열 램프들(222) 각각의 회전 이동을 방지하기 위해 슬롯(524)에 배치된다.
[0044] 도 6은 본 개시내용의 일부 실시예들에 따른 상부 램프 모듈의 부분 등각 단면도를 도시한다. 일부 실시예들에서, 상부 반사기 플레이트(224)가 냉각되어, 금 도금 실패(failure)가 감소되거나 또는 방지된다. 일부 실시예들에서, 상부 반사기 플레이트(224)의 상부 표면(217)은 복수의 냉각제 채널들(604)을 포함한다. 일부 실시예들에서, 복수의 냉각제 채널들(604)은 복수의 선형 가열 램프들(222)에 평행하게 연장된다. 냉각 튜브(606)가 복수의 냉각제 채널들을 통해 냉각제를 순환시키도록 이러한 복수의 냉각제 채널들에 배치된다. 냉각 튜브(606)는 일 단부에서 유입구(610)에, 다른 단부에서 배출구(612)에 커플링된다. 일부 실시예들에서, 복수의 냉각제 채널들(604)의 수는 복수의 선형 채널들(244)의 수와 대응한다.
[0045] 도 7은 본 개시내용의 일부 실시예들에 따른 상부 램프 모듈의 부분 등각 단면도를 도시한다. 일부 실시예들에서, 장착 블록(702)이 최상부 플레이트(250) 상에 배치된다. 일부 실시예들에서, 상부 돔(106) 및 기판(101) 중 적어도 하나에 대한 온도를 측정하기 위한 하나 이상의 온도 센서들(708)이 장착 블록(702)에 장착된다. 장착 블록(702) 및 최상부 플레이트(250)는 하나 이상의 온도 센서들(708)로부터 상부 돔(106)으로의 광학 경로를 제공하기 위한 개구들(717)을 포함한다. 일부 실시예들에서, 하나 이상의 온도 센서들(708)은 고온계들이다. 일부 실시예들에서, 차폐 튜브(724)가 열 잡음으로부터 하나 이상의 온도 센서들(708)을 차폐하도록 하나 이상의 온도 센서들(708)로부터 내부 공기 볼륨(254) 내로 연장된다.
[0046] 일부 실시예들에서, 하나 이상의 레이저들(706)이 광자 에너지를 기판(101)에 전송하여 프로세싱 동안 국부적 스폿 가열을 제공하도록 장착 블록(702)에 장착된다. 국부적 스폿 가열은 기판(101)의 타겟 영역 상에서의 증착 레이트들을 증가시킬 수 있다. 장착 블록(702) 및 최상부 플레이트(250)는 상부 돔(106)을 향한 하나 이상의 레이저들(706)을 위한 경로를 제공하기 위한 개구들(718)을 포함한다. 일부 실시예들에서, 차폐 튜브(728)가 하나 이상의 레이저들(706)로부터 내부 공기 볼륨(254) 내로 연장된다. 일부 실시예들에서, 하나 이상의 레이저들(706)은 레이저 헤드를 정확하게 포지셔닝하기 위해 레이저 헤드를 조정하기 위한 정렬 피처(feature)들을 포함한다. 일부 실시예들에서, 하나 이상의 레이저들(706)은 상부 돔(106)의 중심으로부터 0.0 mm 내지 160.0 mm에 포지셔닝된다. 일부 실시예들에서, 상부 부분(102)이 하나 이상의 유동 터닝 베인들(260)을 포함하는 경우, 개구들(704)이 이러한 개구들(704)을 통해 하나 이상의 온도 센서들(708)을 위한 광학 경로 및 하나 이상의 레이저들(706)을 위한 레이저 경로를 제공하기 위해 하나 이상의 유동 터닝 베인들(260)에 제공된다.
[0047] 일부 실시예들에서, 상부 반사기 플레이트(224)는, 하나 이상의 레이저들(706)을 위한 레이저 경로 및 하나 이상의 온도 센서들(708)을 위한 광학 경로를 위한 개구들(704)을 포함한다. 일부 실시예들에서, 상부 돔(106) 상의 국부적 콜드 스폿들이 개구들(704)을 통과하는 공기를 냉각시키는 것을 방지하거나 또는 감소시키기 위해 투과성 윈도우(710)가 개구들(704) 위에 배치된다. 투과성 윈도우(710)는 기판(101) 또는 상부 돔(106) 중 적어도 하나로부터 하나 이상의 온도 센서들(708)로 신호를 전송하기에 적절한 재료로 제조된다. 일부 실시예들에서, 투과성 윈도우(710)는 약 2.5 마이크로미터 내지 약 5.0 마이크로미터의 파장을 갖는 신호를 전송하도록 구성된다. 일부 실시예들에서, 투과성 윈도우(710)는 약 2.5 마이크로미터 내지 약 5.0 마이크로미터의 파장을 갖는 신호를 전송하기에 적절한 재료로 코팅된다. 일부 실시예들에서, 투과성 윈도우(710) 상의 코팅은 반사 방지 코팅이다. 일부 실시예들에서, 투과성 윈도우들(710)은 석영 또는 칼슘 플루오라이드(CaF2)로 제조된다. 일부 실시예들에서, 투과성 윈도우들(710)은 기판(101) 온도 측정들을 위해 투명한(clear) 석영으로 제조된다. 석영은 기판(101)으로부터 하나 이상의 온도 센서들(708)로의 신호 손실을 최소화하기 위해 사용될 수 있다. 일부 실시예들에서, 투과성 윈도우들(710)은 상부 돔(106) 측정들을 위해 CaF2로 제조된다. CaF2는 상부 돔(106)으로부터 하나 이상의 온도 센서들(708)로의 신호 손실을 최소화하기 위해 사용될 수 있다.
[0048] 일부 실시예들에서, 하나 이상의 온도 센서들(708)은 주어진 온도 세트 포인트에 대해 기판(101)의 특정 온도를 달성하기 위해 복수의 선형 가열 램프들(222)의 각각의 램프에 전달되는 전력량을 교정하는 데 사용될 수 있다. 일부 실시예들에서, 하나 이상의 온도 센서들(708)은 상부 반사기 플레이트(224)에 걸쳐 포지셔닝된다. 교정은 예컨대 주기적으로 또는 임의의 램프 교체 동안 수행될 수 있다.
[0049] 전술된 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본적인 범위를 벗어나지 않으면서, 본 개시내용의 다른 그리고 추가적인 실시예들이 안출될 수 있다.

Claims (20)

  1. 프로세스 챔버에서 사용하기 위한 상부 반사기 조립체로서,
    반사기 장착 링; 및
    상기 반사기 장착 링에 커플링되고 상부 표면 및 하부 표면을 갖는 상부 반사기 플레이트
    를 포함하며,
    상기 하부 표면은 상기 하부 표면에 걸쳐 서로 실질적으로 평행하게 연장되는 복수의 선형 채널들을 포함하고, 상기 상부 반사기 플레이트는 상기 상부 표면으로부터 상기 하부 표면으로 연장되는 공기 냉각 슬롯들을 포함하는,
    프로세스 챔버에서 사용하기 위한 상부 반사기 조립체.
  2. 제1 항에 있어서,
    상기 공기 냉각 슬롯들은 복수의 선형 가열 램프들을 향해 공기 스트림을 지향시키도록 구성된 복수의 제1 슬롯들 및 상기 프로세스 챔버의 상부 돔을 향해 공기 스트림을 지향시키도록 구성된 복수의 제2 슬롯들을 포함하는,
    프로세스 챔버에서 사용하기 위한 상부 반사기 조립체.
  3. 제2 항에 있어서,
    상기 복수의 제1 슬롯들은 상기 상부 반사기 플레이트(224)의 상기 상부 표면에 대해 90도 미만의 각도로 연장되는,
    프로세스 챔버에서 사용하기 위한 상부 반사기 조립체.
  4. 제1 항에 있어서,
    상기 상부 표면은 복수의 냉각제 채널들을 포함하는,
    프로세스 챔버에서 사용하기 위한 상부 반사기 조립체.
  5. 제1 항에 있어서,
    상기 복수의 선형 채널들은 상이한 단면 형상들을 갖는,
    프로세스 챔버에서 사용하기 위한 상부 반사기 조립체.
  6. 제1 항에 있어서,
    상기 복수의 선형 채널들은 U-형상 단면, V-형상 단면, 타원형 단면, 포물형 단면, 또는 직사각형 단면 중 적어도 하나를 갖는,
    프로세스 챔버에서 사용하기 위한 상부 반사기 조립체.
  7. 제1 항에 있어서,
    상기 반사기 장착 링에 커플링된 내부 하우징 및 상기 내부 하우징에 커플링된 최상부 플레이트를 더 포함하며, 상기 최상부 플레이트는 상기 내부 하우징을 통한 그리고 상기 공기 냉각 슬롯들을 통한 공기의 유동을 가능하게 하도록 구성된 공기 유입구를 포함하는,
    프로세스 챔버에서 사용하기 위한 상부 반사기 조립체.
  8. 제7 항에 있어서,
    상기 공기 유입구로부터 상기 상부 반사기 플레이트의 다양한 존들로 상기 공기의 유동을 지향시키도록 상기 최상부 플레이트와 상기 상부 반사기 플레이트 사이에 배치된 하나 이상의 유동 터닝 베인(turning vane)들을 더 포함하는,
    프로세스 챔버에서 사용하기 위한 상부 반사기 조립체.
  9. 상부 램프 모듈로서,
    상부 표면 및 하부 표면을 갖는 상부 반사기 플레이트에 커플링된 반사기 장착 링을 포함하는 상부 반사기 조립체 ―상기 하부 표면은 상기 하부 표면에 걸쳐 서로 실질적으로 평행하게 연장되는 복수의 선형 채널들을 포함하고, 상기 상부 반사기 플레이트는 상기 상부 표면으로부터 상기 하부 표면으로 연장되는 공기 냉각 슬롯들을 포함함―; 및
    램프 장착 링에 커플링된 외부 하우징 및 상기 램프 장착 링에 커플링된 복수의 선형 가열 램프들을 포함하는 슈라우드 조립체
    를 포함하며,
    상기 램프 장착 링은 상기 반사기 장착 링에 제거가능하게 커플링되며, 상기 복수의 선형 가열 램프들은 상기 복수의 선형 채널들의 측벽들로부터의 열을 반사시키도록 상기 복수의 선형 채널들 내에서 연장되는,
    상부 램프 모듈.
  10. 제9 항에 있어서,
    상기 램프 장착 링은, 상기 램프 장착 링을 상기 반사기 장착 링에 정렬시키고 제거가능하게 커플링하기 위해 상기 반사기 장착 링에 있는 개구들을 관통하여 연장되도록 구성된 정렬 핀들을 포함하는,
    상부 램프 모듈.
  11. 제9 항에 있어서,
    상기 복수의 선형 가열 램프들의 각각의 선형 램프는 램프 클램핑 메커니즘을 통해 제1 단부에서 상기 램프 장착 링에 커플링되고, 상기 램프 클램핑 메커니즘은 장착 블록을 포함하고, 상기 장착 블록은 상기 장착 블록에 회전가능하게 커플링된 클램프를 가지며, 상기 장착 블록의 상부 표면은 각각의 선형 램프를 포지셔닝하도록 윤곽이 형성되는,
    상부 램프 모듈.
  12. 제11 항에 있어서,
    상기 장착 블록에 배치되는 슬롯을 갖는 후방 블록을 더 포함하며, 상기 선형 램프의 상기 제1 단부는 상기 장착 블록에 대한 상기 선형 램프의 회전 이동을 방지하기 위해 상기 슬롯에 배치되는,
    상부 램프 모듈.
  13. 제9 항에 있어서,
    상기 상부 반사기 조립체는 공기 유입구를 갖는 최상부 플레이트를 포함하고, 상기 슈라우드 조립체는 배기 포트를 포함하며, 상기 상부 반사기 조립체 및 상기 슈라우드 조립체는 상기 공기 유입구로부터 상기 상부 반사기 플레이트의 상기 공기 냉각 슬롯들을 통해 상기 배기 포트로 공기를 유동시키도록 구성되는,
    상부 램프 모듈.
  14. 제9 항에 있어서,
    상기 상부 반사기 플레이트는 투과성 윈도우로 커버된, 상기 상기 상부 반사기 플레이트를 관통하는 개구를 포함하고, 상기 상부 반사기 조립체는 상기 투과성 윈도우를 통해 온도를 측정하도록 구성된 온도 센서를 포함하거나 또는 상기 투과성 윈도우를 통해 광자 에너지를 전송하도록 구성된 레이저를 포함하는,
    상부 램프 모듈.
  15. 에피택셜 증착 챔버로서,
    상기 에피택셜 증착 챔버의 프로세싱 볼륨을 정의하도록 상부 돔 및 하부 돔에 커플링된 챔버 바디;
    기판을 지지하도록 상기 프로세싱 볼륨에 배치된 지지 페데스탈;
    상기 상부 돔 위에서 상기 챔버 바디에 커플링되고 상부 표면 및 하부 표면을 갖는 상부 반사기 플레이트 ―상기 하부 표면은 상기 하부 표면에 걸쳐 서로 실질적으로 평행하게 연장되는 복수의 선형 채널들을 포함하고, 상기 상부 반사기 플레이트는 상기 상부 표면으로부터 상기 하부 표면으로 연장되는 공기 냉각 슬롯들을 포함함―; 및
    램프 장착 링 및 상기 램프 장착 링에 커플링된 복수의 선형 가열 램프들을 포함하는 슈라우드 조립체
    를 포함하며,
    상기 복수의 선형 가열 램프들은 상기 복수의 선형 채널들의 측벽들로부터의 열을 상기 상부 돔을 향해 반사시키도록 상기 복수의 선형 채널들 내에서 연장되는,
    에피택셜 증착 챔버.
  16. 제15 항에 있어서,
    상기 복수의 선형 가열 램프들은 상기 상부 돔으로부터 약 25.0 mm 내지 약 150.0 mm 떨어져 배치되는,
    에피택셜 증착 챔버.
  17. 제15 항에 있어서,
    상기 상부 반사기 플레이트의 상기 상부 표면은 복수의 냉각제 채널들을 포함하고, 상기 복수의 냉각제 채널들을 통해 냉각제를 순환시키도록 상기 복수의 냉각제 채널들에 냉각 튜브가 배치되는,
    에피택셜 증착 챔버.
  18. 제15 항에 있어서,
    상기 공기 냉각 슬롯들은 상기 복수의 선형 가열 램프들을 냉각시키도록 구성된 복수의 제1 슬롯들 및 상기 에피택셜 증착 챔버의 상기 상부 돔을 냉각시키도록 구성된 복수의 제2 슬롯들을 포함하는,
    에피택셜 증착 챔버.
  19. 제15 항에 있어서,
    상기 상부 반사기 플레이트는 투과성 윈도우로 커버되는 관통 개구를 포함하며, 상기 투과성 윈도우를 통해 상기 상부 돔 또는 상기 지지 페데스탈 상에 배치된 기판 중 적어도 하나의 온도를 측정하도록 상기 에피택셜 증착 챔버의 상부 부분에 온도 센서가 장착되는,
    에피택셜 증착 챔버.
  20. 제19 항에 있어서,
    상기 투과성 윈도우는 석영 또는 칼슘 플루오라이드(CaF2)로 제조되는,
    에피택셜 증착 챔버.
KR1020227024544A 2019-12-19 2020-10-22 개선된 열 균일성 및 프로파일 제어를 위한 선형 램프 어레이 KR102689403B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962950855P 2019-12-19 2019-12-19
US62/950,855 2019-12-19
US16/833,352 2020-03-27
US16/833,352 US11680338B2 (en) 2019-12-19 2020-03-27 Linear lamp array for improved thermal uniformity and profile control
PCT/US2020/056885 WO2021126364A1 (en) 2019-12-19 2020-10-22 Linear lamp array for improved thermal uniformity and profile control

Publications (2)

Publication Number Publication Date
KR20220116017A true KR20220116017A (ko) 2022-08-19
KR102689403B1 KR102689403B1 (ko) 2024-07-26

Family

ID=76439567

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227024544A KR102689403B1 (ko) 2019-12-19 2020-10-22 개선된 열 균일성 및 프로파일 제어를 위한 선형 램프 어레이

Country Status (6)

Country Link
US (1) US11680338B2 (ko)
EP (1) EP4077774A4 (ko)
KR (1) KR102689403B1 (ko)
CN (2) CN116193644A (ko)
TW (1) TW202138609A (ko)
WO (1) WO2021126364A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12018372B2 (en) 2021-05-11 2024-06-25 Applied Materials, Inc. Gas injector for epitaxy and CVD chamber
US12060651B2 (en) 2021-05-11 2024-08-13 Applied Materials, Inc. Chamber architecture for epitaxial deposition and advanced epitaxial film applications
US12091749B2 (en) 2021-05-11 2024-09-17 Applied Materials, Inc. Method for epitaxially depositing a material on a substrate by flowing a process gas across the substrate from an upper gas inlet to an upper gas outlet and flowing a purge gas from a lower gas inlet to a lower gas outlet
WO2024118847A1 (en) * 2022-11-30 2024-06-06 Lam Research Corporation Pedestal with spiral vanes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040125593A1 (en) * 2002-11-01 2004-07-01 Kornic Systems Corp. Heater module of rapid thermal processing apparatus
US20100263587A1 (en) * 2009-02-25 2010-10-21 Crystal Solar, Incorporated High throughput multi-wafer epitaxial reactor
US20160079062A1 (en) * 2014-09-15 2016-03-17 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high k at channel

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8316304D0 (en) 1983-06-15 1983-07-20 Emi Plc Thorn Heating apparatus
US4654509A (en) 1985-10-07 1987-03-31 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
JP5017950B2 (ja) 2005-09-21 2012-09-05 株式会社Sumco エピタキシャル成長装置の温度管理方法
JP5282409B2 (ja) 2008-02-25 2013-09-04 ウシオ電機株式会社 光照射式加熱方法及び光照射式加熱装置
JP4821819B2 (ja) 2008-08-26 2011-11-24 ウシオ電機株式会社 フィラメントランプおよび光照射式加熱処理装置
US8298629B2 (en) 2009-02-25 2012-10-30 Crystal Solar Incorporated High throughput multi-wafer epitaxial reactor
US10699922B2 (en) 2014-07-25 2020-06-30 Applied Materials, Inc. Light pipe arrays for thermal chamber applications and thermal processes
WO2016126381A1 (en) 2015-02-05 2016-08-11 Applied Materials, Inc. Rapid thermal processing chamber with linear control lamps
US10932323B2 (en) * 2015-08-03 2021-02-23 Alta Devices, Inc. Reflector and susceptor assembly for chemical vapor deposition reactor
KR102576702B1 (ko) 2016-07-06 2023-09-08 삼성전자주식회사 증착 공정 모니터링 시스템, 및 그 시스템을 이용한 증착 공정 제어방법과 반도체 소자 제조방법
JP6847199B2 (ja) 2016-07-22 2021-03-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピの均一性調整を改善するための加熱変調器

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040125593A1 (en) * 2002-11-01 2004-07-01 Kornic Systems Corp. Heater module of rapid thermal processing apparatus
US20100263587A1 (en) * 2009-02-25 2010-10-21 Crystal Solar, Incorporated High throughput multi-wafer epitaxial reactor
US20160079062A1 (en) * 2014-09-15 2016-03-17 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high k at channel

Also Published As

Publication number Publication date
CN114929949A (zh) 2022-08-19
KR102689403B1 (ko) 2024-07-26
WO2021126364A1 (en) 2021-06-24
US20210189593A1 (en) 2021-06-24
TW202138609A (zh) 2021-10-16
US11680338B2 (en) 2023-06-20
CN116193644A (zh) 2023-05-30
EP4077774A4 (en) 2024-02-14
EP4077774A1 (en) 2022-10-26

Similar Documents

Publication Publication Date Title
KR102689403B1 (ko) 개선된 열 균일성 및 프로파일 제어를 위한 선형 램프 어레이
TWI705514B (zh) Epi中的多區域點加熱
US6435869B2 (en) Quartz window having reinforcing ribs
US8150242B2 (en) Use of infrared camera for real-time temperature monitoring and control
EP4138121A1 (en) Diode laser for wafer heating for epi processes
US11842907B2 (en) Spot heating by moving a beam with horizontal rotary motion
US6566630B2 (en) Thermal processing apparatus for introducing gas between a target object and a cooling unit for cooling the target object
KR20010089787A (ko) 열 프로세싱 챔버들에서 반도체 웨이퍼들을 가열하기 위한가열디바이스
US11177144B2 (en) Wafer spot heating with beam width modulation
CN106104750B (zh) 改良的热处理腔室
JPH05190464A (ja) 気相成長装置
US20090277894A1 (en) Method for reducing stray light in a rapid thermal processing chamber by polarization
US20240248282A1 (en) Apparatus and methods for heating tunability in processing chambers
JP2002519286A (ja) 熱状態調節プロセス
US20240248298A1 (en) Apparatus and methods for heating tunability in processing chambers
US20240248297A1 (en) Apparatus and methods for heating tunability in processing chambers
JP4646354B2 (ja) 熱処理装置及び方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant