KR20220095940A - Semiconductor photoresist composition, and method of forming patterns using the composition - Google Patents

Semiconductor photoresist composition, and method of forming patterns using the composition Download PDF

Info

Publication number
KR20220095940A
KR20220095940A KR1020200187967A KR20200187967A KR20220095940A KR 20220095940 A KR20220095940 A KR 20220095940A KR 1020200187967 A KR1020200187967 A KR 1020200187967A KR 20200187967 A KR20200187967 A KR 20200187967A KR 20220095940 A KR20220095940 A KR 20220095940A
Authority
KR
South Korea
Prior art keywords
group
substituted
unsubstituted
formula
photoresist
Prior art date
Application number
KR1020200187967A
Other languages
Korean (ko)
Other versions
KR102586110B1 (en
Inventor
강은미
김재현
김지민
문경수
우창수
전환승
채승용
한승
Original Assignee
삼성에스디아이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성에스디아이 주식회사 filed Critical 삼성에스디아이 주식회사
Priority to KR1020200187967A priority Critical patent/KR102586110B1/en
Publication of KR20220095940A publication Critical patent/KR20220095940A/en
Application granted granted Critical
Publication of KR102586110B1 publication Critical patent/KR102586110B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Materials For Photolithography (AREA)

Abstract

Provided are: a semiconductor photoresist composition comprising an organometallic compound represented by chemical formula 1 and a solvent; and a method for forming a pattern using the same. The details of chemical formula 1 are as defined in the specification.

Description

반도체 포토레지스트용 조성물, 및 이를 이용한 패턴 형성 방법{SEMICONDUCTOR PHOTORESIST COMPOSITION, AND METHOD OF FORMING PATTERNS USING THE COMPOSITION}A composition for a semiconductor photoresist, and a pattern formation method using the same

본 기재는 반도체 포토레지스트용 조성물, 및 이를 이용한 패턴 형성 방법에 관한 것이다.The present disclosure relates to a composition for a semiconductor photoresist, and a pattern forming method using the same.

차세대의 반도체 디바이스를 제조하기 위한 요소 기술의 하나로서, EUV(극자외선광) 리소그래피가 주목받고 있다. EUV 리소그래피는 노광 광원으로서 파장 13.5 nm의 EUV 광을 이용하는 패턴 형성 기술이다. EUV 리소그래피에 의하면, 반도체 디바이스 제조 프로세스의 노광 공정에서, 극히 미세한 패턴(예를 들어 20 nm 이하)을 형성할 수 있음이 실증되어 있다.EUV (extreme ultraviolet light) lithography is attracting attention as one of the elemental technologies for manufacturing a next-generation semiconductor device. EUV lithography is a pattern forming technique using EUV light having a wavelength of 13.5 nm as an exposure light source. According to EUV lithography, it is demonstrated that an extremely fine pattern (for example, 20 nm or less) can be formed in the exposure step of a semiconductor device manufacturing process.

극자외선(extreme ultraviolet, EUV) 리소그래피의 구현은 16 nm 이하의 공간 해상도(spatial resolutions)에서 수행할 수 있는 호환 가능한 포토레지스트들의 현상(development)을 필요로 한다. 현재, 전통적인 화학 증폭형(CA: chemically amplified) 포토레지스트들은, 차세대 디바이스들을 위한 해상도(resolution), 광속도(photospeed), 및 피쳐 거칠기(feature roughness), 라인 에지 거칠기(line edge roughness 또는 LER)에 대한 사양(specifications)을 충족시키기 위해 노력하고 있다. Implementation of extreme ultraviolet (EUV) lithography requires the development of compatible photoresists that can perform at sub- 16 nm spatial resolutions. Currently, traditional chemically amplified (CA) photoresists provide for resolution, photospeed, and feature roughness, line edge roughness or LER for next-generation devices. Efforts are being made to meet specifications.

이들 고분자형 포토레지스트들에서 일어나는 산 촉매 반응들(acid catalyzed reactions)에 기인한 고유의 이미지 흐려짐(intrinsic image blur)은 작은 피쳐(feature) 크기들에서 해상도를 제한하는데, 이는 전자빔(e-beam) 리소그래피에서 오랫동안 알려져 왔던 사실이다. 화학 증폭형(CA) 포토레지스트들은 높은 민감도(sensitivity)를 위해 설계되었으나, 그것들의 전형적인 원소 구성(elemental makeup)이 13.5 nm의 파장에서 포토레지스트들의 흡광도를 낮추고, 그 결과 민감도를 감소시키기 때문에, 부분적으로는 EUV 노광 하에서 더 어려움을 겪을 수 있다.The intrinsic image blur due to acid catalyzed reactions taking place in these polymeric photoresists limits resolution at small feature sizes, which is why e-beam This is a fact that has long been known in lithography. Chemically amplified (CA) photoresists are designed for high sensitivity, but because their typical elemental makeup lowers the absorbance of photoresists at a wavelength of 13.5 nm, which in turn reduces sensitivity, may suffer more under EUV exposure.

CA 포토레지스트들은 또한, 작은 피쳐 크기들에서 거칠기(roughness) 이슈들로 인해 어려움을 겪을 수 있고, 부분적으로 산 촉매 공정들의 본질에 기인하여, 광속도(photospeed)가 감소함에 따라 라인 에지 거칠기(LER)가 증가하는 것이 실험으로 나타났다. CA 포토레지스트들의 결점들 및 문제들에 기인하여, 반도체 산업에서는 새로운 유형의 고성능 포토레지스트들에 대한 요구가 있다.CA photoresists may also suffer from roughness issues at small feature sizes, due in part to the nature of acid catalyzed processes, as line edge roughness (LER) decreases as photospeed decreases. has been shown to increase experimentally. Due to the drawbacks and problems of CA photoresists, there is a need in the semiconductor industry for a new type of high performance photoresists.

상기 설명한 화학 증폭형 유기계 감광성 조성물의 단점을 극복하기 위하여 무기계 감광성 조성물이 연구되어 왔다. 무기계 감광성 조성물의 경우 주로 비화학 증폭형 기작에 의한 화학적 변성으로 현상제 조성물에 의한 제거에 내성을 갖는 네거티브 톤 패터닝에 사용된다. 무기계 조성물의 경우 탄화수소에 비해 높은 EUV 흡수율을 가진 무기계 원소를 함유하고 있어, 비화학 증폭형 기작으로도 민감성이 확보될 수 있으며, 스토캐스틱 효과에도 덜 민감하여 선 에지 거칠기 및 결함 개수도 적다고 알려져 있다.In order to overcome the disadvantages of the above-described chemically amplified organic photosensitive composition, an inorganic photosensitive composition has been studied. In the case of an inorganic photosensitive composition, it is mainly used for negative tone patterning that is resistant to removal by a developer composition due to chemical modification by a non-chemical amplification mechanism. In the case of inorganic compositions, they contain inorganic elements with higher EUV absorption compared to hydrocarbons, so sensitivity can be secured even with a non-chemical amplification mechanism, and it is less sensitive to the stochastic effect, so it is known that the roughness of the line edge and the number of defects are small. .

텅스텐, 및 니오븀(niobium), 티타늄(titanium), 및/또는 탄탈륨(tantalum)과 혼합된 텅스텐의 퍼옥소폴리산(peroxopolyacids)에 기초한 무기 포토레지스트들은 패터닝을 위한 방사민감성 재료들(radiation sensitive materials)용으로 보고되어 왔다 (US5061599,; H. Okamoto, T. Iwayanagi, K. Mochiji, H. Umezaki, T. Kudo, Applied Physics Letters, 49(5), 298-300, 1986).Inorganic photoresists based on tungsten and peroxopolyacids of tungsten mixed with niobium, titanium, and/or tantalum are radiation sensitive materials for patterning. for use (US5061599,; H. Okamoto, T. Iwayanagi, K. Mochiji, H. Umezaki, T. Kudo, Applied Physics Letters, 49(5), 298-300, 1986).

이들 재료들은 원자외선(deep UV), x-선, 및 전자빔 소스들로써 이중층 구성(bilayer configuration)에 큰 피쳐들을 패터닝 함에 있어서 효과적이었다. 더 최근에는, 프로젝션 EUV 노광에 의해 15 nm 하프-피치(HP)를 이미징(image)하기 위해 퍼옥소 착화제(peroxo complexing agent)와 함께 양이온 하프늄 메탈 옥사이드 설페이트(cationic hafnium metal oxide sulfate, HfSOx) 재료를 사용하는 경우 인상적인 성능을 보였다(US2011-0045406,; J. K. Stowers, A. Telecky, M. Kocsis, B. L. Clark, D. A. Keszler, A. Grenville, C. N. Anderson, P. P. Naulleau, Proc. SPIE, 7969, 796915, 2011). 이 시스템은 비-CA 포토레지스트(non-CA photoresist)의 최상의 성능을 보였고, 실행 가능한 EUV 포토레지스트를 위한 요건에 접근하는 광속도를 갖는다. 그러나 퍼옥소 착화제를 갖는 하프늄 메탈 옥사이드 설페이트 재료(hafnium metal oxide sulfate materials)는 몇가지 현실적인 결점들을 갖는다. 첫째, 이 재료들은 높은 부식성의 황산(corrosive sulfuric acid)/과산화수소(hydrogen peroxide) 혼합물에서 코팅되며, 보존기간(shelf-life) 안정성(stability)이 좋지 않다. 둘째, 복합 혼합물로서 성능 개선을 위한 구조변경이 용이하지 않다. 셋째, 25 wt% 정도의 극히 높은 농도의 TMAH (tetramethylammonium hydroxide) 용액 등에서 현상되어야 한다.These materials were effective in patterning large features in a bilayer configuration with deep UV, x-ray, and electron beam sources. More recently, cationic hafnium metal oxide sulfate (HfSOx) materials with a peroxo complexing agent to image 15 nm half-pitch (HP) by projection EUV exposure. (US2011-0045406,; J. K. Stowers, A. Telecky, M. Kocsis, B. L. Clark, D. A. Keszler, A. Grenville, C. N. Anderson, P. P. Naulleau, Proc. SPIE, 7969, 796915, 2011) ). This system showed the best performance of a non-CA photoresist and had a light speed approaching the requirements for a viable EUV photoresist. However, hafnium metal oxide sulfate materials with peroxo complexing agents have several practical drawbacks. First, these materials are coated in a highly corrosive sulfuric acid/hydrogen peroxide mixture and have poor shelf-life stability. Second, it is not easy to change the structure to improve performance as a complex mixture. Third, it should be developed in an extremely high concentration of about 25 wt% TMAH (tetramethylammonium hydroxide) solution.

일 구현예는 에치 내성 및 유기 용매에 대한 용해도가 우수하며, 감도 및 패턴 형성성이 우수한 반도체 포토레지스트용 조성물을 제공한다. One embodiment provides a composition for a semiconductor photoresist having excellent etch resistance and solubility in organic solvents, and excellent sensitivity and pattern formation properties.

다른 구현예는 상기 반도체 포토레지스트용 조성물을 이용한 패턴 형성 방법을 제공한다.Another embodiment provides a pattern forming method using the composition for a semiconductor photoresist.

일 구현예에 따른 반도체 포토레지스트용 조성물은 하기 화학식 1로 표시되는 유기 금속 화합물, 및 용매를 포함한다.The composition for a semiconductor photoresist according to an embodiment includes an organometallic compound represented by the following Chemical Formula 1, and a solvent.

[화학식 1][Formula 1]

Figure pat00001
Figure pat00001

상기 화학식 1에서,In Formula 1,

R1 내지 R6은 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, ORa, -OC(=O)Rb 또는 이들의 조합이고,R 1 To R 6 are each independently hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, OR a , -OC(=O)R b or a combination thereof,

Ra 및 Rb는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기 또는 이들의 조합이며,R a and R b are each independently a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, or a substituted or unsubstituted C2 to C20 alky a nyl group or a combination thereof,

R1 내지 R6은 동시에 수소는 아니다.R 1 to R 6 are not hydrogen at the same time.

상기 R1 내지 R6은 각각 독립적으로 치환 또는 비치환된 C1 내지 C10 알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알키닐기, ORa 및 -OC(=O)Rb로 이루어진 군에서 선택되는 적어도 하나이며, 상기 Ra 및 Rb는 각각 독립적으로 치환 또는 비치환된 C1 내지 C10 알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알키닐기 또는 이들의 조합일 수 있다.wherein R 1 to R 6 are each independently a substituted or unsubstituted C1 to C10 alkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, OR a and -OC(=O ) at least one selected from the group consisting of R b , wherein R a and R b are each independently a substituted or unsubstituted C1 to C10 alkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, or a substituted or unsubstituted C2 to C8 alkynyl group or a combination thereof.

상기 R1 내지 R6은 각각 독립적으로 메틸기, 에틸기, n-프로필기, iso-프로필기, n-부틸기, iso-부틸기, sec-부틸기, t-부틸기, ORa 및 -OC(=O)Rb로 이루어진 군에서 선택되는 적어도 하나이며, 상기 Ra 및 Rb는 각각 독립적으로 치환 또는 비치환된 C1 내지 C10 알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알키닐기 또는 이들의 조합일 수 있다.wherein R 1 to R 6 are each independently a methyl group, an ethyl group, n-propyl group, iso-propyl group, n-butyl group, iso-butyl group, sec-butyl group, t-butyl group, OR a and -OC ( =O) at least one selected from the group consisting of R b , wherein R a and R b are each independently a substituted or unsubstituted C1 to C10 alkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted It may be a C2 to C8 alkynyl group or a combination thereof.

상기 Ra 및 Rb는 각각 독립적으로 메틸기, 에틸기, n-프로필기, iso-프로필기, n-부틸기, iso-부틸기, sec-부틸기, t-부틸기, 또는 치환 또는 비치환된 C2 내지 C6 알케닐기일 수 있다.wherein R a and R b are each independently a methyl group, an ethyl group, an n-propyl group, an iso-propyl group, an n-butyl group, an iso-butyl group, a sec-butyl group, a t-butyl group, or a substituted or unsubstituted It may be a C2 to C6 alkenyl group.

상기 화학식 1은 하기 화학식 1-1 내지 화학식 1-8 중 어느 하나로 표시될 수 있다.Formula 1 may be represented by any one of Formulas 1-1 to 1-8 below.

[화학식 1-1] [화학식 1-2] [화학식 1-3][Formula 1-1] [Formula 1-2] [Formula 1-3]

Figure pat00002
Figure pat00003
Figure pat00004
Figure pat00002
Figure pat00003
Figure pat00004

[화학식 1-4] [화학식 1-5] [화학식 1-6][Formula 1-4] [Formula 1-5] [Formula 1-6]

Figure pat00005
Figure pat00006
Figure pat00007
Figure pat00005
Figure pat00006
Figure pat00007

[화학식 1-7] [화학식 1-8] [Formula 1-7] [Formula 1-8]

Figure pat00008
Figure pat00009
Figure pat00008
Figure pat00009

상기 화학식 1-1 내지 화학식 1-8에서,In Formulas 1-1 to 1-8,

R1 내지 R5는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, 또는 이들의 조합이고,R 1 to R 5 are each independently hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to a C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, or a combination thereof;

Ra, Ra1, Ra2, Ra3, Rb, Rb1, Rb2 및 Rb3는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기 또는 이들의 조합일 수 있다.R a , R a1 , R a2 , R a3 , R b , R b1 , R b2 and R b3 are each independently a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or It may be an unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, or a combination thereof.

상기 반도체 포토레지스트용 조성물은 계면활성제, 가교제, 레벨링제, 또는 이들의 조합의 첨가제를 더 포함할 수 있다.The composition for a semiconductor photoresist may further include an additive of a surfactant, a crosslinking agent, a leveling agent, or a combination thereof.

다른 구현예에 따른 패턴 형성 방법은 기판 위에 식각 대상 막을 형성하는 단계, 상기 식각 대상 막 위에 전술한 반도체 포토레지스트용 조성물을 적용하여 포토레지스트 막을 형성하는 단계, 상기 포토레지스트 막을 패터닝하여 포토레지스트 패턴을 형성하는 단계 및 상기 포토레지스트 패턴을 식각 마스크로 이용하여 상기 식각 대상막을 식각하는 단계를 포함한다.A pattern forming method according to another embodiment includes forming an etch target film on a substrate, applying the above-described composition for a semiconductor photoresist on the etch target film to form a photoresist film, patterning the photoresist film to form a photoresist pattern and etching the etch target layer using the photoresist pattern as an etch mask.

상기 포토레지스트 패턴을 형성하는 단계는 5 nm 내지 150 nm 파장의 광을 사용할 수 있다.In the forming of the photoresist pattern, light having a wavelength of 5 nm to 150 nm may be used.

상기 패턴 형성 방법은 상기 기판과 상기 포토레지스트 막 사이에 형성되는 레지스트 하층막을 제공하는 단계를 더 포함할 수 있다.The pattern forming method may further include providing a resist underlayer formed between the substrate and the photoresist layer.

상기 포토레지스트 패턴은 5 nm 내지 100 nm의 폭을 가질 수 있다.The photoresist pattern may have a width of 5 nm to 100 nm.

일 구현예에 따른 반도체 포토레지스트용 조성물은 에치 내성 및 유기 용매에 대한 용해도가 우수하며, 이를 이용하면 우수한 감도를 가지며 높은 종횡비(aspect ratio)를 가지더라도 패턴이 무너지지 않는 포토레지스트 패턴을 제공할 수 있다.The composition for a semiconductor photoresist according to an embodiment has excellent etch resistance and solubility in organic solvents, and using this can provide a photoresist pattern that has excellent sensitivity and does not collapse even if it has a high aspect ratio. have.

도 1 내지 도 5는 일 구현예에 따른 반도체 포토레지스트용 조성물을 이용한 패턴 형성방법을 설명하기 위한 단면도이다.1 to 5 are cross-sectional views for explaining a pattern forming method using a composition for a semiconductor photoresist according to an exemplary embodiment.

이하, 첨부된 도면을 참조하여 본 발명의 실시예들을 상세하게 설명하면 다음과 같다. 다만, 본 기재를 설명함에 있어서, 이미 공지된 기능 혹은 구성에 대한 설명은, 본 기재의 요지를 명료하게 하기 위하여 생략하기로 한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, in describing the present description, descriptions of already known functions or configurations will be omitted in order to clarify the gist of the present description.

본 기재를 명확하게 설명하기 위해서 설명과 관계없는 부분을 생략하였으며, 명세서 전체를 통하여 동일 또는 유사한 구성요소에 대해서는 동일한 참조 부호를 붙이도록 한다. 또한, 도면에서 나타난 각 구성의 크기 및 두께는 설명의 편의를 위해 임의로 나타내었으므로, 본 기재가 반드시 도시된 바에 한정되지 않는다.In order to clearly explain the present description, parts irrelevant to the description are omitted, and the same reference numerals are assigned to the same or similar elements throughout the specification. In addition, since the size and thickness of each component shown in the drawings are arbitrarily indicated for convenience of description, the present description is not necessarily limited to the illustrated bar.

도면에서 여러 층 및 영역을 명확하게 표현하기 위하여 두께를 확대하여 나타내었다. 그리고 도면에서 설명의 편의를 위해 일부 층 및 영역의 두께를 과장되게 나타내었다. 층, 막, 영역, 판 등의 부분이 다른 부분 "위에" 또는 "상에" 있다고 할 때, 이는 다른 부분 "바로 위에" 있는 경우뿐 아니라 그 중간에 또 다른 부분이 있는 경우도 포함한다.In order to clearly express various layers and regions in the drawings, the thicknesses are enlarged. In addition, in the drawings, the thicknesses of some layers and regions are exaggerated for convenience of description. When a part, such as a layer, film, region, plate, etc., is "on" or "on" another part, it includes not only cases where it is "directly on" another part, but also cases where there is another part in between.

본 기재에서, "치환"이란 수소 원자가 중수소, 할로겐기, 히드록시기, 아미노기, 치환 또는 비치환된 C1 내지 C30 아민기, 니트로기, 치환 또는 비치환된 C1 내지 C40 실릴기, C1 내지 C30 알킬기, C1 내지 C10 할로알킬기, C1 내지 C10 알킬실릴기, C3 내지 C30 사이클로알킬기, C6 내지 C30 아릴기, C1 내지 C20 알콕시기, 또는 시아노기로 치환된 것을 의미한다. "비치환"이란 수소 원자가 다른 치환기로 치환되지 않고 수소 원자로 남아있는 것을 의미한다.In the present description, "substituted" means that a hydrogen atom is deuterium, a halogen group, a hydroxyl group, an amino group, a substituted or unsubstituted C1 to C30 amine group, a nitro group, a substituted or unsubstituted C1 to C40 silyl group, a C1 to C30 alkyl group, C1 to C10 haloalkyl group, C1 to C10 alkylsilyl group, C3 to C30 cycloalkyl group, C6 to C30 aryl group, C1 to C20 alkoxy group, or cyano group. "Unsubstituted" means that a hydrogen atom remains as a hydrogen atom without being substituted with another substituent.

본 명세서에서 "알킬(alkyl)기"이란 별도의 정의가 없는 한, 직쇄형 또는 분지쇄형 지방족 탄화수소기를 의미한다. 알킬기는 어떠한 이중결합이나 삼중결합을 포함하고 있지 않은 "포화 알킬(saturated alkyl)기"일 수 있다.As used herein, the term "alkyl group" refers to a straight-chain or branched-chain aliphatic hydrocarbon group, unless otherwise defined. The alkyl group may be a “saturated alkyl group” that does not contain any double or triple bonds.

상기 알킬기는 C1 내지 C20인 알킬기일 수 있다. 보다 구체적으로 알킬기는 C1 내지 C10 알킬기 또는 C1 내지 C6 알킬기일 수도 있다. 예를 들어, C1 내지 C4 알킬기는 알킬쇄에 1 내지 4 개의 탄소원자가 포함되는 것을 의미하며, 메틸, 에틸, 프로필, 이소-프로필, n-부틸, 이소-부틸, sec-부틸 및 t-부틸로 이루어진 군에서 선택됨을 나타낸다.The alkyl group may be a C1 to C20 alkyl group. More specifically, the alkyl group may be a C1 to C10 alkyl group or a C1 to C6 alkyl group. For example, a C1 to C4 alkyl group means that the alkyl chain contains 1 to 4 carbon atoms, and includes methyl, ethyl, propyl, iso-propyl, n-butyl, iso-butyl, sec-butyl and t-butyl. It indicates that it is selected from the group consisting of.

상기 알킬기는 구체적인 예를 들어 메틸기, 에틸기, 프로필기, 이소프로필기, 부틸기, 이소부틸기, t-부틸기, 펜틸기, 헥실기, 사이클로프로필기, 사이클로부틸기, 사이클로펜틸기, 사이클로헥실기 등을 의미한다.Specific examples of the alkyl group include a methyl group, an ethyl group, a propyl group, an isopropyl group, a butyl group, an isobutyl group, a t-butyl group, a pentyl group, a hexyl group, a cyclopropyl group, a cyclobutyl group, a cyclopentyl group, and a cyclohexyl group. means, etc.

본 기재에서 "사이클로알킬(cycloalkyl)기"란 별도의 정의가 없는 한, 1가의 고리형 지방족 탄화수소기를 의미한다.As used herein, the term “cycloalkyl group” refers to a monovalent cyclic aliphatic hydrocarbon group unless otherwise defined.

본 기재에서, "알케닐(alkenyl)기"란, 별도의 정의가 없는 한, 직쇄형 또는 분지쇄형의 지방족 탄화수소기로서, 하나 이상의 이중결합을 포함하고 있는 지방족 불포화 알케닐(unsaturated alkenyl)기를 의미한다.In the present description, the term "alkenyl group", unless otherwise defined, is a straight-chain or branched-chain aliphatic hydrocarbon group, and means an aliphatic unsaturated alkenyl group containing one or more double bonds. do.

본 기재에서, "알키닐(alkynyl)기"란, 별도의 정의가 없는 한, 직쇄형 또는 분지쇄형의 지방족 탄화수소기로서, 하나 이상의 삼중결합을 포함하고 있는 지방족 불포화 알키닐(unsaturated alkynyl)기를 의미한다.In the present description, the term "alkynyl group", unless otherwise defined, is a straight or branched aliphatic hydrocarbon group, and refers to an unsaturated alkynyl group containing one or more triple bonds. do.

본 기재에서 "아릴(aryl)기"는 고리형인 치환기의 모든 원소가 p-오비탈을 가지고 있으며, 이들 p-오비탈이 공액(conjugation)을 형성하고 있는 치환기를 의미하고, 모노시클릭 또는 융합 고리 폴리시클릭(즉, 탄소원자들의 인접한 쌍들을 나눠 가지는 고리) 작용기를 포함한다.In the present description, the term "aryl group" refers to a substituent in which all elements of a cyclic substituent have p-orbitals, and these p-orbitals form a conjugate, monocyclic or fused ring policy contains a click (ie, a ring that divides adjacent pairs of carbon atoms) functionality.

이하 일 구현예에 따른 반도체 포토레지스트용 조성물을 설명한다.Hereinafter, a composition for a semiconductor photoresist according to an embodiment will be described.

본 발명의 일 구현예에 따른 반도체 포토레지스트용 조성물은 유기 금속 화합물, 및 용매를 포함하며, 상기 유기 금속 화합물은 하기 화학식 1로 표시된다.The composition for a semiconductor photoresist according to an embodiment of the present invention includes an organometallic compound and a solvent, and the organometallic compound is represented by the following formula (1).

[화학식 1][Formula 1]

Figure pat00010
Figure pat00010

상기 화학식 1에서,In Formula 1,

R1 내지 R6은 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, ORa, -OC(=O)Rb 또는 이들의 조합이고,R 1 To R 6 are each independently hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, OR a , -OC(=O)R b or a combination thereof,

Ra 및 Rb는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기 또는 이들의 조합이며,R a and R b are each independently a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, or a substituted or unsubstituted C2 to C20 alky a nyl group or a combination thereof,

R1 내지 R6은 동시에 수소는 아니다.R 1 to R 6 are not hydrogen at the same time.

본 발명의 일 구현예에 따른 반도체 포토레지스트용 조성물에 포함되는 유기 금속 화합물은 ‘Si’ 및 ‘Sn’을 동시에 포함하는 형태로서 상기 Si 및 Sn은 직접 결합되어 있다.The organometallic compound included in the composition for a semiconductor photoresist according to an exemplary embodiment of the present invention includes 'Si' and 'Sn' at the same time, and the Si and Sn are directly bonded to each other.

이러한 구조를 가지는 유기 금속 화합물은 Si 과 Sn 사이의 결합 에너지가 낮아 우수한 감도를 가질 수 있다.The organometallic compound having such a structure may have excellent sensitivity due to low bonding energy between Si and Sn.

일 예로 상기 R1 내지 R6은 각각 독립적으로 치환 또는 비치환된 C1 내지 C10 알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알키닐기, ORa 및 -OC(=O)Rb로 이루어진 군에서 선택되는 적어도 하나이며, For example, R 1 to R 6 are each independently a substituted or unsubstituted C1 to C10 alkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, OR a and -OC( = O) R b is at least one selected from the group consisting of,

상기 Ra 및 Rb는 각각 독립적으로 치환 또는 비치환된 C1 내지 C10 알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알키닐기 또는 이들의 조합일 수 있다.R a and R b may each independently represent a substituted or unsubstituted C1 to C10 alkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, or a combination thereof.

구체적인 일 예로 상기 R1 내지 R6은 각각 독립적으로 메틸기, 에틸기, n-프로필기, iso-프로필기, n-부틸기, iso-부틸기, sec-부틸기, t-부틸기, ORa 및 -OC(=O)Rb로 이루어진 군에서 선택되는 적어도 하나이며,In a specific example, R 1 to R 6 are each independently a methyl group, ethyl group, n-propyl group, iso-propyl group, n-butyl group, iso-butyl group, sec-butyl group, t-butyl group, OR a and -OC(=O)R b is at least one selected from the group consisting of,

상기 Ra 및 Rb는 각각 독립적으로 치환 또는 비치환된 C1 내지 C10 알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알키닐기 또는 이들의 조합일 수 있다.R a and R b may each independently represent a substituted or unsubstituted C1 to C10 alkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, or a combination thereof.

예컨대 상기 Ra 및 Rb는 각각 독립적으로 메틸기, 에틸기, n-프로필기, iso-프로필기, n-부틸기, iso-부틸기, sec-부틸기, t-부틸기, 또는 치환 또는 비치환된 C2 내지 C6 알케닐기일 수 있다.For example, R a and R b are each independently a methyl group, ethyl group, n-propyl group, iso-propyl group, n-butyl group, iso-butyl group, sec-butyl group, t-butyl group, or substituted or unsubstituted It may be a C2 to C6 alkenyl group.

예컨대 상기 화학식 1의 R1 내지 R3은 각각 동일하거나 상이할 수 있다.For example, R 1 to R 3 in Formula 1 may be the same or different from each other.

예컨대 상기 화학식 1의 R4 내지 R6은 각각 동일하거나 상이할 수 있다.For example, R 4 to R 6 in Formula 1 may be the same or different.

일 예로 상기 R1 내지 R6 중 적어도 하나는 ORa 또는 -OC(=O)Rb 이고,For example, at least one of R 1 to R 6 is OR a or -OC(=O)R b ,

Ra 및 Rb는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기 또는 이들의 조합일 수 있다.R a and R b are each independently a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, or a substituted or unsubstituted C2 to C20 alky It may be a nyl group or a combination thereof.

구체적인 일 예로 상기 R4 내지 R6 중 적어도 하나는 ORa 또는 -OC(=O)Rb이고,In a specific example, at least one of R 4 to R 6 is OR a or -OC(=O)R b ,

상기 Ra 및 Rb는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기 또는 이들의 조합일 수 있다.R a and R b are each independently a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 It may be an alkynyl group or a combination thereof.

예컨대 상기 화학식 1은 하기 화학식 1-1 내지 화학식 1-8 중 어느 하나로 표시될 수 있다.For example, Chemical Formula 1 may be represented by any one of Chemical Formulas 1-1 to 1-8 below.

[화학식 1-1] [화학식 1-2] [화학식 1-3][Formula 1-1] [Formula 1-2] [Formula 1-3]

Figure pat00011
Figure pat00012
Figure pat00013
Figure pat00011
Figure pat00012
Figure pat00013

[화학식 1-4] [화학식 1-5] [화학식 1-6][Formula 1-4] [Formula 1-5] [Formula 1-6]

Figure pat00014
Figure pat00015
Figure pat00016
Figure pat00014
Figure pat00015
Figure pat00016

[화학식 1-7] [화학식 1-8] [Formula 1-7] [Formula 1-8]

Figure pat00017
Figure pat00018
Figure pat00017
Figure pat00018

상기 화학식 1-1 내지 화학식 1-8에서,In Formulas 1-1 to 1-8,

R1 내지 R5는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, 또는 이들의 조합이고,R 1 to R 5 are each independently hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to a C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, or a combination thereof;

Ra, Ra1, Ra2, Ra3, Rb, Rb1, Rb2 및 Rb3는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기 또는 이들의 조합일 수 있다.R a , R a1 , R a2 , R a3 , R b , R b1 , R b2 and R b3 are each independently a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or It may be an unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, or a combination thereof.

한편, 일 구현예에 따른 반도체 포토레지스트용 조성물은 전술한 유기 금속 화합물과 용매로 이루어지는 것이 바람직하다.On the other hand, it is preferable that the composition for a semiconductor photoresist according to an embodiment includes the above-described organometallic compound and a solvent.

일 구현예에 따른 반도체 포토레지스트 조성물에 포함되는 용매는 유기용매일 수 있으며, 일 예로, 방향족 화합물류(예를 들어, 자일렌, 톨루엔), 알콜류(예를 들어, 4-메틸-2-펜탄올, 4-메틸-2-프로판올, 1-부탄올, 메탄올, 이소프로필 알콜, 1-프로판올), 에테르류(예를 들어, 아니솔, 테트라하이드로푸란), 에스테르류(n-부틸 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸 아세테이트, 에틸 락테이트), 케톤류(예를 들어, 메틸 에틸 케톤, 2-헵타논), 이들의 혼합물 등을 포함할 수 있으나, 이에 한정되는 것은 아니다.The solvent included in the semiconductor photoresist composition according to the embodiment may be an organic solvent, for example, aromatic compounds (eg, xylene, toluene), alcohols (eg, 4-methyl-2-pentane). Ol, 4-methyl-2-propanol, 1-butanol, methanol, isopropyl alcohol, 1-propanol), ethers (eg anisole, tetrahydrofuran), esters (n-butyl acetate, propylene glycol) monomethyl ether acetate, ethyl acetate, ethyl lactate), ketones (eg, methyl ethyl ketone, 2-heptanone), mixtures thereof, and the like.

일 구현예에 따른 반도체 포토레지스트용 조성물은 경우에 따라 첨가제를 더 포함할 수 있다. 상기 첨가제의 예시로는 계면활성제, 가교제, 레벨링제 또는 이들의 조합을 들 수 있다.The composition for a semiconductor photoresist according to an embodiment may further include an additive in some cases. Examples of the additive include a surfactant, a crosslinking agent, a leveling agent, or a combination thereof.

계면활성제는 예컨대 알킬벤젠설폰산 염, 알킬피리디늄 염, 폴리에틸렌글리콜, 제4 암모늄 염, 또는 이들의 조합을 사용할 수 있으나, 이에 한정되는 것은 아니다.The surfactant may be, for example, an alkylbenzenesulfonic acid salt, an alkylpyridinium salt, polyethylene glycol, a quaternary ammonium salt, or a combination thereof, but is not limited thereto.

가교제는 예컨대 멜라민계 가교제, 치환요소계 가교제, 아크릴계 가교제, 에폭시계 가교제, 또는 폴리머계 가교제 등을 들 수 있으나, 이에 한정되는 것은 아니다. 적어도 2개의 가교형성 치환기를 갖는 가교제로, 예를 들면, 메톡시메틸화 글리코루릴, 부톡시메틸화 글리코루릴, 메톡시메틸화 멜라민, 부톡시메틸화 멜라민, 메톡시메틸화 벤조구아나민, 부톡시메틸화 벤조구아나민, 4-히드록시부틸 아크릴레이트, 아크릴산, 우레탄 아크릴레이트, 아크릴 메타크릴레이트, 1,4-부탄디올 디클리시딜 에테르, 글리시돌, 디글리시딜 1,2-시클로헥산 디크르복실레이트, 트리메틸프로판 트리글리시딜 에테르, 1,3-비스(글리시독시프로필_)테트라메틸디실록산, 메톡시메틸화요소, 부톡시메틸화요소, 또는 메톡시메틸화 티오요소 등의 화합물을 사용할 수 있다.The crosslinking agent may include, for example, a melamine-based crosslinking agent, a substituted urea-based crosslinking agent, an acrylic crosslinking agent, an epoxy-based crosslinking agent, or a polymer-based crosslinking agent, but is not limited thereto. Crosslinking agents having at least two crosslinking substituents, for example, methoxymethylated glycouryl, butoxymethylated glycouryl, methoxymethylated melamine, butoxymethylated melamine, methoxymethylated benzoguanamine, butoxymethylated benzoguanamine , 4-hydroxybutyl acrylate, acrylic acid, urethane acrylate, acrylic methacrylate, 1,4-butanediol diglycidyl ether, glycidol, diglycidyl 1,2-cyclohexane dicarboxylate, A compound such as trimethylpropane triglycidyl ether, 1,3-bis(glycidoxypropyl_)tetramethyldisiloxane, methoxymethylated urea, butoxymethylated urea, or methoxymethylated thiourea can be used.

레벨링제는 인쇄시 코팅 평탄성을 향상시키기 위한 것으로, 상업적인 방법으로 입수 가능한 공지의 레벨링제를 사용할 수 있다.The leveling agent is for improving the coating flatness during printing, and a known leveling agent available in a commercial manner may be used.

상기 이들 첨가제의 사용량은 원하는 물성에 따라 용이하게 조절될 수 있으며, 생략될 수도 있다.The amount of these additives used may be easily adjusted according to desired physical properties or may be omitted.

또한, 상기 반도체 레지스트용 조성물은 기판과의 밀착력 등의 향상을 위해 (예컨대 반도체 레지스트용 조성물의 기판과의 접착력 향상을 위해), 접착력 증진제로서 실란 커플링제를 첨가제로 더 사용할 수 있다. 상기 실란 커플링제는 예컨대, 비닐트리메톡시실란, 비닐트리에톡시실란, 비닐 트리클로로실란, 비닐트리스(β-메톡시에톡시)실란; 또는 3-메타크릴옥시프로필트리메톡시실란, 3-아크릴옥시프로필트리메톡시실란, p-스티릴 트리메톡시실란, 3-메타크릴옥시프로필메틸디메톡시실란, 3-메타크릴옥시프로필메틸디 에톡시실란; 트리메톡시[3-(페닐아미노)프로필]실란 등의 탄소-탄소 불포화 결합 함유 실란 화합물 등을 사용할 수 있으나, 이에 한정되는 것은 아니다.In addition, the composition for semiconductor resist may further use a silane coupling agent as an additive to improve adhesion to the substrate (eg, to improve adhesion of the composition for semiconductor resist to the substrate), and as an adhesion promoter. The silane coupling agent is, for example, vinyltrimethoxysilane, vinyltriethoxysilane, vinyl trichlorosilane, vinyltris(β-methoxyethoxy)silane; or 3-methacryloxypropyltrimethoxysilane, 3-acryloxypropyltrimethoxysilane, p-styryl trimethoxysilane, 3-methacryloxypropylmethyldimethoxysilane, 3-methacryloxypropylmethyldi ethoxysilane; A silane compound containing carbon-carbon unsaturated bonds such as trimethoxy[3-(phenylamino)propyl]silane may be used, but the present invention is not limited thereto.

상기 반도체 포토레지스트용 조성물은 높은 종횡비(aspect ratio)를 가지는 패턴을 형성해도 패턴 무너짐이 발생하지 않을 수 있다. 따라서, 예를 들어, 5nm 내지 100nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 80 nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 70 nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 50nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 40nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 30nm의 폭을 가지는 미세 패턴, 예를 들어, 5nm 내지 20nm의 폭을 가지는 미세 패턴을 형성하기 위하여, 5nm 내지 150nm 파장의 광을 사용하는 포토레지스트 공정, 예를 들어, 5nm 내지 100nm 파장의 광을 사용하는 포토레지스트 공정, 예를 들어, 5nm 내지 80nm 파장의 광을 사용하는 포토레지스트 공정, 예를 들어, 5nm 내지 50nm 파장의 광을 사용하는 포토레지스트 공정, 예를 들어, 5nm 내지 30nm 파장의 광을 사용하는 포토레지스트 공정, 예를 들어, 5nm 내지 20nm 파장의 광을 사용하는 포토레지스트 공정에 사용할 수 있다. 따라서, 일 구현예에 따른 반도체 포토레지스트용 조성물을 이용하면, 약 13.5nm 파장의 EUV 광원을 사용하는 극자외선 리소그래피를 구현할 수 있다. In the composition for a semiconductor photoresist, pattern collapse may not occur even when a pattern having a high aspect ratio is formed. Thus, for example, a fine pattern having a width of 5 nm to 100 nm, for example, a fine pattern having a width of 5 nm to 80 nm, for example, a fine pattern having a width of 5 nm to 70 nm, for example, A fine pattern having a width of 5 nm to 50 nm, for example, a fine pattern having a width of 5 nm to 40 nm, for example, a fine pattern having a width of 5 nm to 30 nm, for example, a fine pattern having a width of 5 nm to 20 nm To form a pattern, a photoresist process using light having a wavelength of 5 nm to 150 nm, for example, a photoresist process using light having a wavelength of 5 nm to 100 nm, for example, a photo using light having a wavelength of 5 nm to 80 nm A resist process, for example a photoresist process using light with a wavelength of 5 nm to 50 nm, a photoresist process using light with a wavelength of 5 nm to 30 nm, for example, a photoresist process using light with a wavelength of 5 nm to 20 nm It can be used in photoresist processing. Therefore, using the composition for a semiconductor photoresist according to an embodiment, extreme ultraviolet lithography using an EUV light source having a wavelength of about 13.5 nm may be implemented.

한편, 다른 일 구현예에 따르면, 상술한 반도체 포토레지스트용 조성물을 사용하여 패턴을 형성하는 방법이 제공될 수 있다. 일 예로, 제조된 패턴은 포토레지스트 패턴일 수 있다. 더욱 구체적으로 네거티브형 포토레지스트 패턴일 수 있다.Meanwhile, according to another exemplary embodiment, a method of forming a pattern using the above-described composition for a semiconductor photoresist may be provided. For example, the manufactured pattern may be a photoresist pattern. More specifically, it may be a negative photoresist pattern.

일 구현예에 다른 패턴 형성 방법은 기판 위에 식각 대상 막을 형성하는 단계, 상기 식각 대상 막 위에 전술한 반도체 포토레지스트용 조성물을 적용하여 포토레지스트 막을 형성하는 단계, 상기 포토레지스트 막을 패터닝하여 포토레지스트 패턴을 형성하는 단계 및 상기 포토레지스트 패턴을 식각 마스크로 이용하여 상기 식각 대상막을 식각하는 단계를 포함한다. According to another exemplary embodiment, a method for forming a pattern includes forming an etch target film on a substrate, applying the above-described composition for a semiconductor photoresist on the etch target film to form a photoresist film, patterning the photoresist film to form a photoresist pattern and etching the etch target layer using the photoresist pattern as an etch mask.

이하, 상술한 반도체 포토레지스트용 조성물을 사용하여 패턴을 형성하는 방법에 대하여 도 1 내지 5를 참고하여 설명한다. 도 1 내지 도 5는 본 발명에 따른 반도체 포토레지스트용 조성물을 이용한 패턴 형성 방법을 설명하기 위한 단면도들이다. Hereinafter, a method of forming a pattern using the above-described composition for a semiconductor photoresist will be described with reference to FIGS. 1 to 5 . 1 to 5 are cross-sectional views for explaining a pattern forming method using a composition for a semiconductor photoresist according to the present invention.

도 1을 참조하면, 우선 식각 대상물을 마련한다. 상기 식각 대상물의 예로서는 반도체 기판(100) 상에 형성되는 박막(102)일 수 있다. 이하에서는 상기 식각 대상물이 박막(102)인 경우에 한해 설명한다. 상기 박막(102)상에 잔류하는 오염물 등을 제거하기 위해 상기 박막(102)의 표면을 세정한다. 상기 박막(102)은 예컨대 실리콘 질화막, 폴리실리콘막 또는 실리콘 산화막일 수 있다.Referring to FIG. 1 , first, an object to be etched is prepared. An example of the object to be etched may be the thin film 102 formed on the semiconductor substrate 100 . Hereinafter, only the case where the object to be etched is the thin film 102 will be described. The surface of the thin film 102 is cleaned to remove contaminants and the like remaining on the thin film 102 . The thin film 102 may be, for example, a silicon nitride film, a polysilicon film, or a silicon oxide film.

이어서, 세정된 박막(102)의 표면상에 레지스트 하층막(104)을 형성하기 위한 레지스트 하층막 형성용 조성물을 스핀 코팅방식을 적용하여 코팅한다. 다만, 일 구현예가 반드시 이에 한정되는 것은 아니고, 공지된 다양한 코팅 방법, 예를 들어 스프레이 코팅, 딥 코팅, 나이프 엣지 코팅, 프린팅법, 예컨대 잉크젯 프린팅 및 스크린 프린팅 등을 이용할 수도 있다.Next, a composition for forming a resist underlayer film for forming the resist underlayer film 104 on the surface of the cleaned thin film 102 is coated by applying a spin coating method. However, one embodiment is not necessarily limited thereto, and various known coating methods, for example, spray coating, dip coating, knife edge coating, and printing methods, such as inkjet printing and screen printing, may be used.

상기 레지스트 하층막 코팅과정은 생략할 수 있으며 이하에서는 상기 레지스트 하층막을 코팅하는 경우에 대해 설명한다.The resist underlayer coating process may be omitted. Hereinafter, a case of coating the resist underlayer film will be described.

이후 건조 및 베이킹 공정을 수행하여 상기 박막(102) 상에 레지스트 하층막(104)을 형성한다. 상기 베이킹 처리는 약 100 내지 약 500℃에서 수행하고, 예컨대 약 100 ℃ 내지 약 300 ℃에서 수행할 수 있다. Thereafter, a drying and baking process is performed to form the resist underlayer 104 on the thin film 102 . The baking treatment may be performed at about 100 to about 500 °C, for example, at about 100 °C to about 300 °C.

레지스트 하층막(104)은 기판(100)과 포토레지스트 막(106) 사이에 형성되어, 기판(100)과 포토레지스트 막(106)의 계면 또는 층간 하드마스크(hardmask)로부터 반사되는 조사선이 의도되지 않은 포토레지스트 영역으로 산란되는 경우 포토레지스트 선폭(linewidth)의 불균일 및 패턴 형성성을 방해하는 것을 방지할 수 있다. The resist underlayer film 104 is formed between the substrate 100 and the photoresist film 106, so that radiation reflected from the interface between the substrate 100 and the photoresist film 106 or from an interlayer hardmask is not intended. In the case of scattering to a non-photoresist region, it is possible to prevent non-uniformity of photoresist linewidth and interfering with pattern formation.

도 2를 참조하면, 상기 레지스트 하층막(104) 위에 상술한 반도체 포토레지스트용 조성물을 코팅하여 포토레지스트 막(106)을 형성한다. 상기 포토레지스트 막(106)은 기판(100) 상에 형성된 박막(102) 위에 상술한 반도체 포토레지스트용 조성물을 코팅한 후 열처리 과정을 통해 경화한 형태일 수 있다.Referring to FIG. 2 , a photoresist film 106 is formed by coating the above-described composition for a semiconductor photoresist on the resist underlayer 104 . The photoresist film 106 may be in a form in which the above-described semiconductor photoresist composition is coated on the thin film 102 formed on the substrate 100 and then cured through a heat treatment process.

보다 구체적으로, 반도체 포토레지스트용 조성물을 사용하여 패턴을 형성하는 단계는, 상술한 반도체 레지스트용 조성물을 박막(102)이 형성된 기판(100) 상에 스핀 코팅, 슬릿 코팅, 잉크젯 프린팅 등으로 도포하는 공정 및 도포된 반도체 포토레지스트용 조성물을 건조하여 포토 레지스트 막(106)을 형성하는 공정을 포함할 수 있다. More specifically, the step of forming the pattern using the composition for semiconductor photoresist is to apply the above-described composition for semiconductor resist on the substrate 100 on which the thin film 102 is formed by spin coating, slit coating, inkjet printing, etc. It may include a process of forming the photoresist film 106 by drying the process and the applied composition for a semiconductor photoresist.

반도체 포토레지스트용 조성물에 대해서는 이미 상세히 설명하였으므로, 중복 설명은 생략하기로 한다. Since the composition for a semiconductor photoresist has already been described in detail, a redundant description thereof will be omitted.

이어서, 상기 포토레지스트 막(106)이 형성되어 있는 기판(100)을 가열하는 제1 베이킹 공정을 수행한다. 상기 제1 베이킹 공정은 약 80℃ 내지 약 120℃의 온도에서 수행할 수 있다.Next, a first baking process of heating the substrate 100 on which the photoresist film 106 is formed is performed. The first baking process may be performed at a temperature of about 80 °C to about 120 °C.

도 3을 참조하면, 상기 포토레지스트 막(106)을 선택적으로 노광한다. Referring to FIG. 3 , the photoresist layer 106 is selectively exposed.

일 예로, 상기 노광 공정에서 사용할 수 있는 광의 예로는 활성화 조사선도 i-line(파장 365nm), KrF 엑시머 레이저(파장 248nm), ArF 엑시머 레이저(파장 193nm) 등의 단파장을 가지는 광 뿐만 아니라, EUV(Extreme UltraViolet; 파장 13.5 nm), E-Beam(전자빔)등의 고에너지 파장을 가지는 광 등을 들 수 있다. For example, examples of the light that can be used in the exposure process include not only light having a short wavelength such as i-line (wavelength 365 nm), KrF excimer laser (wavelength 248 nm), ArF excimer laser (wavelength 193 nm), but also EUV ( Light having a high energy wavelength such as Extreme UltraViolet (wavelength 13.5 nm), E-Beam (electron beam), etc. may be mentioned.

보다 구체적으로, 일 구현예에 따른 노광용 광은 5 nm 내지 150 nm 파장 범위를 가지는 단파장 광일 수 있으며, EUV(Extreme UltraViolet; 파장 13.5 nm), E-Beam(전자빔)등의 고에너지 파장을 가지는 광일 수 있다. More specifically, the light for exposure according to an embodiment may be short-wavelength light having a wavelength range of 5 nm to 150 nm, and light having a high energy wavelength such as EUV (Extreme Ultraviolet; wavelength 13.5 nm), E-Beam (electron beam), etc. can

상기 포토 레지스트 패턴을 형성하는 단계에서, 네거티브형 패턴을 형성할 수 있다.In the step of forming the photoresist pattern, a negative pattern may be formed.

포토 레지스트 막(106) 중 노광된 영역(106a)은 유기금속 화합물간의 축합 등 가교 반응에 의해 중합체를 형성함에 따라 포토 레지스트 막(106)의 미노광된 영역(106b)과 서로 다른 용해도를 갖게 된다.The exposed region 106a of the photoresist film 106 has a solubility different from that of the unexposed region 106b of the photoresist film 106 as a polymer is formed by a crosslinking reaction such as condensation between organometallic compounds. .

이어서, 상기 기판(100)에 제2 베이킹 공정을 수행한다. 상기 제2 베이킹 공정은 약 90℃ 내지 약 200℃의 온도에서 수행할 수 있다. 상기 제2 베이킹 공정을 수행함으로 인해, 상기 포토레지스트 막(106)의 노광된 영역(106a)은 현상액에 용해가 어려운 상태가 된다. Next, a second baking process is performed on the substrate 100 . The second baking process may be performed at a temperature of about 90 °C to about 200 °C. By performing the second baking process, the exposed region 106a of the photoresist layer 106 becomes difficult to dissolve in a developer.

도 4에는, 현상액을 이용하여 상기 미노광된 영역에 해당하는 포토레지스트 막(106b)을 용해시켜 제거함으로써 형성된 포토레지스트 패턴(108)이 도시되어 있다. 구체적으로, 2-햅타논(2-heptanone) 등의 유기 용매를 사용하여 상기 미노광된 영역에 해당하는 포토레지스트 막(106b)을 용해시킨 후 제거함으로써 상기 네가티브 톤 이미지에 해당하는 포토레지스트 패턴(108)이 완성된다. 4 shows a photoresist pattern 108 formed by dissolving and removing the photoresist film 106b corresponding to the unexposed region using a developer. Specifically, the photoresist pattern corresponding to the negative tone image ( 108) is completed.

앞서 설명한 것과 같이, 일 구현예에 따른 패턴 형성 방법에서 사용되는 현상액은 유기 용매 일 수 있다. 일 구현예에 따른 패턴 형성 방법에서 사용되는 유기 용매의 일 예로, 메틸에틸케톤, 아세톤, 사이클로헥사논, 2-햅타논 등의 케톤 류, 4-메틸-2-프로판올, 1-부탄올, 이소프로판올, 1-프로판올, 메탄올 등의 알코올 류, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸 아세테이트, 에틸 락테이트, n-부틸 아세테이트, 부티로락톤 등의 에스테르 류, 벤젠, 자일렌, 톨루엔 등의 방향족 화합물, 또는 이들의 조합을 들 수 있다.As described above, the developer used in the pattern forming method according to the exemplary embodiment may be an organic solvent. As an example of the organic solvent used in the pattern forming method according to an embodiment, ketones such as methyl ethyl ketone, acetone, cyclohexanone, 2-haptanone, 4-methyl-2-propanol, 1-butanol, isopropanol, Alcohols such as 1-propanol and methanol, esters such as propylene glycol monomethyl ether acetate, ethyl acetate, ethyl lactate, n-butyl acetate and butyrolactone, aromatic compounds such as benzene, xylene and toluene, or these can be a combination of

앞서 설명한 것과 같이, i-line(파장 365 nm), KrF 엑시머 레이저(파장 248 nm), ArF 엑시머 레이저(파장 193 nm) 등의 파장을 가지는 광 뿐만 아니라, EUV(Extreme UltraViolet; 파장 13.5 nm), E-Beam(전자빔)등의 고에너지를 가지는 광 등에 의해 노광되어 형성된 포토레지스트 패턴(108)은 5 nm 내지 100 nm 두께의 폭을 가질 수 있다. 일 예로, 상기 포토레지스트 패턴(108)은, 5 nm 내지 90 nm, 5 nm 내지 80 nm, 5 nm 내지 70 nm, 5 nm 내지 60 nm, 5 nm 내지 50 nm, 5 nm 내지 40 nm, 5 nm 내지 30 nm, 5 nm 내지 20 nm 두께의 폭으로 형성될 수 있다.As described above, not only light having a wavelength such as i-line (wavelength 365 nm), KrF excimer laser (wavelength 248 nm), ArF excimer laser (wavelength 193 nm), but also EUV (Extreme UltraViolet; wavelength 13.5 nm), The photoresist pattern 108 formed by exposure to light having high energy, such as an E-beam (electron beam), may have a width of 5 nm to 100 nm. For example, the photoresist pattern 108 may be 5 nm to 90 nm, 5 nm to 80 nm, 5 nm to 70 nm, 5 nm to 60 nm, 5 nm to 50 nm, 5 nm to 40 nm, 5 nm to 30 nm, and may be formed to a width of 5 nm to 20 nm thick.

한편, 상기 포토레지스트 패턴(108)은 약 50 nm 이하, 예를 들어 40 nm 이하, 예를 들어 30 nm 이하, 예를 들어 20 nm 이하, 예를 들어 15 nm 이하의 반피치(half-pitch) 및, 약 10 nm 이하, 약 5 nm 이하, 약 3 nm 이하, 약 2 nm 이하의 선폭 거칠기을 갖는 피치를 가질 수 있다.Meanwhile, the photoresist pattern 108 has a half-pitch of about 50 nm or less, for example 40 nm or less, for example 30 nm or less, for example 20 nm or less, for example 15 nm or less. and a pitch having a line width roughness of about 10 nm or less, about 5 nm or less, about 3 nm or less, or about 2 nm or less.

이어서, 상기 포토레지스트 패턴(108)을 식각 마스크로 하여 상기 레지스트 하층막(104)을 식각한다. 상기와 같은 식각 공정으로 유기막 패턴(112)이 형성된다. 형성된 상기 유기막 패턴(112) 역시 포토레지스트 패턴(108)에 대응되는 폭을 가질 수 있다. Next, the resist underlayer 104 is etched using the photoresist pattern 108 as an etching mask. The organic layer pattern 112 is formed through the etching process as described above. The formed organic layer pattern 112 may also have a width corresponding to the photoresist pattern 108 .

도 5를 참조하면, 상기 포토레지스트 패턴(108)을 식각 마스크로 적용하여 노출된 박막(102)을 식각한다. 그 결과 상기 박막은 박막 패턴(114)으로 형성된다. Referring to FIG. 5 , the exposed thin film 102 is etched by applying the photoresist pattern 108 as an etch mask. As a result, the thin film is formed as a thin film pattern 114 .

상기 박막(102)의 식각은 예컨대 식각 가스를 사용한 건식 식각으로 수행할 수 있으며, 식각 가스는 예컨대 CHF3, CF4, Cl2, BCl3 및 이들의 혼합 가스를 사용할 수 있다.The thin film 102 may be etched, for example, by dry etching using an etching gas, and the etching gas may be, for example, CHF 3 , CF 4 , Cl 2 , BCl 3 , or a mixture thereof.

앞서 수행된 노광 공정에서, EUV 광원을 사용하여 수행된 노광 공정에 의해 형성된 포토레지스트 패턴(108)을 이용하여 형성된 박막 패턴(114)은 상기 포토레지스트 패턴(108)에 대응되는 폭을 가질 수 있다. 일 예로, 상기 포토레지스트 패턴(108)과 동일하게 5 nm 내지 100 nm의 폭을 가질 수 있다. 예를 들어, EUV 광원을 사용하여 수행된 노광 공정에 의해 형성된 박막 패턴(114)은 상기 포토레지스트 패턴(108)과 마찬가지로 5 nm 내지 90 nm, 5 nm 내지 80 nm, 5 nm 내지 70 nm, 5 nm 내지 60 nm, 5 nm 내지 50 nm, 5 nm 내지 40 nm, 5 nm 내지 30 nm, 5 nm 내지 20 nm의 폭을 가질 수 있으며, 보다 구체적으로 20 nm 이하의 폭으로 형성될 수 있다.In the exposure process performed above, the thin film pattern 114 formed using the photoresist pattern 108 formed by the exposure process performed using the EUV light source may have a width corresponding to the photoresist pattern 108 . . For example, the photoresist pattern 108 may have a width of 5 nm to 100 nm. For example, the thin film pattern 114 formed by the exposure process performed using the EUV light source is 5 nm to 90 nm, 5 nm to 80 nm, 5 nm to 70 nm, 5 like the photoresist pattern 108 . It may have a width of nm to 60 nm, 5 nm to 50 nm, 5 nm to 40 nm, 5 nm to 30 nm, 5 nm to 20 nm, and more specifically, may be formed to a width of 20 nm or less.

이하, 상술한 반도체 포토레지스트용 조성물의 제조에 관한 실시예를 통하여 본 발명을 더욱 상세하게 설명하도록 한다. 그러나 하기 실시예들에 의하여 본 발명의 기술적 특징이 한정되는 것은 아니다.Hereinafter, the present invention will be described in more detail through Examples related to the preparation of the above-described composition for a semiconductor photoresist. However, the technical features of the present invention are not limited by the following examples.

유기금속 화합물의 제조Preparation of organometallic compounds

합성예 1Synthesis Example 1

100 mL의 둥근 바닥플라스크에 Triphenyltin hydride 10 g (28 mmol)을 넣고, Lithium diisopropylamide 3 g과 THF(테트라하이드로퓨란)을 첨가하였다. 상온에서 30분간 반응 후, Trimethylsilyl chloride 3 g을 천천히 첨가한다. 24 hr 반응 후 진공 증류하여 하기 화학식 1A의 화합물을 얻었다.In a 100 mL round bottom flask, 10 g (28 mmol) of triphenyltin hydride was added, and 3 g of lithium diisopropylamide and THF (tetrahydrofuran) were added. After reaction at room temperature for 30 minutes, 3 g of trimethylsilyl chloride is slowly added. After 24 hr reaction, vacuum distillation was performed to obtain a compound of Formula 1A below.

[화학식 1A][Formula 1A]

Figure pat00019
Figure pat00019

합성예 2Synthesis Example 2

100 mL의 둥근 바닥플라스크에 Triphenyltin hydride 10 g (28 mmol)을 넣고, Lithium diisopropylamide 3 g과 THF를 첨가하였다. 상온에서 30분간 반응 후 t-butyl dimethylsilyl chloride 5 g을 천천히 첨가한다. 24 hr 반응 후 진공 증류하여 하기 화학식 1B의 화합물을 얻었다.In a 100 mL round bottom flask, 10 g (28 mmol) of triphenyltin hydride was added, and 3 g of lithium diisopropylamide and THF were added. After reaction at room temperature for 30 minutes, 5 g of t-butyl dimethylsilyl chloride is slowly added. After 24 hr reaction, vacuum distillation was performed to obtain a compound of Formula 1B below.

[화학식 1B][Formula 1B]

Figure pat00020
Figure pat00020

합성예 3Synthesis Example 3

100 mL의 둥근 바닥플라스크에 상기 합성예 1에서 합성된 화학식 1A의 화합물 5 g (12 mmol)을 넣고, 아세트산 1.6 g을 첨가하였다. 24 시간 동안 가열 환류하고, 진공 증류하여 하기 화학식 1C의 화합물을 40%의 얻었다.5 g (12 mmol) of the compound of Formula 1A synthesized in Synthesis Example 1 was added to a 100 mL round bottom flask, and 1.6 g of acetic acid was added. After heating to reflux for 24 hours, and vacuum distillation, 40% of the compound of Formula 1C was obtained.

[화학식 1C][Formula 1C]

Figure pat00021
Figure pat00021

합성예 4: 유기 금속 화합물 4의 합성Synthesis Example 4: Synthesis of organometallic compound 4

100 mL의 둥근 바닥플라스크에 상기 합성예 1에서 합성된 화학식 1A의 화합물 5 g (12 mmol)을 넣고, 프로피온산 2.6 g을 첨가하였다. 24 시간 동안 가열 환류하고, 진공 증류하여 하기 화학식 1D의 화합물을 얻었다.5 g (12 mmol) of the compound of Formula 1A synthesized in Synthesis Example 1 was put into a 100 mL round bottom flask, and 2.6 g of propionic acid was added. It was heated to reflux for 24 hours and vacuum distilled to obtain a compound of Formula 1D below.

[화학식 1D][Formula 1D]

Figure pat00022
Figure pat00022

합성예 5: 유기 금속 화합물 5의 합성Synthesis Example 5: Synthesis of organometallic compound 5

100 mL의 둥근 바닥플라스크에 상기 합성예 1에서 합성된 화학식 1A의 화합물 5 g (12 mmol)을 넣고, 부티르산 3.1 g을 첨가하였다. 24 시간 동안 가열 환류하고, 진공 증류하여 하기 화학식 1E의 화합물을 얻었다.5 g (12 mmol) of the compound of Formula 1A synthesized in Synthesis Example 1 was added to a 100 mL round bottom flask, and 3.1 g of butyric acid was added. It was heated to reflux for 24 hours and vacuum distilled to obtain a compound of formula 1E below.

[화학식 1E][Formula 1E]

Figure pat00023
Figure pat00023

합성예 6: 유기 금속 화합물 6의 합성Synthesis Example 6: Synthesis of organometallic compound 6

100 mL의 둥근 바닥플라스크에 상기 합성예 1에서 합성된 화학식 1A의 화합물 5 g (12 mmol)을 넣고, 아크릴산 2.5 g을 첨가하였다. 48 시간 동안 가열 환류하고, 진공 증류하여 하기 화학식 1F의 화합물을 얻었다.5 g (12 mmol) of the compound of Formula 1A synthesized in Synthesis Example 1 was put into a 100 mL round bottom flask, and 2.5 g of acrylic acid was added. It was heated to reflux for 48 hours and vacuum distilled to obtain a compound of Formula 1F below.

[화학식 1F][Formula 1F]

Figure pat00024
Figure pat00024

합성예 7: 유기 금속 화합물 7의 합성Synthesis Example 7: Synthesis of organometallic compound 7

100 mL의 둥근 바닥플라스크에 상기 합성예 1에서 합성된 화학식 1A의 화합물을 5 g (12 mmol) 넣고, 메타크릴산 3.0 g을 첨가하였다. 48 시간 동안 가열 환류하고, 진공 증류하여 하기 화학식 1G의 화합물을 얻었다.5 g (12 mmol) of the compound of Formula 1A synthesized in Synthesis Example 1 was added to a 100 mL round bottom flask, and 3.0 g of methacrylic acid was added. The mixture was heated to reflux for 48 hours and vacuum distilled to obtain a compound of Formula 1G.

[화학식 1G][Formula 1G]

Figure pat00025
Figure pat00025

합성예 8Synthesis Example 8

100 mL의 둥근 바닥플라스크에 상기 합성예 2에서 합성된 화학식 1B의 화합물 5 g (11 mmol)을 넣고, 아세트산 1.5 g을 첨가하였다. 24 시간 동안 가열 환류하고, 진공 증류하여 하기 화학식 1H의 화합물을 얻었다.5 g (11 mmol) of the compound of Formula 1B synthesized in Synthesis Example 2 was added to a 100 mL round bottom flask, and 1.5 g of acetic acid was added thereto. The mixture was heated to reflux for 24 hours and vacuum distilled to obtain a compound of Formula 1H.

[화학식 1H][Formula 1H]

Figure pat00026
Figure pat00026

합성예 9 Synthesis Example 9

상기 합성예 1에서 합성된 화학식 1A의 화합물 (5 g, 12 mmol)에 디클로로메탄을 가하고, 온도를 0oC로 낮추었다. 2M HCl 용액을 천천히 적가하여 상온에서 24 hr 반응한다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 M으로 표시되는 화합물을 얻었다. Dichloromethane was added to the compound of Formula 1A (5 g, 12 mmol) synthesized in Synthesis Example 1, and the temperature was lowered to 0 o C. 2M HCl solution is slowly added dropwise and reacted at room temperature for 24 hr. Upon completion of the reaction, the mixture was filtered, concentrated and dried under vacuum to obtain a compound represented by the following formula M.

상기 화학식 M으로 표시되는 화합물 (5 g, 16.8 mmol)에 무수 펜탄을 가하고, 온도를 0oC로 낮추었다. 디에틸아민을 천천히 적가한 후, 이어서 t-부탄올 (3.7 g, 50.3 mmol)을 첨가하고 상온에서 1시간 교반하였다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 1I로 표시되는 화합물을 얻었다.Anhydrous pentane was added to the compound represented by Formula M (5 g, 16.8 mmol), and the temperature was lowered to 0 o C. After diethylamine was slowly added dropwise, t-butanol (3.7 g, 50.3 mmol) was added thereto, and the mixture was stirred at room temperature for 1 hour. When the reaction was completed, the mixture was filtered, concentrated and dried in vacuo to obtain a compound represented by the following Chemical Formula 1I.

[화학식 M][Formula M]

Figure pat00027
Figure pat00027

[화학식 1I][Formula 1I]

Figure pat00028
Figure pat00028

합성예 10Synthesis Example 10

상기 합성예 9에서 합성된 화학식 M으로 표시되는 화합물 (5 g, 16.8 mmol)에 무수 펜탄을 가하고, 온도를 0oC로 낮추었다. 디에틸아민을 천천히 적가한 후, 이어서 이소프로필 알코올 (3 g, 50.3 mmol)을 첨가하고 상온에서 1시간 교반하였다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 1J로 표시되는 화합물을 얻었다.Anhydrous pentane was added to the compound represented by Formula M (5 g, 16.8 mmol) synthesized in Synthesis Example 9, and the temperature was lowered to 0 o C. After diethylamine was slowly added dropwise, isopropyl alcohol (3 g, 50.3 mmol) was added thereto, and the mixture was stirred at room temperature for 1 hour. Upon completion of the reaction, the mixture was filtered, concentrated, and dried under vacuum to obtain a compound represented by the following formula 1J.

[화학식 1J][Formula 1J]

Figure pat00029
Figure pat00029

합성예 11 Synthesis Example 11

상기 합성예 9에서 합성된 화학식 M으로 표시되는 화합물 (5 g, 16.8 mmol)에 무수 펜탄을 가하고, 온도를 0oC로 낮추었다. 디에틸아민을 천천히 적가한 후, 이어서 에탄올 (2 g, 50.3 mmol)을 첨가하고 상온에서 1시간 교반하였다. 반응이 종료되면 여과하고 농축 후 진공 건조하여 하기 화학식 1K로 표시되는 화합물을 얻었다.Anhydrous pentane was added to the compound represented by Formula M (5 g, 16.8 mmol) synthesized in Synthesis Example 9, and the temperature was lowered to 0 o C. After diethylamine was slowly added dropwise, ethanol (2 g, 50.3 mmol) was added thereto, and the mixture was stirred at room temperature for 1 hour. When the reaction was completed, the mixture was filtered, concentrated, and dried in vacuo to obtain a compound represented by the following formula 1K.

[화학식 1K][Formula 1K]

Figure pat00030
Figure pat00030

비교합성예 1Comparative Synthesis Example 1

하기 화학식 A-1로 표현되는 화합물 (10 g, 13.2 mmol)에 25 ml의 아세트산을 상온에서 천천히 적가한 후, 110 ℃에서 24시간 가열 환류하였다.25 ml of acetic acid was slowly added dropwise to the compound represented by the following formula A-1 (10 g, 13.2 mmol) at room temperature, and then heated to reflux at 110° C. for 24 hours.

[화학식 A-1][Formula A-1]

Figure pat00031
Figure pat00031

이후, 온도를 상온으로 올린 후 아세트산을 진공 증류하여 하기 화학식 X로 표현되는 화합물을 얻었다.Then, after raising the temperature to room temperature, acetic acid was vacuum distilled to obtain a compound represented by the following formula (X).

[화학식 X][Formula X]

Figure pat00032
Figure pat00032

비교합성예 2Comparative Synthesis Example 2

하기 화학식 A-2로 표현되는 화합물(10 g, 13.2 mmol)을 50 mL의 CH2Cl2에 녹이고, 4M HCl 디에틸에테르 용액(6 당량, 39.6 mmol)을 -78 ℃에서 30분간 천천히 적가하였다. 이후 상온에서 12시간 교반 후 용매를 농축하여 하기 화학식 A-3으로 표현되는 화합물을 얻었다.The compound represented by the following formula A-2 (10 g, 13.2 mmol) was dissolved in 50 mL of CH 2 Cl 2 , and 4M HCl diethyl ether solution (6 equivalents, 39.6 mmol) was slowly added dropwise at -78 °C for 30 minutes. . After stirring at room temperature for 12 hours, the solvent was concentrated to obtain a compound represented by the following Chemical Formula A-3.

상기 화학식 A-3으로 표현되는 화합물 (10 g, 19 mmol)을 무수 펜탄에 녹이고 온도를 0 ℃로 낮추었다. 이후, 디에틸아민(16.3 ml, 158 mmol)을 천천히 적가한 후, 이어 이소프로필알콜 (9.5 g, 158 mmol)을 첨가하고 상온에서 1시간 교반하였다. 반응이 종료되면 여과하고 농축 후, 진공 건조하여 하기 화학식 Y로 표현되는 화합물을 얻었다.The compound represented by Formula A-3 (10 g, 19 mmol) was dissolved in anhydrous pentane, and the temperature was lowered to 0 °C. Then, diethylamine (16.3 ml, 158 mmol) was slowly added dropwise, and then isopropyl alcohol (9.5 g, 158 mmol) was added, followed by stirring at room temperature for 1 hour. Upon completion of the reaction, filtration, concentration, and vacuum drying were performed to obtain a compound represented by the following formula Y.

[화학식 A-2] [화학식 A-3][Formula A-2] [Formula A-3]

Figure pat00033
Figure pat00034
Figure pat00033
Figure pat00034

[화학식 Y][Formula Y]

Figure pat00035
Figure pat00035

포토레지스트 조성물의 제조Preparation of photoresist composition

실시예 1 내지 9, 비교예 1 및 비교예 2Examples 1 to 9, Comparative Example 1 and Comparative Example 2

합성예 3 내지 합성예 11, 그리고 비교합성예 1 및 비교합성예 2에서 얻어진 유기 금속 화합물 1C 내지 1K, 그리고 유기 금속 화합물 X 내지 Y를 PGMEA(Propylene glycol methyl ether acetate)에 3 중량%의 농도로 용해하고, 0.1 ㎛ PTFE syringe filter로 여과하여 포토레지스트 조성물을 제조하였다. Synthesis Examples 3 to 11, and the organometallic compounds 1C to 1K obtained in Comparative Synthesis Example 1 and Comparative Synthesis Example 2, and the organometallic compounds X to Y in PGMEA (propylene glycol methyl ether acetate) at a concentration of 3 wt% It was dissolved and filtered with a 0.1 μm PTFE syringe filter to prepare a photoresist composition.

평가 1: 용해도 평가Evaluation 1: Solubility evaluation

합성예 3 내지 합성예 11, 그리고 비교합성예 1 및 비교합성예 2에서 얻어진 유기 금속 화합물 1C 내지 1K, 그리고 유기 금속 화합물 X 및 Y를 자일렌(xylene)에 용해하였을 때를 기준으로 용해도 정도를 하기 3 단계로 평가하였으며, 그 결과를 하기 표 1에 나타내었다.The degree of solubility was determined based on when the organometallic compounds 1C to 1K obtained in Synthesis Examples 3 to 11, and Comparative Synthesis Example 1 and Comparative Synthesis Example 2, and the organometallic compounds X and Y were dissolved in xylene. It was evaluated in the following three steps, and the results are shown in Table 1 below.

○ : 자일렌에 3 중량% 이상 용해됨○: 3 wt% or more dissolved in xylene

△ : 자일렌에 1 중량% 이상 3 중량% 미만으로 용해됨△: dissolved in xylene in an amount of 1 wt% or more and less than 3 wt%

X : 자일렌에 1 중량% 미만으로 용해됨X: less than 1% by weight dissolved in xylene

유기금속 화합물organometallic compounds 합성예 3Synthesis Example 3 합성예 4Synthesis Example 4 합성예 5Synthesis Example 5 합성예 6Synthesis Example 6 합성예 7Synthesis Example 7 합성예 8Synthesis Example 8 화학식 1CFormula 1C 화학식 1DFormula 1D 화학식 1EFormula 1E 화학식 1FFormula 1F 화학식 1GFormula 1G 화학식 1HFormula 1H 용해도Solubility 유기금속 화합물organometallic compounds 합성예 9Synthesis Example 9 합성예 10Synthesis Example 10 합성예 11Synthesis Example 11 비교합성예 1Comparative Synthesis Example 1 비교합성예 2Comparative Synthesis Example 2 화학식 1IFormula 1I 화학식 1JFormula 1J 화학식 1KFormula 1K 화학식 XFormula X 화학식 YFormula Y 용해도Solubility XX

표 1을 참조하면, 합성예 3 내지 합성예 11에 따른 유기금속 화합물이 비교 합성예 1 및 2에 비하여 용해성이 더 우수함을 확인할 수 있다. Referring to Table 1, it can be seen that the organometallic compounds according to Synthesis Examples 3 to 11 have better solubility than Comparative Synthesis Examples 1 and 2.

평가 2: 감도 및 라인 에지 거칠기(LER) 평가Evaluation 2: Sensitivity and Line Edge Roughness (LER) Evaluation

원형 실리콘 웨이퍼 상에 상기 코팅 방법에 의해 제조된 실시예 1 내지 실시예 9, 그리고 비교예 1 및 2에 따른 필름을 40nm Half-pitch의 나노선 패턴이 형성되도록 100 kV 가속전압의 극자외선(E-beam)에 노출시킨다. 상기 노출된 필름을 170℃에 60초간 노출 후 2-heptanone이 담긴 페드리디시에 30초 간 담갔다가 꺼낸 후 동일 용제로 10초 간 씻어준다. 최종적으로 150℃에서 180초 간 소성한 후, FE-SEM(field emission scanning electron microscopy)에 의해 패턴 이미지를 얻는다. FE-SEM 이미지로부터 확인된 형성된 선의 CD(Critical Dimension) 사이즈 및 라인 에지 거칠기(LER)를 측정한 후, 하기 기준에 따라 감도 및 라인 에지 거칠기를 평가하여 표 2에 나타내었다.The films according to Examples 1 to 9 and Comparative Examples 1 and 2 prepared by the above coating method on a circular silicon wafer were subjected to extreme ultraviolet (E) radiation at an acceleration voltage of 100 kV so that a 40 nm half-pitch nanowire pattern was formed. -beam). After exposing the exposed film to 170° C. for 60 seconds, it is immersed in a fedri dish containing 2-heptanone for 30 seconds, then taken out and washed with the same solvent for 10 seconds. Finally, after baking at 150° C. for 180 seconds, a pattern image is obtained by field emission scanning electron microscopy (FE-SEM). After measuring the CD (Critical Dimension) size and line edge roughness (LER) of the formed line confirmed from the FE-SEM image, the sensitivity and line edge roughness were evaluated according to the following criteria, and it is shown in Table 2.

※ 평가기준※ Evaluation standard

(1) 감도(1) Sensitivity

1000 uC/cm2 에너지에서 측정된 CD 사이즈를 하기 기준에 따라 평가하여 그 결과를 표 2에 나타낸다.The CD size measured at 1000 uC/cm 2 energy was evaluated according to the following criteria, and the results are shown in Table 2.

- ◎: 40nm 이상- ◎: 40 nm or more

- ○: 35nm 이상 40nm 미만- ○: 35nm or more and less than 40nm

- △: 35nm 미만- △: less than 35 nm

- X: 패턴 확인되지 않음.- X: Pattern not confirmed.

(2) 라인 에지 거칠기(LER)(2) Line Edge Roughness (LER)

- ○: 4nm 이하- ○: 4 nm or less

- △: 4nm 초과 7nm 이하- △: more than 4 nm and less than 7 nm

- X: 7nm 초과- X: greater than 7 nm

평가 3: 보관안정성 평가Evaluation 3: Storage stability evaluation

한편, 전술한 실시예 1 내지 실시예 9, 그리고 비교예 1 및 2에 사용된 유기 금속 화합물에 대하여, 아래와 같은 기준으로 보관안정성을 평가하여, 하기 표 2에 함께 표시하였다.On the other hand, storage stability of the organometallic compounds used in Examples 1 to 9 and Comparative Examples 1 and 2 described above was evaluated according to the following criteria, and are shown together in Table 2 below.

[보관안정성][Storage Stability]

상온(20±5℃) 조건에서 실시예 1 내지 9, 그리고 비교예 1 및 2에 따른 반도체 포토 레지스트 조성물을 특정 기간 방치 시, 침전이 발생되는 정도를 육안으로 관찰하여, 하기 보관 가능한 기준에 따라 2 단계로 평가하였다.When the semiconductor photoresist compositions according to Examples 1 to 9 and Comparative Examples 1 and 2 were left for a specific period at room temperature (20±5° C.) at room temperature (20±5° C.), the degree of precipitation was visually observed, and according to the following storage standards, It was evaluated in two stages.

※ 평가기준※ Evaluation standard

- ○: 1 개월 이상 보관 가능- ○: Can be stored for more than 1 month

- X: 2 주 미만 보관 가능- X: Can be stored for less than 2 weeks

감도Sensitivity LER(nm)LER (nm) 보관안정성storage stability 실시예 1Example 1 실시예 2Example 2 실시예 3Example 3 실시예 4Example 4 실시예 5Example 5 실시예 6Example 6 실시예 7Example 7 실시예 8Example 8 실시예 9Example 9 비교예 1Comparative Example 1 XX XX XX 비교예 2Comparative Example 2

표 2를 참조하면, 실시예 1 내지 실시예 9에 따른 반도체 포토레지스트용 조성물은 비교예 1 및 2에 따른 반도체 포토레지스트용 조성물에 비하여 라인에지거칠기(LER), 감도 및 보관안정성이 동등 이상으로 우수한 것을 확인할 수 있다. Referring to Table 2, the compositions for semiconductor photoresists according to Examples 1 to 9 had line edge roughness (LER), sensitivity, and storage stability equal to or higher than the compositions for semiconductor photoresists according to Comparative Examples 1 and 2 It can be seen that excellent

앞에서, 본 발명의 특정한 실시예가 설명되고 도시되었지만 본 발명은 기재된 실시예에 한정되는 것이 아니고, 본 발명의 사상 및 범위를 벗어나지 않고 다양하게 수정 및 변형할 수 있음은 이 기술의 분야에서 통상의 지식을 가진 자에게 자명한 일이다. 따라서, 그러한 수정예 또는 변형예들은 본 발명의 기술적 사상이나 관점으로부터 개별적으로 이해되어서는 안되며, 변형된 실시예들은 본 발명의 특허청구범위에 속한다 하여야 할 것이다.In the foregoing, specific embodiments of the present invention have been described and illustrated, but it is common knowledge in the art that the present invention is not limited to the described embodiments, and that various modifications and variations can be made without departing from the spirit and scope of the present invention. It is self-evident to those who have Accordingly, such modifications or variations should not be individually understood from the spirit or point of view of the present invention, and the modified embodiments should belong to the claims of the present invention.

100: 기판 102: 박막
104: 레지스트 하층막 106: 포토레지스트 막
106a: 노광된 영역 106b: 미노광된 영역
108: 포토레지스트 패턴 112: 유기막 패턴
114: 박막 패턴
100: substrate 102: thin film
104: resist underlayer film 106: photoresist film
106a: exposed area 106b: unexposed area
108: photoresist pattern 112: organic film pattern
114: thin film pattern

Claims (10)

하기 화학식 1로 표시되는 유기 금속 화합물, 및 용매를 포함하는 반도체 포토레지스트용 조성물:
[화학식 1]
Figure pat00036

상기 화학식 1에서,
R1 내지 R6은 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, ORa, -OC(=O)Rb 또는 이들의 조합이고,
Ra 및 Rb는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기 또는 이들의 조합이며,
R1 내지 R6은 동시에 수소는 아니다.
A composition for a semiconductor photoresist comprising an organometallic compound represented by the following Chemical Formula 1, and a solvent:
[Formula 1]
Figure pat00036

In Formula 1,
R 1 To R 6 are each independently hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, OR a , -OC(=O)R b or a combination thereof,
R a and R b are each independently a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, or a substituted or unsubstituted C2 to C20 alky a nyl group or a combination thereof,
R 1 to R 6 are not hydrogen at the same time.
제1항에서,
상기 R1 내지 R6은 각각 독립적으로 치환 또는 비치환된 C1 내지 C10 알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알키닐기, ORa 및 -OC(=O)Rb로 이루어진 군에서 선택되는 적어도 하나이며,
상기 Ra 및 Rb는 각각 독립적으로 치환 또는 비치환된 C1 내지 C10 알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알키닐기 또는 이들의 조합인, 반도체 포토레지스트용 조성물.
In claim 1,
wherein R 1 to R 6 are each independently a substituted or unsubstituted C1 to C10 alkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, OR a and -OC(=O ) is at least one selected from the group consisting of R b ,
Wherein R a and R b are each independently a substituted or unsubstituted C1 to C10 alkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, or a combination thereof, semiconductor photoresist for composition.
제1항에서,
상기 R1 내지 R6은 각각 독립적으로 메틸기, 에틸기, n-프로필기, iso-프로필기, n-부틸기, iso-부틸기, sec-부틸기, t-부틸기, ORa 및 -OC(=O)Rb로 이루어진 군에서 선택되는 적어도 하나이며,
상기 Ra 및 Rb는 각각 독립적으로 치환 또는 비치환된 C1 내지 C10 알킬기, 치환 또는 비치환된 C2 내지 C8 알케닐기, 치환 또는 비치환된 C2 내지 C8 알키닐기 또는 이들의 조합인, 반도체 포토레지스트용 조성물.
In claim 1,
wherein R 1 to R 6 are each independently a methyl group, an ethyl group, n-propyl group, iso-propyl group, n-butyl group, iso-butyl group, sec-butyl group, t-butyl group, OR a and -OC ( = O) R b is at least one selected from the group consisting of,
Wherein R a and R b are each independently a substituted or unsubstituted C1 to C10 alkyl group, a substituted or unsubstituted C2 to C8 alkenyl group, a substituted or unsubstituted C2 to C8 alkynyl group, or a combination thereof, semiconductor photoresist for composition.
제1항에서,
상기 Ra 및 Rb는 각각 독립적으로 메틸기, 에틸기, n-프로필기, iso-프로필기, n-부틸기, iso-부틸기, sec-부틸기, t-부틸기, 또는 치환 또는 비치환된 C2 내지 C6 알케닐기인, 반도체 포토레지스트용 조성물.
In claim 1,
wherein R a and R b are each independently a methyl group, an ethyl group, an n-propyl group, an iso-propyl group, an n-butyl group, an iso-butyl group, a sec-butyl group, a t-butyl group, or a substituted or unsubstituted C2 to C6 alkenyl group, the composition for a semiconductor photoresist.
제1항에서,
상기 화학식 1은 하기 화학식 1-1 내지 화학식 1-8 중 어느 하나로 표시되는, 반도체 포토레지스트용 조성물:
[화학식 1-1] [화학식 1-2] [화학식 1-3]
Figure pat00037
Figure pat00038
Figure pat00039

[화학식 1-4] [화학식 1-5] [화학식 1-6]
Figure pat00040
Figure pat00041
Figure pat00042

[화학식 1-7] [화학식 1-8]
Figure pat00043
Figure pat00044

상기 화학식 1-1 내지 화학식 1-8에서,
R1 내지 R5는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기, 치환 또는 비치환된 C6 내지 C30 아릴기, 또는 이들의 조합이고,
Ra, Ra1, Ra2, Ra3, Rb, Rb1, Rb2 및 Rb3는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기 또는 이들의 조합인, 반도체 포토레지스트용 조성물.
In claim 1,
Formula 1 is a composition for a semiconductor photoresist, which is represented by any one of Formulas 1-1 to 1-8 below:
[Formula 1-1] [Formula 1-2] [Formula 1-3]
Figure pat00037
Figure pat00038
Figure pat00039

[Formula 1-4] [Formula 1-5] [Formula 1-6]
Figure pat00040
Figure pat00041
Figure pat00042

[Formula 1-7] [Formula 1-8]
Figure pat00043
Figure pat00044

In Formulas 1-1 to 1-8,
R 1 to R 5 are each independently hydrogen, a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to a C20 alkynyl group, a substituted or unsubstituted C6 to C30 aryl group, or a combination thereof;
R a , R a1 , R a2 , R a3 , R b , R b1 , R b2 and R b3 are each independently a substituted or unsubstituted C1 to C20 alkyl group, a substituted or unsubstituted C3 to C20 cycloalkyl group, a substituted or An unsubstituted C2 to C20 alkenyl group, a substituted or unsubstituted C2 to C20 alkynyl group, or a combination thereof, a composition for a semiconductor photoresist.
제1항에서,
계면활성제, 가교제, 레벨링제, 또는 이들의 조합의 첨가제를 더 포함하는, 반도체 포토레지스트용 조성물.
In claim 1,
A composition for a semiconductor photoresist, further comprising an additive of a surfactant, a crosslinking agent, a leveling agent, or a combination thereof.
기판 위에 식각 대상 막을 형성하는 단계;
상기 식각 대상 막 위에 제1항 내지 제6항 중 어느 한 항에 따른 반도체 포토레지스트용 조성물을 적용하여 포토 레지스트 막을 형성하는 단계;
상기 포토 레지스트 막을 패터닝하여 포토 레지스트 패턴을 형성하는 단계; 및
상기 포토 레지스트 패턴을 식각 마스크로 이용하여 상기 식각 대상막을 식각하는 단계를 포함하는 패턴 형성 방법.
forming an etch target layer on the substrate;
forming a photoresist layer by applying the composition for a semiconductor photoresist according to any one of claims 1 to 6 on the etching target layer;
forming a photoresist pattern by patterning the photoresist layer; and
and etching the etch target layer using the photoresist pattern as an etch mask.
제7항에서,
상기 포토 레지스트 패턴을 형성하는 단계는 5 nm 내지 150 nm 파장의 광을 사용하는 패턴 형성 방법.
In claim 7,
The step of forming the photoresist pattern is a pattern forming method using light having a wavelength of 5 nm to 150 nm.
제7항에서,
상기 기판과 상기 포토 레지스트 막 사이에 형성되는 레지스트 하층막을 제공하는 단계를 더 포함하는 패턴 형성 방법.
In claim 7,
The method further comprising the step of providing a resist underlayer film formed between the substrate and the photoresist film.
제7항에서,
상기 포토 레지스트 패턴은 5 nm 내지 100 nm의 폭을 가지는 패턴 형성 방법.
In claim 7,
The photoresist pattern is a pattern forming method having a width of 5 nm to 100 nm.
KR1020200187967A 2020-12-30 2020-12-30 Semiconductor photoresist composition, and method of forming patterns using the composition KR102586110B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200187967A KR102586110B1 (en) 2020-12-30 2020-12-30 Semiconductor photoresist composition, and method of forming patterns using the composition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200187967A KR102586110B1 (en) 2020-12-30 2020-12-30 Semiconductor photoresist composition, and method of forming patterns using the composition

Publications (2)

Publication Number Publication Date
KR20220095940A true KR20220095940A (en) 2022-07-07
KR102586110B1 KR102586110B1 (en) 2023-10-05

Family

ID=82397420

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200187967A KR102586110B1 (en) 2020-12-30 2020-12-30 Semiconductor photoresist composition, and method of forming patterns using the composition

Country Status (1)

Country Link
KR (1) KR102586110B1 (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080034953A (en) * 2005-08-22 2008-04-22 우베 고산 가부시키가이샤 Nonaqueous electrolyte solution and lithium secondary battery using same
KR20200014043A (en) * 2018-07-31 2020-02-10 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200014216A (en) * 2018-07-31 2020-02-10 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200014185A (en) * 2018-07-31 2020-02-10 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200095987A (en) * 2019-02-01 2020-08-11 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200126884A (en) * 2019-04-30 2020-11-09 삼성에스디아이 주식회사 Semiconductor resist composition and method of forming patterns using the composition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080034953A (en) * 2005-08-22 2008-04-22 우베 고산 가부시키가이샤 Nonaqueous electrolyte solution and lithium secondary battery using same
KR20200014043A (en) * 2018-07-31 2020-02-10 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200014216A (en) * 2018-07-31 2020-02-10 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200014185A (en) * 2018-07-31 2020-02-10 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200095987A (en) * 2019-02-01 2020-08-11 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
KR20200126884A (en) * 2019-04-30 2020-11-09 삼성에스디아이 주식회사 Semiconductor resist composition and method of forming patterns using the composition

Also Published As

Publication number Publication date
KR102586110B1 (en) 2023-10-05

Similar Documents

Publication Publication Date Title
KR102296813B1 (en) Semiconductor resist composition, and method of forming patterns using the composition
KR102307977B1 (en) Semiconductor resist composition, and method of forming patterns using the composition
KR102606844B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR102598259B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20200014185A (en) Semiconductor resist composition, and method of forming patterns using the composition
KR20210123138A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20220088011A (en) Semiconductor photoresist composition, method for preparing thereof and method of forming patterns using the composition
CN110780536A (en) Semiconductor resist composition and method and system for forming pattern using the same
KR102296818B1 (en) Semiconductor resist composition, and method of forming patterns using the composition
KR20240008692A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102577299B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102555497B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR102586110B1 (en) Semiconductor photoresist composition, and method of forming patterns using the composition
KR20210128793A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102678333B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102671848B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102586099B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102586112B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102586109B1 (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR102538092B1 (en) Semiconductor resist composition and method of forming patterns using the composition
KR20240071798A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20240038462A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20240040479A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20230166367A (en) Semiconductor photoresist composition and method of forming patterns using the composition
KR20220155111A (en) Semiconductor photoresist composition and method of forming patterns using the composition

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant