KR20220072079A - 지지 유닛 및 이를 포함하는 기판 처리 장치 및 온도 제어 방법 - Google Patents

지지 유닛 및 이를 포함하는 기판 처리 장치 및 온도 제어 방법 Download PDF

Info

Publication number
KR20220072079A
KR20220072079A KR1020200158726A KR20200158726A KR20220072079A KR 20220072079 A KR20220072079 A KR 20220072079A KR 1020200158726 A KR1020200158726 A KR 1020200158726A KR 20200158726 A KR20200158726 A KR 20200158726A KR 20220072079 A KR20220072079 A KR 20220072079A
Authority
KR
South Korea
Prior art keywords
switch
heater
matrix
controlling
target
Prior art date
Application number
KR1020200158726A
Other languages
English (en)
Other versions
KR102578703B1 (ko
Inventor
이충우
박인규
장용석
전성연
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020200158726A priority Critical patent/KR102578703B1/ko
Priority to JP2021189732A priority patent/JP7297853B2/ja
Priority to US17/534,329 priority patent/US20220167462A1/en
Priority to CN202111396164.7A priority patent/CN114554629A/zh
Publication of KR20220072079A publication Critical patent/KR20220072079A/ko
Application granted granted Critical
Publication of KR102578703B1 publication Critical patent/KR102578703B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/10Heating elements characterised by the composition or nature of the materials or by the arrangement of the conductor
    • H05B3/12Heating elements characterised by the composition or nature of the materials or by the arrangement of the conductor characterised by the composition or nature of the conductive material
    • H05B3/14Heating elements characterised by the composition or nature of the materials or by the arrangement of the conductor characterised by the composition or nature of the conductive material the material being non-metallic
    • H05B3/141Conductive ceramics, e.g. metal oxides, metal carbides, barium titanate, ferrites, zirconia, vitrous compounds
    • H05B3/143Conductive ceramics, e.g. metal oxides, metal carbides, barium titanate, ferrites, zirconia, vitrous compounds applied to semiconductors, e.g. wafers heating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/28Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor embedded in insulating material
    • H05B3/283Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor embedded in insulating material the insulating material being an inorganic material, e.g. ceramic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B2203/00Aspects relating to Ohmic resistive heating covered by group H05B3/00
    • H05B2203/002Heaters using a particular layout for the resistive material or resistive elements
    • H05B2203/005Heaters using a particular layout for the resistive material or resistive elements using multiple resistive elements or resistive zones isolated from each other

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Control Of Resistance Heating (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

지지 유닛 내에 매트릭스 형태로 배치되어, 기판을 가열하는 복수의 히터; 상기 복수의 히터에 전력을 공급하는 전원 공급부; 및 상기 복수의 히터에 인가되는 전류는 상기 매트릭스의 행과 열에 각각 연결된 스위치에 의해 제어되고, 상기 매트릭스의 행에 연결되는 스위치는, 상기 매트릭스의 행에 인가되는 전류를 제어할 수 있는 제1 스위치; 및 상기 제1 스위치와 병렬로 연결되는 제2 스위치;를 포함할 수 있다.

Description

지지 유닛 및 이를 포함하는 기판 처리 장치 및 온도 제어 방법{SUPPORT UNIT, SUBSTRATE TREATING APPARTUS INCLUDING THE SAME AND TEMPERATURE CONTROL METHOD}
본 발명은 지지 유닛 및 이를 포함하는 기판 처리 장치 및 온도 제어 방법에 관한 발명이다. 보다 상세하게는, 지지 유닛에 포함되는 히터 및 히터에 연결되는 스위치 구조를 이용한 온도 제어 방법에 관한 발명이다.
종래의 마이크로 히터를 이용한 온도 제어 방법의 경우, 타겟으로 삼은 히터에 대한 전압과 전류를 센싱하고, 센싱한 값으로부터 각각의 히터의 저항값을 계산하여 히터로 인가되는 출력전력을 조정하는 방식을 사용하였다.
종래의 마이크로 히터를 이용한 매트릭스 구조의 경우 다이오드가 포함되어 있지 아니한 구성을 사용하며, 회로 구조가 단순하게 제공된다. 그러나 타겟 히터에 인접한 히터들의 전류로 인하여, 타겟 히터에 대한 정확한 전류 측정이 어려운 문제점이 있었고, 이로 인해 타겟 히터에서의 온도 제어가 부정확한 문제점이 있었다.
본 발명은 복수의 히터 중 특정한 타겟 히터 영역에서의 온도 제어를 정확하게 제어하고자 한다.
본 발명이 해결하고자 하는 과제는 이상에서 언급된 과제로 제한되지 않는다. 언급되지 않은 다른 기술적 과제들은 이하의 기재로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.
본 발명의 일 예시에 따른 지지 유닛이 개시된다.
상기 지지 유닛은, 지지 유닛 내에 매트릭스 형태로 배치되어, 기판을 가열하는 복수의 히터; 상기 복수의 히터에 전력을 공급하는 전원 공급부; 및 상기 복수의 히터에 인가되는 전류는 상기 매트릭스의 행과 열에 각각 연결된 스위치에 의해 제어되고, 상기 매트릭스의 행에 연결되는 스위치는, 상기 매트릭스의 행에 인가되는 전류를 제어할 수 있는 제1 스위치; 및 상기 제1 스위치와 병렬로 연결되는 제2 스위치;를 포함할 수 있다.
일 예시에 따르면, 상기 제2 스위치의 일단은, 상기 제1 스위치의 일단과 병렬로 연결되며, 상기 제2 스위치의 타단은 접지와 연결될 수 있다.
일 예시에 따르면, 상기 제1 스위치 및 상기 제2 스위치는 상기 매트릭스의 행마다 각각 연결될 수 있다.
일 예시에 따르면, 상기 매트릭스의 열에 각각 연결되는 제3 스위치를 더 포함할 수 있다.
일 예시에 따르면, 상기 지지 유닛은, 상기 제1 스위치, 상기 제2 스위치 및 상기 제3 스위치의 온오프를 제어하는 제어부;를 더 포함할 수 있다.
일 예시에 따르면, 상기 제어부는 상기 매트릭스에 포함된 타겟 히터의 전류를 측정하기 위해, 상기 타겟 히터와 연결된 행의 제1 스위치를 연결하고, 상기 타겟 히터와 연결된 열의 제3 스위치를 연결할 수 있다.
일 예시에 따르면, 상기 제어부는 상기 타겟 히터와 연결되지 않은 다른 행들의 제2 스위치를 연결하도록 제어할 수 있다.
일 예시에 따르면, 상기 제어부는 상기 매트릭스에 포함된 복수의 행마다 연결된 제1 스위치와 제2 스위치 중 어느 하나가 연결되도록 제어할 수 있다.
일 예시에 따르면, 상기 제어부는 상기 복수의 행의 개수에 대응하는 상기 제 1스위치 중 어느 하나만 연결되도록 제어하고, 나머지 행에서는 상기 제2 스위치가 연결되도록 제어할 수 있다.
본 발명의 다른 일 실시예예 따른 기판 처리 장치가 개시된다.
상기 기판 처리 장치는, 처리 공간을 가지는 공정 챔버; 처리 공간 내에서 기판을 지지하는 지지 유닛; 상기 처리 공간으로 처리 가스를 공급하는 가스 공급 유닛; 및 상기 처리 가스로부터 플라즈마를 발생시키는 플라즈마 소스;를 포함하고, 상기 지지 유닛은 지지 유닛 내에 매트릭스 형태로 배치되어, 기판을 가열하는 복수의 히터; 상기 복수의 히터에 전력을 공급하는 전원 공급부; 및 상기 복수의 히터에 인가되는 전류는 상기 매트릭스의 행과 열에 각각 연결된 스위치에 의해 제어되고, 상기 매트릭스의 행에 연결되는 스위치는, 상기 매트릭스의 행에 인가되는 전류를 제어할 수 있는 제1 스위치; 및 상기 제1 스위치와 병렬로 연결되는 제2 스위치;를 포함할 수 있다.
본 발명의 다른 일 실시예에 따른, 지지 유닛을 이용하여 기판의 온도 제어를 수행하는 방법이 개시된다.
상기 방법은, 타겟으로 제어하고자 하는 히터의 영역을 타겟 히터로 선택하는 단계; 상기 선택한 히터의 영역에만 전류가 흐르도록 스위치를 제어하는 단계; 상기 선택한 히터의 영역에 흐르는 전류 값을 측정하여 이를 바탕으로 상기 선택한 히터 영역의 온도를 확인하는 단계; 및 상기 선택한 히터 영역의 온도를 제어하는 단계;를 포함할 수 있다.
일 예시에 따르면, 상기 선택한 히터의 영역에만 전류가 흐르도록 스위치를 제어하는 단계; 는 상기 타겟 히터와 연결된 행의 제1 스위치를 연결하고, 상기 타겟 히터와 연결된 열의 제3 스위치를 연결하도록 제어할 수 있다.
일 예시에 따르면, 상기 선택한 히터의 영역에만 전류가 흐르도록 스위치를 제어하는 단계; 는 상기 타겟 히터와 연결되지 않은 다른 행들의 제2 스위치를 연결하도록 제어할 수 있다.
일 예시에 따르면, 상기 선택한 히터의 영역에 흐르는 전류 값을 측정하여 이를 바탕으로 상기 선택한 히터 영역의 온도를 확인하는 단계;는 상기 측정한 전류 값을 바탕으로 저항 값을 계산하고, 이를 통해 온도를 측정할 수 있다.
일 예시에 따르면, 상기 선택한 히터 영역의 온도를 제어하는 단계;는 상기 히터 영역의 출력 전력을 조절하여 제어할 수 있다.
본 발명의 다른 일 예시에 따른 지지 유닛을 이용하여 기판의 온도 제어를 수행하는 방법이 개시된다.
상기 방법은, 상기 온도를 제어하고자 하는 히터 영역을 타겟 히터로 설정하고, 상기 타겟 히터의 목표 전력을 설정하는 단계; 상기 선택한 히터의 영역에만 전류가 흐르도록 스위치를 제어하는 단계; 상기 선택한 히터의 영역에 흐르는 전류 값을 측정하여 이를 바탕으로 상기 선택한 히터 영역의 온도를 확인하는 단계; 및 상기 목표 전력과 상기 히터 영역의 온도의 매칭 여부를 확인하는 단계;를 포함할 수 있다.
일 예시에 따르면, 상기 히터 영역의 온도 매칭이 되지 않는 경우, 피드백 제어를 통해 상기 히터 영역의 온도를 제어하는 단계;를 포함할 수 있다.
본 발명에 따르면 목표한 타겟 히터에서의 전류 측정이 가능하여 온도 계산이 가능하며, 이를 이용하여 폐루프 제어가 가능하여 정밀한 온도 제어가 가능한 효과가 있다.
본 발명의 효과는 상술한 효과들로 제한되지 않는다. 언급되지 않은 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.
도 1a 내지 도 1b는 본 발명의 일 예시에 따른 챔버의 개략적인 구성을 나타내는 도면이다.
도 2는 본 발명의 일 예시에 따른 기판 처리 장치의 구성을 나타내는 도면이다.
도 3은 본 발명의 일 예시에 따른 지지 유닛의 분해 사시도를 나타내는 도면이다.
도 4는 본 발명의 일 예시에 따른 히터 매트릭스의 구조를 나타내는 도면이다.
도 5는 본 발명의 일 예시에 따른 히터 매트릭스의 스위치를 제어하여 타겟 히터의 전류를 측정하는 것을 설명하기 위한 도면이다.
도 6은 본 발명의 일 실시예에 따라 타겟 히터의 전류를 측정하는 것을 설명하기 위한 등가 회로를 나타낸다.
도 7은 본 발명의 일 실시예에 따른 온도 제어 방법을 나타내는 순서도이다.
아래에서는 첨부한 도면을 참고로 하여 본 발명의 실시 예에 대하여 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시 예에 한정되지 않는다. 또한, 본 발명의 바람직한 실시예를 상세하게 설명함에 있어, 관련된 공지 기능 또는 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명을 생략한다. 또한, 유사한 기능 및 작용을 하는 부분에 대해서는 도면 전체에 걸쳐 동일한 부호를 사용한다.
어떤 구성요소를 '포함'한다는 것은, 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있다는 것을 의미한다. 구체적으로, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 또한 도면에서 요소들의 형상 및 크기 등은 보다 명확한 설명을 위해 과장될 수 있다.
제1, 제2 등의 용어는 다양한 구성 요소들을 설명하는데 사용될 수 있지만, 상기 구성 요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.
본 명세서 전체에서 사용되는 '~부'는 적어도 하나의 기능이나 동작을 처리하는 단위로서, 예를 들어 소프트웨어, FPGA 또는 ASIC과 같은 하드웨어 구성요소를 의미할 수 있다. 그렇지만 '~부'가 소프트웨어 또는 하드웨어에 한정되는 의미는 아니다. '~부'는 어드레싱할 수 있는 저장 매체에 있도록 구성될 수도 있고 하나 또는 그 이상의 프로세서들을 재생시키도록 구성될 수도 있다.
일 예로서 '~부'는 소프트웨어 구성요소들, 객체지향 소프트웨어 구성요소들, 클래스 구성요소들 및 태스크 구성요소들과 같은 구성요소들과, 프로세스들, 함수들, 속성들, 프로시저들, 서브루틴들, 프로그램 코드의 세그먼트들, 드라이버들, 펌웨어, 마이크로 코드, 회로, 데이터, 데이터베이스, 데이터 구조들, 테이블들, 어레이들 및 변수들을 포함할 수 있다. 구성요소와 '~부'에서 제공하는 기능은 복수의 구성요소 및 '~부'들에 의해 분리되어 수행될 수도 있고, 다른 추가적인 구성요소와 통합될 수도 있다.
이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장된 것이다.
도 1a 내지 도 1b는 본 발명의 일 예시에 따른 챔버의 개략적인 구성을 나타내는 도면이다.
도 1a 및 도 1b에 도시된 바와 같이, 플라즈마 챔버(100)는 RF 신호가 인가되는 전극(110a, 110b)을 포함할 수 있다. 전극(110a, 110b)은 챔버로 유입되는 기체가 이온화되어 플라즈마 상태로 변화하도록 챔버에 전기에너지를 전달할 수 있다. 도 1a에 도시된 전극(110a, 110b)은 챔버 내부에서 두 개의 전극판이 서로 마주보도록 배치된 용량 결합형 플라즈마 (CCP, Capacitively Coupled Plasma) 소스의 일 예를 나타낸다. 상기 용량 결합형 플라즈마 소스는 축전 전기장을 이용하여 챔버 내에 유입되는 기체의 전자에 전기에너지를 전달할 수 있다. 상기 용량 결합형 플라즈마 소스는 두 개의 전극판에 각각 RF 전원이 연결된 형태를 가질 수 있으나, 실시예에 따라 두 개의 전극판 중 상 측 전극판에만 RF 전원이 연결될 수도 있다. 도 1b에 도시된 전극(110c)은 챔버(100) 외부에 감긴 유도코일로 이루어진 유도 결합형 플라즈마(ICP, Inductively Coupled Plasma) 소스의 일 예를 나타낸다. 상기 유도 결합형 플라즈마 소스는 챔버 상부에 플라즈마 발생 장치가 별도로 결합되어, 챔버 내로 유입된 기체를 플라즈마 상태로 변화시키고, 상기 플라즈마를 다운스트림 방식으로 챔버에 제공할 수 있다.
도 2는 본 발명의 일 예시에 따른 기판 처리 장치의 구성을 나타내는 도면이다.
도 2를 참조하면, 기판처리장치(10)는 플라즈마를 이용하여 기판(S)을 처리한다. 예를 들어, 기판처리장치(10)는 기판(S)에 대하여 식각 공정을 수행할 수 있다. 기판 처리 장치(10)는 챔버(100), 기판 지지 유닛(200), 플라즈마 발생 유닛(300), 가스 공급 유닛(400) 및 배플 유닛(500)을 포함할 수 있다.
챔버(100)는 내부에 기판 처리 공정이 수행되는 처리 공간을 제공할 수 있다. 챔버(100)는 내부에 처리 공간을 가지고, 밀폐된 형상으로 제공될 수 있다. 챔버(100)는 금속 재질로 제공될 수 있다. 챔버(100)는 알루미늄 재질로 제공될 수 있다. 챔버(100)는 접지될 수 있다. 챔버(100)의 바닥면에는 배기홀(102)이 형성될 수 있다. 배기홀(102)은 배기 라인(151)과 연결될 수 있다. 공정 과정에서 발생한 반응 부산물 및 챔버의 내부 공간에 머무르는 가스는 배기 라인(151)을 통해 외부로 배출될 수 있다. 배기 과정에 의해 챔버(100)의 내부는 소정 압력으로 감압될 수 있다.
일 예에 의하면, 챔버(100) 내부에는 라이너(130)가 제공될 수 있다. 라이너(130)는 상면 및 하면이 개방된 원통 형상을 가질 수 있다. 라이너(130)는 챔버(100)의 내측면과 접촉하도록 제공될 수 있다. 라이너(130)는 챔버(100)의 내측벽을 보호하여 챔버(100)의 내측벽이 아크 방전으로 손상되는 것을 방지할 수 있다. 또한, 기판 처리 공정 중에 발생한 불순물이 챔버(100)의 내측벽에 증착 되는 것을 방지할 수 있다.
챔버(100)의 내부에는 기판 지지 유닛(200)이 위치할 수 있다. 기판 지지 유닛(200)은 기판(S)을 지지할 수 있다. 기판지지 유닛(200)은 정전기력을 이용하여 기판(S)을 흡착하는 정전척(210)을 포함할 수 있다. 이와 달리, 기판지지 유닛(200)은 기계적 클램핑과 같은 다양한 방식으로 기판(S)을 지지할 수도 있다. 이하에서는 정전 척(210)을 포함하는 기판지지 유닛(200)에 대하여 설명한다.
기판지지 유닛(200)은 정전척(210), 하부커버(250) 그리고 플레이트(270)를 포함할 수 있다. 기판지지 유닛(200)는 챔버(100) 내부에서 챔버(100)의 바닥면으로부터 상부로 이격 되어 위치할 수 있다.
정전 척(210)은 유전판(220), 몸체(230) 그리고 포커스링(240)을 포함할 수 있다. 정전척(210)은 기판(S)을 지지할 수 있다. 유전판(220)은 정전 척(210)의 상단에 위치할 수 있다. 유전판(220)은 원판 형상의 유전체(dielectric substance)로 제공될 수 있다. 유전판(220)의 상면에는 기판(S)이 놓일 수 있다. 유전판(220)의 상면은 기판(S)보다 작은 반경을 가질 수 있다. 때문에, 기판(S)의 가장자리 영역은 유전판(220)의 외측에 위치할 수 있다.
유전판(220)은 내부에 제1 전극(223), 히터(225) 그리고 제1 공급 유로(221)를 포함할 수 있다. 제1 공급 유로(221)는 유전판(210)의 상면으로부터 저면으로 제공될 수 있다. 제1 공급 유로(221)는 서로 이격하여 복수 개 형성되며, 기판(S)의 저면으로 열전달 매체가 공급되는 통로로 제공될 수 있다.
제1 전극(223)은 제1 전원(223a)과 전기적으로 연결될 수 있다. 제1 전원(223a)은 직류 전원을 포함할 수 있다. 제1 전극(223)과 제1 전원(223a) 사이에는 스위치(223b)가 설치될 수 있다. 제1 전극(223)은 스위치(223b)의 온/오프(ON/OFF)에 의해 제1 전원(223a)과 전기적으로 연결될 수 있다. 스위치(223b)가 온(ON)되면, 제1 전극 (223)에는 직류 전류가 인가될 수 있다. 제1 전극(223)에 인가된 전류에 의해 제1 전극(223)과 기판(S) 사이에는 정전기력이 작용하며, 정전기력에 의해 기판(S)은 유전판(220)에 흡착될 수 있다. 히터(225)는 제1 전극(223)의 하부에 위치할 수 있다. 히터(225)는 제2 전원(225a)과 전기적으로 연결될 수 있다. 히터(225)는 제2 전원(225a)에서 인가된 전류에 저항함으로써 열을 발생시킬 수 있다. 발생된 열은 유전판(220)을 통해 기판(S)으로 전달될 수 있다. 히터(225)에서 발생된 열에 의해 기판(S)은 소정 온도로 유지될 수 있다. 히터(225)는 나선 형상의 코일을 포함할 수 있다.
유전판(220)의 하부에는 몸체(230)가 위치할 수 있다. 유전판(220)의 저면과 몸체(230)의 상면은 접착제(236)에 의해 접착될 수 있다. 몸체(230)는 알루미늄 재질로 제공될 수 있다. 몸체(230)의 상면은 중심 영역이 가장자리 영역보다 높게 위치되도록 단차질 수 있다. 몸체(230)의 상면 중심 영역은 유전판(220)의 저면에 상응하는 면적을 가지며, 유전판(220)의 저면과 접착될 수 있다. 몸체(230)는 내부에 제1 순환 유로(231), 제2 순환 유로(232) 그리고 제2 공급 유로(233)가 형성될 수 있다.
제1 순환 유로(231)는 열전달 매체가 순환하는 통로로 제공될 수 있다. 제1 순환 유로(231)는 몸체(230) 내부에 나선 형상으로 형성될 수 있다. 또는, 제1 순환 유로(231)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 제1 순환 유로(231)들은 서로 연통될 수 있다. 제1 순환 유로(231)들은 동일한 높이에 형성될 수 있다.
제2 순환 유로(232)는 냉각 유체가 순환하는 통로로 제공될 수 있다. 제2 순환 유로(232)는 몸체(230) 내부에 나선 형상으로 형성될 수 있다. 또는, 제2 순환 유로(232)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 제2 순환 유로(232)들은 서로 연통될 수 있다. 제2 순환 유로(232)는 제1 순환 유로(231)보다 큰 단면적을 가질 수 있다. 제2 순환 유로(232)들은 동일한 높이에 형성될 수 있다. 제2 순환 유로(232)는 제1 순환 유로(231)의 하부에 위치될 수 있다.
제2 공급 유로(233)는 제1 순환 유로(231)부터 상부로 연장되며, 몸체(230)의 상면으로 제공될 수 있다. 제2 공급 유로(243)는 제1 공급 유로(221)에 대응하는 개수로 제공되며, 제1 순환 유로(231)와 제1 공급 유로(221)를 연결할 수 있다.
제1 순환 유로(231)는 열전달 매체 공급라인(231b)을 통해 열전달 매체 저장부(231a)와 연결될 수 있다. 열전달 매체 저장부(231a)에는 열전달 매체가 저장될 수 있다. 열전달 매체는 불활성 가스를 포함할 수 있다. 실시예에 의하면, 열전달 매체는 헬륨(He) 가스를 포함할 수 있다. 헬륨 가스는 공급 라인(231b)을 통해 제1 순환 유로(231)에 공급되며, 제2 공급 유로(233)와 제1 공급 유로(221)를 순차적으로 거쳐 기판(S) 저면으로 공급될 수 있다. 헬륨 가스는 플라즈마에서 기판(S)으로 전달된 열이 정전 척(210)으로 전달되는 매개체 역할을 할 수 있다.
제2 순환 유로(232)는 냉각 유체 공급 라인(232c)을 통해 냉각 유체 저장부(232a)와 연결될 수 있다. 냉각 유체 저장부(232a)에는 냉각 유체가 저장될 수 있다. 냉각 유체 저장부(232a) 내에는 냉각기(232b)가 제공될 수 있다. 냉각기(232b)는 냉각 유체를 소정 온도로 냉각시킬 수 있다. 이와 달리, 냉각기(232b)는 냉각 유체 공급 라인(232c) 상에 설치될 수 있다. 냉각 유체 공급 라인(232c)을 통해 제2 순환 유로(232)에 공급된 냉각 유체는 제2 순환 유로(232)를 따라 순환하며 몸체(230)를 냉각할 수 있다. 몸체(230)는 냉각되면서 유전판(220)과 기판(S)을 함께 냉각시켜 기판(S)을 소정 온도로 유지시킬 수 있다.
몸체(230)는 금속판을 포함할 수 있다. 일 예에 의하면, 몸체(230) 전체가 금속판으로 제공될 수 있다. 몸체(230)는 제3 전원(235a)과 전기적으로 연결될 수 있다. 제3 전원(235a)은 고주파 전력을 발생시키는 고주파 전원으로 제공될 수 있다. 고주파 전원은 RF 전원을 포함할 수 있다. 몸체(230)는 제3 전원(235a)으로부터 고주파 전력을 인가받을 수 있다. 이로 인하여 몸체(230)는 전극, 즉 하부 전극으로서 기능할 수 있다.
링부재(240)는 정전 척(210)의 가장자리 영역에 배치될 수 있다. 링부재(240)는 환형의 링 형상을 가지며, 유전판(220)의 둘레를 따라 배치될 수 있다. 특히 링부재(240)는 포커스링을 포함하는 다수의 링으로 구성될 수 있다. 특히 링부재(240)의 상면은 외측부(240a)가 내측부(240b)보다 높도록 단차질 수 있다. 링부재(240)의 상면 내측부(240b)는 유전판(220)의 상면과 동일 높이에 위치될 수 있다. 링부재(240)의 상면 내측부(240b)는 유전판(220)의 외측에 위치된 기판(S)의 가장자리 영역을 지지할 수 있다. 링부재(240)의 외측부(240a)는 기판(S)의 가장자리 영역을 둘러싸도록 제공될 수 있다. 링부재(240)는 기판(S)의 전체 영역에서 플라즈마의 밀도가 균일하게 분포하도록 전자기장을 제어할 수 있다. 이에 의해, 기판(S)의 전체 영역에 걸쳐 플라즈마가 균일하게 형성되어 기판(S)의 각 영역이 균일하게 식각될 수 있다.
하부 커버(250)는 기판지지 유닛(200)의 하단부에 위치할 수 있다. 하부 커버(250)는 챔버(100)의 바닥면에서 상부로 이격되어 위치할 수 있다. 하부 커버(250)는 상면이 개방된 공간(255)이 내부에 형성될 수 있다. 하부 커버(250)의 외부 반경은 몸체(230)의 외부 반경과 동일한 길이로 제공될 수 있다. 하부 커버(250)의 내부 공간(255)에는 반송되는 기판(S)을 외부의 반송 부재로부터 정전 척(210)으로 이동시키는 리프트 핀 모듈(미도시) 등이 위치할 수 있다. 리프트 핀 모듈(미도시)은 하부 커버(250)로부터 일정 간격 이격되어 위치할 수 있다. 하부 커버(250)의 저면은 금속 재질로 제공될 수 있다. 하부 커버(250)의 내부 공간(255)은 공기가 제공될 수 있다. 공기는 절연체보다 유전율이 낮으므로 기판지지 유닛(200) 내부의 전자기장을 감소시키는 역할을 할 수 있다.
하부 커버(250)는 연결 부재(253)를 가질 수 있다. 연결 부재(253)는 하부 커버(250)의 외측면과 챔버(100)의 내측벽을 연결할 수 있다. 연결 부재(253)는 하부 커버(250)의 외측면에 일정한 간격으로 복수 개 제공될 수 있다. 연결 부재(253)는 기판지지 유닛(200)를 챔버(100) 내부에서 지지할 수 있다. 또한, 연결 부재(253)는 챔버(100)의 내측벽과 연결됨으로써 하부 커버(250)가 전기적으로 접지되도록 할 수 있다. 제1 전원(223a)과 연결되는 제1 전원라인(223c), 제2 전원(225a)과 연결되는 제2 전원라인(225c), 제3 전원(235a)과 연결되는 제3 전원라인(235c), 열전달 매체 저장부(231a)와 연결된 열전달 매체 공급라인(231b) 그리고 냉각 유체 저장부(232a)와 연결된 냉각 유체 공급 라인(232c) 등은 연결 부재(253)의 내부 공간(255)을 통해 하부 커버(250) 내부로 연장 될 수 있다.
정전 척(210)과 하부 커버(250)의 사이에는 플레이트(270)가 위치할 수 있다. 플레이트(270)는 하부 커버(250)의 상면을 덮을 수 있다. 플레이트(270)는 몸체(230)에 상응하는 단면적으로 제공될 수 있다. 플레이트(270)는 절연체를 포함할 수 있다. 일 예에 의하면, 플레이트(270)는 하나 또는 복수 개가 제공될 수 있다. 플레이트(270)는 몸체(230)와 하부 커버(250)의 전기적 거리를 증가시키는 역할을 할 수 있다.
플라즈마 발생 유닛(300)은 챔버(100) 내 공정 가스를 플라즈마 상태로 여기시킬 수 있다. 상기 플라즈마 발생 유닛(300)은 용량 결합형 플라즈마 타입의 플라즈마 소스를 사용할 수 있다. CCP 타입의 플라즈마 소스가 사용되는 경우, 챔버(100)에 상부 전극(330) 및 하부 전극(230), 즉 몸체가 포함될 수 있다. 상부 전극(330) 및 하부 전극(230)은 처리 공간을 사이에 두고 서로 평행하게 상하로 배치될 수 있다. 하부 전극(230)뿐만 아니라 상부 전극(330)도 RF 전원(310)에 의해 RF 신호를 인가받아 플라즈마를 생성하기 위한 에너지를 공급받을 수 있으며, 각 전극에 인가되는 RF 신호의 수는 도시된 바와 같이 하나로 제한되지는 않는다. 양 전극 간의 공간에는 전기장이 형성되고, 이 공간에 공급되는 공정 가스는 플라즈마 상태로 여기될 수 있다. 이 플라즈마를 이용하여 기판 처리 공정이 수행된다. 본 명세서에 있어서 설명되는 용량 결합형 플라즈마(CCP: Capacitively coupled plasma) 타입으로 설명되었으나, 이에 제한되지 않으며 플라즈마 발생 유닛(600)은 유도 결합형 플라즈마(ICP: inductively coupled plasma) 타입으로 구성될 수도 있다.
플라즈마 발생 유닛(300)은 가스분산판이 구비될 수 있다. 도면에는 도시하지 않았지만, 가스분산판은 챔버 (100)의 상면으로부터 일정거리 이격되어 배치될 수 있다. 가스분산판은 챔버(100)의 상면 가장자리에 형성되는 지지부에 의해 고정될 수 있다. 가스분산판은 두께가 일정한 판 형상으로 제공될 수 있다. 가스분산판의 저면은 플라즈마에 의한 아크 발생을 방지하기 위해 표면이 양극화 처리될 수 있다. 가스분산판의 단면적은 기판 지지 유닛(200)의 단면적과 동일하게 제공될 수 있다. 가스분산판은 복수개의 분사홀을 포함한다. 분사홀은 가스분산판의 상면과 하면을 수직 방향으로 관통할 수 있다. 가스분산판(310)은 금속재질을 포함할 수 있다. 금속재질의 가스분산판(310)은 상부 전극으로의 기능을 수행할 수 있다.
가스 공급 유닛(400)은 챔버(100) 내부에 공정 가스를 공급할 수 있다. 가스 공급 유닛(400)은 가스 공급 노즐(410), 가스 공급 라인(420), 그리고 가스 저장부(430)를 포함할 수 있다. 가스 공급 노즐(410)은 챔버(100)의 상면 중앙부에 설치될 수 있다. 가스 공급 노즐(410)의 저면에는 분사구가 형성될 수 있다. 분사구는 챔버(100) 내부로 공정 가스를 공급할 수 있다. 가스 공급 라인(420)은 가스 공급 노즐(410)과 가스 저장부(430)를 연결할 수 있다. 가스 공급 라인(420)은 가스 저장부(430)에 저장된 공정 가스를 가스 공급 노즐(410)에 공급할 수 있다. 가스 공급 라인(420)에는 밸브(421)가 설치될 수 있다. 밸브(421)는 가스 공급 라인(420)을 개폐하며, 가스 공급 라인(420)을 통해 공급되는 공정 가스의 유량을 조절할 수 있다.
배플 유닛(500)은 챔버(100)의 내측벽과 기판지지 유닛(200)의 사이에 위치될 수 있다. 배플(510)은 환형의 링 형상으로 제공될 수 있다. 배플(510)에는 복수의 관통홀(511)들이 형성될 수 있다. 챔버(100) 내에 제공된 공정 가스는 배플(510)의 관통홀(511)들을 통과하여 배기홀(102)로 배기될 수 있다. 배플(510)의 형상 및 관통홀(511)들의 형상에 따라 공정 가스의 흐름이 제어될 수 있다.
도 3은 본 발명의 일 예시에 따른 지지 유닛(200)의 분해 사시도를 나타내는 도면이다.
도 3에 따른 지지 유닛(200)에서, 도 2의 지지 유닛(200)에서 이미 서술한 구성요소에 대해서는 설명을 생략한다. 도 3에 따르면, 본 발명에 따른 지지 유닛(200)은 제어 보드(290) 및 커넥션 보드(280), 그리고 연결 전극부(227)를 더 포함할 수 있다.
본 발명에 따른 제어 보드(290)는 본 발명에 따른 지지 유닛(200)에 포함된 매트릭스 구조의 히터(230)에 연결된 제1 스위치(231), 제2 스위치(232) 및 제3 스위치(233)를 제어할 수 있다. 일 예시에 따르면 본 발명에 따른 제어 보드(290)는 후술할 제어부를 포함할 수 있다. 제어 보드(290)는 본 발명에 따른 지지 유닛에 포함된 매트릭스 구조의 히터(230)에 연결된 제1 스위치(231), 제2 스위치(232) 및 제3 스위치(233)를 제어하기 위한 제어 신호를 생성하고, 이를 인가할 수 있다. 제어 신호는 디지털 신호, 예를 들어, 온/오프 신호(on/off signal)일 수 있다. 제어 보드(290)는 하드웨어, 소프트웨어 또는 이들의 조합을 이용하여 컴퓨터 또는 이와 유사한 장치로 구현될 수 있다.
하드웨어적으로 제어 보드(290)는 ASICs(application specific integrated circuits), DSPs(digital signal processors), DSPDs(digital signal processing devices), PLDs(programmable logic devices), FPGAs(field programmable gate arrays), 프로세서(processors), 마이크로 콘트롤러(micro-controllers), 마이크로 프로세서(microprocessors)나 이들과 유사한 제어 기능을 수행하는 전기 장치로 구현될 수 있다.
소프트웨어적으로 제어 보드(290)는 하나 또는 복수의 프로그램 언어에 따른 소프트웨어 코드 또는 소프트웨어 어플리케이션으로 구현될 수 있다. 소프트웨어는 하드웨어적으로 구현된 제어기에 의해 실행될 수 있다. 또한 소프트웨어는 서버 등의 외부 기기로부터 상술한 하드웨어적인 구성으로 송신되어 설치될 수 있다.
일 예시에 따르면, 커넥션 보드(280)는 히터(225)와 제어 보드(290)의 사이에 배치될 수 있다. 일 예시에 따르면 연결 전극부(227)는 히터(225)와 제어 보드(290), 그리고 커넥션 보드(280)를 전기적으로 연결할 수 있다.
본 발명에 따른 지지 유닛(200)은, 지지 유닛(200) 내에 매트릭스 형태로 배치되어, 기판을 가열하는 복수의 히터(225)와, 복수의 히터(225)에 전력을 공급하는 전원 공급부(225a) 및 히터 매트릭스의 행과 열에 각각 연결된 스위치(231, 232, 233)를 포함할 수 있다. 본 발명에 따른 지지 유닛(200)은, 히터 매트릭스의 행과 열에 각각 연결된 스위치(231, 232, 233)의 온오프를 제어하는 제어부(290)를 더 포함할 수 있다.
이하에서 본 발명에 따른 히터(225) 매트릭스의 연결구조 및 제어 방법에 대해 회로도를 통해 상세하게 설명하도록 한다.
도 4는 본 발명의 일 예시에 따른 히터(225) 매트릭스의 구조를 나타내는 도면이다.
도 4를 참조하면, 본 발명에 따른 히터(225) 매트릭스는 매트릭스 구조로 배열된 복수개의 히터(225', 225'', 225''', 225''''…)와, 복수개의 히터(225)에 각각 연결되는 스위치들(2251, 2252, 2253)을 포함할 수 있다. 본 발명의 일 예시에 따르면, 본 발명에 따른 히터 매트릭스는 행과 열을 기준으로 정렬되어 제공될 수 있다. 히터 매트릭스는 복수의 행과 복수의 열을 가지며, 각각의 교차점에 히터(225', 225'', 225''', 225''''…)가 각각 연결될 수 있다.
본 발명의 일 예시에 따르면, 본 발명의 지지 유닛(200)은 매트릭스의 행에 연결되는 제1 스위치(2251) 및 제2 스위치(2252), 그리고 매트릭스의 열에 연결되는 제3 스위치(2253)를 포함할 수 있다.
도 4를 참조하면, 히터(225) 매트릭스의 각각의 행에는, 매트릭스의 행에 인가되는 전류를 제어할 수 있는 제1 스위치(2251)가 연결될 수 있다. 히터(225) 매트릭스의 각각의 행에는, 매트릭스의 행에 인가되는 전류를 제어할 수 있는 제1 스위치(2251)와 병렬로 연결되는 제2 스위치(2252)가 연결될 수 있다.
히터(225) 매트릭스의 각각의 행에는 제1 스위치(2251) 및 제2 스위치(2252)가 연결되어 있다. 일 예시에 따르면, 히터(225) 매트릭스에 4개의 행이 제공되는 경우, 총 4개의 제1 스위치(2251a, 2251b, 2251c, 2251d) 및 4개의 제2 스위치(2252a, 2252b, 2252c, 2252d)가 제공될 수 있다. 일 예시에 따르면, 히터(225) 매트릭스에 n개의 행이 제공되는 경우, 총 n개의 제1 스위치(2251a, …, 2251n) 및 n개의 제2 스위치(2252a, … ,2252n)가 제공될 수 있다. 즉 본 발명의 일 예시에 따르면 제1 스위치(2251) 및 제2 스위치(2252)는 상기 매트릭스의 행마다 각각 연결될 수 있다.
일 예시에 따르면, 제2 스위치(2252)의 일단은, 제1 스위치(2251)의 일단과 병렬로 연결되며, 제2 스위치(2252)의 타단은 접지와 연결될 수 있다.
본 발명의 지지 유닛은 매트릭스의 열에 각각 연결되는 제3 스위치(2253)를 더 포함할 수 있다. 제3 스위치(2253)는 매트릭스의 열의 개수와 동일한 개수로 제공될 수 있다.
도 4에는 도시되지 아니하였으나, 본 발명에 따른 지지 유닛(200)은, 제1 스위치(2251), 제2 스위치(2252) 및 제3 스위치(2253)의 온오프를 제어하는 제어부(290)를 더 포함할 수 있다. 본 발명에 따른 제어부는 매트릭스에 포함된 타겟 히터(225)의 전류를 측정하기 위해, 타겟 히터(225)와 연결된 행의 제1 스위치(2251)를 연결하고, 타겟 히터(225)와 연결된 열의 제3 스위치(2253)를 연결할 수 있다. 본 발명에 따른 제어부는 타겟 히터(225)와 연결되지 않은 다른 행들의 제2 스위치(2252)를 연결하도록 제어할 수 있다. 이를 통해, 타겟 히터(225)에만 전류가 흐르도록 구성할 수 있는 효과가 있고, 이를 통해 정확한 타겟 히터(225)에서의 전류측정이 가능한 효과가 있다.
보다 구체적으로 제어부의 제어 방식을 도 5를 참조하여 설명하면 다음과 같다.
매트릭스 형태로 배열된 복수의 히터(225) 중 타겟 히터(225')를 설정할 수 있다. 본 발명에 따른 제어부는, 타겟 히터(225')와 연결된 행의 제1 스위치(2251a)를 온 하도록 제어할 수 있다. 그리고, 본 발명에 따른 제어부는 타겟 히터(225')가 연결된 행 외의 나머지 행들에 연결된 제2 스위치(2252b, 2252c, 2252d)들을 모두 온 하도록 제어할 수 있다.
또한, 본 발명에 따른 제어부는, 타겟 히터(225')와 연결된 열의 제3 스위치(2253a)를 온 하도록 제어할 수 있다.
즉 본 발명에 따른 제어부는 타겟 히터(225')와 연결된 행의 제1 스위치(2251a)를 온 시키고, 타겟 히터(225')가 연결된 행 외의 나머지 행들에 연결된 제2 스위치(2252b, 2252c, 2252d)들을 온 시키며, 타겟 히터(225')와 연결된 행의 제3 스위치(2253a)를 온 시키도록 제어함으로써, 복수의 히터 중 오로지 타겟 히터(225')를 통해서만 전류가 흐를 수 있도록 제어할 수 있다. 즉 본 발명에 따른 제어부는 히터 매트릭스에 포함된 복수의 행마다 연결된 제1 스위치(2251a)와 제2 스위치(2252a) 중 어느 하나가 연결되도록 제어할 수 있고, 제어부는 복수의 행의 개수에 대응하는 제1 스위치(2251a, 2251b, 2251c, 2251d) 중 어느 하나만 연결되도록 제어하고, 나머지 행에서는 제2 스위치(2252)가 연결되도록 제어할 수 있다. 이를 통해 복수개의 히터 중 타겟 히터(225')만을 통해서 전류가 흐르도록 제어할 수 있고, 복수 개의 히터 중 타겟 히터(225')를 제외한 나머지 히터들을 통과하지 않은 전류 값을 측정할 수 있다.
도 4 및 도 5의 일 예시에 따르면, 전류를 측정하는 과정에 있어서, 히터(225) 매트릭스의 각각의 행에 연결된 제1 스위치(2251), 제2 스위치(2252)의 쌍은 히터(225) 매트릭스의 행의 개수만큼 제공될 수 있다. 도 4 내지 도 5의 일 예시에 따르면 제1 스위치(2251) 및 제2 스위치(2252)의 4쌍이 제공될 수 있고, 4쌍에 포함된 4개의 제1 스위치(2251) 및 4개의 제2 스위치(2252) 중 하나의 제1 스위치(2251a)가 온 될 수 있고, 3개의 제2 스위치(2252b, 2252c, 2252d)가 온 될 수 있다.
본 발명에서는 타겟 히터(225')가 연결되지 않은 나머지 행에서의 히터들에 대해서는 제2 스위치(2252b, 2252c, 2252d)를 연결하도록 함으로써, 나머지 행에 흐르는 전류는 접지로써 연결되도록 제어할 수 있다.
일 예시에 따르면 제1 스위치(2251)는 전력 공급용 스위치일 수 있다. 일 예시에 따르면 제2 스위치(2252)는 전력 차단용 스위치일 수 있다. 일 예시에 따르면 제3 스위치(2253)는 전력 복귀용 스위치일 수 있다.
본 발명에 따른 히터(225) 구조를 사용할 경우, 타겟 히터(225') 외에도 전류가 흐르지만 아래쪽 스위치로 흐르는 전류는 오직 타겟 히터(225')를 통과한 전류에 해당하므로 이를 이용하여 목표한 타겟 히터(225')의 발열량과 온도를 정확히 계산할 수 있다.
도 6은 본 발명의 일 실시예에 따라 타겟 히터(225')의 전류를 측정하는 것을 설명하기 위한 등가 회로를 나타낸다.
도 6은 도 5에 따른 매트릭스 히터의 회로 구조를 등가 회로로 표시한 것이다. 도 5와 같이 타겟 히터(225')를 하나로 설정했을 때, 가장 왼쪽에 표시된 타겟 히터(225')에 흐르는 전류는 목표한 타겟 히터(225')의 셀에만 공급되므로, 전압과 전류의 측정으로 타겟 히터(225')의 저항을 통해 온도를 계산할 수 있다. 각 히터에서의 저항이 R이라고 가정하면 다음과 같다.
이를 통해 기존에 적용할 수 없었던 피드백 루프의 구성을 가능하게 하여 정밀한 온도 제어가 가능한 효과가 있다.
등가회로를 통해 이하와 같은 수식으로 저항을 계산할 수 있다.
Figure pat00001
Figure pat00002
Figure pat00003
Figure pat00004
도 7은 본 발명의 일 실시예에 따른 온도 제어 방법을 나타내는 순서도이다.
본 발명에 따른 온도 제어 방법에 따르면, 타겟 히터(225')에 흐르는 전류를 측정하고, 이를 통해 저항값을 계산할 수 있다. 또한 이를 통해 타겟 히터(225') 영역에서의 온도를 계산 및 비교할 수 있고, 이를 통해 타겟 히터(225')에서의 출력전력을 조정할 수 있다.
즉 본 발명에 따른 온도 제어 방법에서는, 타겟 히터(225')에서의 타겟 전류 측정 및 저항값 계산을 할 수 있다. 이는 본 발명에 따른 스위치 구조에서의 스위치 조절을 통해 타겟 전류가 타겟 히터(225')로만 공급되도록 제어할 수 있으며, 이를 통해 온도 계산 및 타겟 히터(225')로의 출력 전력을 조정할 수 있음을 나타낸다.
제어부에서의 스위치 제어는, 타겟 히터(225')와 연결된 행의 제1 스위치(2251)를 연결하고, 타겟 히터(225')와 연결된 열의 제3 스위치(2253)를 연결하도록 제어할 수 있고, 타겟 히터(225')에 연결된 제2 스위치(2252)는 오프 시키며, 타겟 히터(225') 외의 나머지 행에 연결된 제2 스위치(2252)를 온 시키도록 제어할 수 있다. 이를 통해 선택한 타겟 히터(225')의 영역에 전류가 흐르도록 제어할 수 있다.
본 발명의 제1 실시예에 따른 온도 제어 방법에 따르면, 타겟으로 제어하고자 하는 히터의 영역을 타겟 히터(225')로 선택하고, 선택한 히터(225')의 영역에만 전류가 흐르도록 스위치를 제어한 후, 선택한 히터(225')의 영역에 흐르는 전류 값을 측정하여 이를 바탕으로 상기 선택한 히터(225') 영역의 온도를 확인한 후 선택한 히터(225') 영역의 온도를 제어할 수 있다.
본 발명의 제2 실시예에 다른 온도 제어 방법에 따르면, 온도를 제어하고자 하는 히터 영역을 타겟 히터(225')로 설정하고, 타겟 히터(225')의 목표 전력을 설정한 후, 선택한 히터(225')의 영역에만 전류가 흐르도록 스위치를 제어하고, 선택한 히터(225')의 영역에 흐르는 전류 값을 측정하여 이를 바탕으로 상기 선택한 히터(225') 영역의 온도를 확인한 후 목표 전력과 히터(225') 영역의 온도의 매칭 여부를 확인할 수 있다. 이 때, 히터(225') 영역의 온도 매칭이 되지 않는 경우, 피드백 제어를 통해 상기 히터(225') 영역의 온도를 제어하는 단계를 포함할 수 있다.
이상의 실시 예들은 본 발명의 이해를 돕기 위하여 제시된 것으로, 본 발명의 범위를 제한하지 않으며, 이로부터 다양한 변형 가능한 실시 예들도 본 발명의 범위에 속하는 것임을 이해하여야 한다. 본 발명에서 제공되는 도면은 본 발명의 최적의 실시예를 도시한 것에 불과하다. 본 발명의 기술적 보호범위는 특허청구범위의 기술적 사상에 의해 정해져야 할 것이며, 본 발명의 기술적 보호범위는 특허청구범위의 문언적 기재 그 자체로 한정되는 것이 아니라 실질적으로는 기술적 가치가 균등한 범주의 발명까지 미치는 것임을 이해하여야 한다.
200: 지지 유닛
225: 히터
2251: 제1 스위치
2252: 제2 스위치
2253: 제3 스위치
227: 연결 전극부
280: 커넥터 보드
290: 제어 보드

Claims (26)

  1. 지지 유닛 내에 매트릭스 형태로 배치되어, 기판을 가열하는 복수의 히터;
    상기 복수의 히터에 전력을 공급하는 전원 공급부; 및
    상기 복수의 히터에 인가되는 전류는 상기 매트릭스의 행과 열에 각각 연결된 스위치에 의해 제어되고,
    상기 매트릭스의 행에 연결되는 스위치는, 상기 매트릭스의 행에 인가되는 전류를 제어할 수 있는 제1 스위치; 및 상기 제1 스위치와 병렬로 연결되는 제2 스위치;를 포함하는 지지 유닛.
  2. 제1항에 있어서,
    상기 제2 스위치의 일단은, 상기 제1 스위치의 일단과 병렬로 연결되며,
    상기 제2 스위치의 타단은 접지와 연결되는 지지 유닛.
  3. 제2항에 있어서,
    상기 제1 스위치 및 상기 제2 스위치는 상기 매트릭스의 행마다 각각 연결되는 지지 유닛
  4. 제3항에 있어서,
    상기 매트릭스의 열에 각각 연결되는 제3 스위치를 더 포함하는 지지 유닛
  5. 제4항에 있어서,
    상기 지지 유닛은, 상기 제1 스위치, 상기 제2 스위치 및 상기 제3 스위치의 온오프를 제어하는 제어부;를 더 포함하는 지지 유닛
  6. 제5항에 있어서,
    상기 제어부는
    상기 매트릭스에 포함된 타겟 히터의 전류를 측정하기 위해, 상기 타겟 히터와 연결된 행의 제1 스위치를 연결하고, 상기 타겟 히터와 연결된 열의 제3 스위치를 연결하는 지지 유닛.
  7. 제6항에 있어서,
    상기 제어부는 상기 타겟 히터와 연결되지 않은 다른 행들의 제2 스위치를 연결하도록 제어하는 지지 유닛.
  8. 제5항에 있어서,
    상기 제어부는 상기 매트릭스에 포함된 복수의 행마다 연결된 제1 스위치와 제2 스위치 중 어느 하나가 연결되도록 제어하는 지지 유닛.
  9. 제8항에 있어서,
    상기 제어부는 상기 복수의 행의 개수에 대응하는 상기 제 1스위치 중 어느 하나만 연결되도록 제어하고, 나머지 행에서는 상기 제2 스위치가 연결되도록 제어하는 지지 유닛.
  10. 처리 공간을 가지는 공정 챔버;
    처리 공간 내에서 기판을 지지하는 지지 유닛;
    상기 처리 공간으로 처리 가스를 공급하는 가스 공급 유닛; 및
    상기 처리 가스로부터 플라즈마를 발생시키는 플라즈마 소스;를 포함하는 기판 처리 장치에 있어서,
    상기 지지 유닛은,
    지지 유닛 내에 매트릭스 형태로 배치되어, 기판을 가열하는 복수의 히터;
    상기 복수의 히터에 전력을 공급하는 전원 공급부; 및
    상기 복수의 히터에 인가되는 전류는 상기 매트릭스의 행과 열에 각각 연결된 스위치에 의해 제어되고,
    상기 매트릭스의 행에 연결되는 스위치는, 상기 매트릭스의 행에 인가되는 전류를 제어할 수 있는 제1 스위치; 및 상기 제1 스위치와 병렬로 연결되는 제2 스위치;를 포함하는 기판 처리 장치.
  11. 제10항에 있어서,
    상기 제2 스위치의 일단은, 상기 제1 스위치의 일단과 병렬로 연결되며,
    상기 제2 스위치의 타단은 접지와 연결되는 기판 처리 장치.
  12. 제11항에 있어서,
    상기 제1 스위치 및 상기 제2 스위치는 상기 매트릭스의 행마다 각각 연결되는 기판 처리 장치.
  13. 제12항에 있어서,
    상기 지지 유닛은,
    상기 매트릭스의 열에 각각 연결되는 제3 스위치를 더 포함하는 기판 처리 장치.
  14. 제13항에 있어서,
    상기 지지 유닛은, 상기 제1 스위치, 상기 제2 스위치 및 상기 제3 스위치의 온오프를 제어하는 제어부;를 더 포함하는 기판 처리 장치.
  15. 제14항에 있어서,
    상기 제어부는
    상기 매트릭스에 포함된 타겟 히터의 전류를 측정하기 위해, 상기 타겟 히터와 연결된 행의 제1 스위치를 연결하고, 상기 타겟 히터와 연결된 열의 제3 스위치를 연결하며, 상기 타겟 히터와 연결되지 않은 다른 행들의 제2 스위치를 연결하도록 제어하는 기판 처리 장치.
  16. 제5항에 따른 지지 유닛을 이용하여 기판의 온도 제어를 수행하는 방법에 있어서,
    타겟으로 제어하고자 하는 히터의 영역을 타겟 히터로 선택하는 단계;
    상기 선택한 히터의 영역에만 전류가 흐르도록 스위치를 제어하는 단계;
    상기 선택한 히터의 영역에 흐르는 전류 값을 측정하여 이를 바탕으로 상기 선택한 히터 영역의 온도를 확인하는 단계; 및
    상기 선택한 히터 영역의 온도를 제어하는 단계;를 포함하는 온도 제어 방법.
  17. 제16항에 있어서,
    상기 선택한 히터의 영역에만 전류가 흐르도록 스위치를 제어하는 단계; 는
    상기 타겟 히터와 연결된 행의 제1 스위치를 연결하고, 상기 타겟 히터와 연결된 열의 제3 스위치를 연결하도록 제어하는 온도 제어 방법.
  18. 제17항에 있어서,
    상기 선택한 히터의 영역에만 전류가 흐르도록 스위치를 제어하는 단계; 는
    상기 타겟 히터와 연결되지 않은 다른 행들의 제2 스위치를 연결하도록 제어하는 온도 제어 방법.
  19. 제18항에 있어서,
    상기 선택한 히터의 영역에 흐르는 전류 값을 측정하여 이를 바탕으로 상기 선택한 히터 영역의 온도를 확인하는 단계;는
    상기 측정한 전류 값을 바탕으로 저항 값을 계산하고, 이를 통해 온도를 측정하는 온도 제어 방법.
  20. 제19항에 있어서,
    상기 선택한 히터 영역의 온도를 제어하는 단계;는
    상기 히터 영역의 출력 전력을 조절하여 제어하는 온도 제어 방법.
  21. 제5항에 따른 지지 유닛을 이용하여 기판의 온도 제어를 수행하는 방법에 있어서,
    상기 온도를 제어하고자 하는 히터 영역을 타겟 히터로 설정하고, 상기 타겟 히터의 목표 전력을 설정하는 단계;
    상기 선택한 히터의 영역에만 전류가 흐르도록 스위치를 제어하는 단계;
    상기 선택한 히터의 영역에 흐르는 전류 값을 측정하여 이를 바탕으로 상기 선택한 히터 영역의 온도를 확인하는 단계; 및
    상기 목표 전력과 상기 히터 영역의 온도의 매칭 여부를 확인하는 단계;를 포함하는 온도 제어 방법.
  22. 제21항에 있어서,
    상기 히터 영역의 온도 매칭이 되지 않는 경우, 피드백 제어를 통해 상기 히터 영역의 온도를 제어하는 단계;를 포함하는 온도 제어 방법.
  23. 제22항에 있어서,
    상기 선택한 히터의 영역에만 전류가 흐르도록 스위치를 제어하는 단계; 는
    상기 타겟 히터와 연결된 행의 제1 스위치를 연결하고, 상기 타겟 히터와 연결된 열의 제3 스위치를 연결하도록 제어하는 온도 제어 방법.
  24. 제23항에 있어서,
    상기 선택한 히터의 영역에만 전류가 흐르도록 스위치를 제어하는 단계; 는
    상기 타겟 히터와 연결되지 않은 다른 행들의 제2 스위치를 연결하도록 제어하는 온도 제어 방법.
  25. 제24항에 있어서,
    상기 선택한 히터의 영역에 흐르는 전류 값을 측정하여 이를 바탕으로 상기 선택한 히터 영역의 온도를 확인하는 단계; 는
    상기 측정한 전류 값을 바탕으로 저항 값을 계산하고, 이를 통해 온도를 측정하는 온도 제어 방법.
  26. 제25항에 있어서,
    상기 목표 전력과 상기 히터 영역의 온도의 매칭 여부를 확인하는 단계;는
    상기 히터 영역의 출력 전력을 조절하여 제어하는 온도 제어 방법.
KR1020200158726A 2020-11-24 2020-11-24 지지 유닛 및 이를 포함하는 기판 처리 장치 및 온도 제어 방법 KR102578703B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020200158726A KR102578703B1 (ko) 2020-11-24 2020-11-24 지지 유닛 및 이를 포함하는 기판 처리 장치 및 온도 제어 방법
JP2021189732A JP7297853B2 (ja) 2020-11-24 2021-11-22 支持ユニット及びこれを含む基板処理装置及び温度制御方法
US17/534,329 US20220167462A1 (en) 2020-11-24 2021-11-23 Support unit, substrate treating apparatus including the same and temperature control method
CN202111396164.7A CN114554629A (zh) 2020-11-24 2021-11-23 支撑单元、包括支撑单元的基板处理设备以及温度控制方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200158726A KR102578703B1 (ko) 2020-11-24 2020-11-24 지지 유닛 및 이를 포함하는 기판 처리 장치 및 온도 제어 방법

Publications (2)

Publication Number Publication Date
KR20220072079A true KR20220072079A (ko) 2022-06-02
KR102578703B1 KR102578703B1 (ko) 2023-09-18

Family

ID=81657735

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200158726A KR102578703B1 (ko) 2020-11-24 2020-11-24 지지 유닛 및 이를 포함하는 기판 처리 장치 및 온도 제어 방법

Country Status (4)

Country Link
US (1) US20220167462A1 (ko)
JP (1) JP7297853B2 (ko)
KR (1) KR102578703B1 (ko)
CN (1) CN114554629A (ko)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060033241A (ko) * 2004-10-14 2006-04-19 엘지전자 주식회사 누설전류 측정장치 및 그 측정장치에 의한 측정방법
KR20140084010A (ko) * 2011-08-30 2014-07-04 와틀로 일렉트릭 매뉴팩츄어링 컴파니 열 어레이 시스템
KR20170123830A (ko) * 2016-04-29 2017-11-09 세메스 주식회사 기판 온도 제어 장치, 그를 포함하는 기판 처리 장치 및 그 제어 방법
KR20200083927A (ko) * 2018-12-29 2020-07-09 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 반도체 처리 장치에 사용되는 온도 제어 장치 및 이의 온도 제어 방법

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5940271B2 (ja) * 1978-02-23 1984-09-28 株式会社東芝 半導体素子の試験装置
JPH04352445A (ja) * 1991-05-30 1992-12-07 Hitachi Electron Eng Co Ltd Icテスタ用テストヘッド
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
KR20180016676A (ko) 2016-08-04 2018-02-19 삼성전자주식회사 정전 척 시스템 및 그것의 제어 방법
KR102592699B1 (ko) * 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060033241A (ko) * 2004-10-14 2006-04-19 엘지전자 주식회사 누설전류 측정장치 및 그 측정장치에 의한 측정방법
KR20140084010A (ko) * 2011-08-30 2014-07-04 와틀로 일렉트릭 매뉴팩츄어링 컴파니 열 어레이 시스템
KR20170123830A (ko) * 2016-04-29 2017-11-09 세메스 주식회사 기판 온도 제어 장치, 그를 포함하는 기판 처리 장치 및 그 제어 방법
KR20200083927A (ko) * 2018-12-29 2020-07-09 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 반도체 처리 장치에 사용되는 온도 제어 장치 및 이의 온도 제어 방법

Also Published As

Publication number Publication date
KR102578703B1 (ko) 2023-09-18
CN114554629A (zh) 2022-05-27
US20220167462A1 (en) 2022-05-26
JP2022083437A (ja) 2022-06-03
JP7297853B2 (ja) 2023-06-26

Similar Documents

Publication Publication Date Title
CN107919263B (zh) 基板支撑单元、包括其的基板处理装置及其控制方法
KR101980203B1 (ko) 지지 유닛 및 그를 포함하는 기판 처리 장치
KR101927697B1 (ko) 기판 처리 장치
KR101974419B1 (ko) 윈도우 유닛 및 그를 포함하는 기판 처리 장치, 기판 처리 방법, 그리고 윈도우 유닛의 제조 방법
KR101817210B1 (ko) 플라즈마 발생 장치, 그를 포함하는 기판 처리 장치, 및 그 제어 방법
KR20200072933A (ko) 기판처리장치
KR20180078896A (ko) 기판 온도 제어 장치 및 이를 포함하는 기판 처리 장치
KR101776022B1 (ko) 전력 공급 장치, 그를 이용하는 기판 처리 장치, 및 그 제어 방법
KR102578703B1 (ko) 지지 유닛 및 이를 포함하는 기판 처리 장치 및 온도 제어 방법
KR102344525B1 (ko) 기판 처리 장치 및 방법
US11587770B2 (en) Apparatus and method for treating substrate
KR102344528B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102225954B1 (ko) 플라즈마 생성 장치, 그를 포함하는 기판 처리 장치 및 그 제어 방법
KR102290910B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101939661B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20160145865A (ko) 정전 척 및 기판 처리 장치
KR101754563B1 (ko) 이온 빔 생성 장치, 그를 이용한 기판 처리 장치, 및 이온 빔 제어 방법
KR20220122154A (ko) 지지 유닛 및 이를 포함하는 기판 처리 장치
KR102344524B1 (ko) 플라즈마 발생 장치, 그를 포함하는 기판 처리 장치, 및 그 제어 방법
KR102654892B1 (ko) 지지 유닛, 가열 유닛 및 이를 포함하는 기판 처리 장치
KR101842122B1 (ko) 전기장 발생 장치, 및 그를 포함하는 기판 처리 장치
KR20210137268A (ko) 기판 처리 장치 및 기판 처리 방법
KR102290909B1 (ko) 기판 처리 장치 및 챔버 클리닝 방법
KR101754565B1 (ko) 전력 공급 장치 및 방법, 그리고 그를 이용하는 기판 처리 장치
KR102262109B1 (ko) 플라즈마 발생 장치, 그를 포함하는 기판 처리 장치, 및 기판 처리 균일도 조절 방법

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant