KR20220053029A - How to determine if a pattern is defective based on the image after development - Google Patents

How to determine if a pattern is defective based on the image after development Download PDF

Info

Publication number
KR20220053029A
KR20220053029A KR1020227011188A KR20227011188A KR20220053029A KR 20220053029 A KR20220053029 A KR 20220053029A KR 1020227011188 A KR1020227011188 A KR 1020227011188A KR 20227011188 A KR20227011188 A KR 20227011188A KR 20220053029 A KR20220053029 A KR 20220053029A
Authority
KR
South Korea
Prior art keywords
adi
feature
image
features
model
Prior art date
Application number
KR1020227011188A
Other languages
Korean (ko)
Inventor
말린 쿠이만
맥심 피사렌코
아브라함 슬라츠터
마크 존 매슬로우
베르나르도 안드레스 오야르준 리베라
빔 티보 텔
루벤 코르넬리스 마스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP19195527.7A external-priority patent/EP3789826A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220053029A publication Critical patent/KR20220053029A/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Image Processing (AREA)

Abstract

본 명세서에서, 이미징된 기판과 연계된 피처가 이미징된 기판의 에칭 후에 결함이 있을지 여부를 예측하도록 구성되는 모델을 트레이닝하는 방법이 설명된다. 상기 방법은 메트롤로지 툴을 통해, (ⅰ) 주어진 위치에서의 이미징된 기판의 현상 후 이미지 -현상 후 이미지는 복수의 피처들을 포함함- , 및 (ⅱ) 주어진 위치에서의 이미징된 기판의 에칭 후 이미지를 얻는 단계; 및 현상 후 이미지 및 에칭 후 이미지를 사용하여, 현상 후 이미지에서 복수의 피처들 중 주어진 피처의 결함 있음을 결정하도록 구성되는 모델을 트레이닝하는 단계를 포함한다. 일 실시예에서, 결함 있음의 결정은 현상 후 이미지에서의 주어진 피처를 에칭 후 이미지에서의 대응하는 에칭 피처와 비교하는 것에 기초한다.Described herein is a method for training a model that is configured to predict whether a feature associated with an imaged substrate will be defective after etching of the imaged substrate. The method comprises, via a metrology tool, (i) a post-developed image of the imaged substrate at a given location, the post-developed image comprising a plurality of features, and (ii) etching of the imaged substrate at a given location. After obtaining an image; and using the post-development image and the post-etch image to train a model configured to determine that a given one of the plurality of features in the post-development image is defective. In one embodiment, the determination of a defect is based on comparing a given feature in the post-developed image to a corresponding etched feature in the post-etch image.

Figure P1020227011188
Figure P1020227011188

Description

현상 후 이미지에 기초하여 패턴의 결함이 있음을 결정하는 방법How to determine if a pattern is defective based on the image after development

본 출원은 2019년 9월 5일에 출원된 EP 출원 19195527.7 및 2019년 9월 10일에 출원된 EP 출원 19196323.0 및 2019년 12월 19일에 출원된 EP 출원 19218296.2 및 2020년 4월 10일에 출원된 EP 출원 20169181.3 및 2020년 5월 25일에 출원된 EP 출원 20176236.6 및 2020년 8월 6일에 출원된 EP 출원 20189952.3 및 2020년 8월 21일에 출원된 EP 출원 20192283.8의 우선권을 주장하며, 이들은 본 명세서에서 그 전문이 인용참조된다.This application is filed on EP application 19195527.7 filed on September 5, 2019 and EP application 19196323.0 filed on September 10, 2019 and EP application 19218296.2 filed on December 19, 2019 and filed on April 10, 2020 EP application 20169181.3 filed on 25 May 2020 and EP application 20176236.6 filed on May 25, 2020 and EP application 20189952.3 filed on August 6, 2020 and EP application 20192283.8 filed on August 21, 2020 It is incorporated herein by reference in its entirety.

본 개시내용은 디바이스 제조 공정을 더 개선하기 위해 결함 있는 패턴의 결정을 개선하는 기술들에 관한 것이다. 기술들은 리소그래피 장치와 관련하여 사용될 수 있다.The present disclosure relates to techniques for improving the determination of defective patterns to further improve the device manufacturing process. The techniques may be used in connection with a lithographic apparatus.

반도체 디바이스들을 제조하는 것은 통상적으로 반도체 디바이스의 다양한 피처(feature)들 및 다수 층들을 형성하기 위해 다수의 제작 공정들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 이용하여 제조되고 처리된다. 다수 디바이스들은 기판 상의 상이한 위치들에 제작된 후, 개별적인 디바이스들로 분리될 수 있다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 패터닝 디바이스로부터 기판으로 패턴을 전사하기 위한 패터닝 단계를 포함할 수 있다. 또한, 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치를 이용한 기판 상의 패턴의 에칭, 전사된 회로 패턴의 측정/검사 등과 같은 1 이상의 관련 패턴 처리 단계가 존재할 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 절차들을 거칠 수 있다. 이러한 일련의 절차들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다.Fabricating semiconductor devices typically involves processing a substrate (eg, a semiconductor wafer) using multiple fabrication processes to form multiple layers and various features of the semiconductor device. These layers and features are typically fabricated and processed using, for example, deposition, lithography, etching, chemical-mechanical polishing, and ion implantation. Multiple devices may be fabricated at different locations on a substrate and then separated into individual devices. This device manufacturing process can be considered as a patterning process. The patterning process may include a patterning step to transfer the pattern from the patterning device to the substrate. Also, there may be one or more associated pattern processing steps, such as developing the resist by a developing apparatus, baking the substrate using a bake tool, etching the pattern on the substrate using the etching apparatus, measuring/inspecting the transferred circuit pattern, and the like. After exposure, the substrate may be subjected to other procedures such as post-exposure bake (PEB), development, hard bake, and measurement/inspection of the transferred circuit pattern. This series of procedures is used as a basis for constructing an individual layer of a device, such as an IC. Thereafter, the substrate may be subjected to various processes such as etching, ion-implantation (doping), metallization, oxidation, chemical-mechanical polishing, etc., all intended to finish individual layers of the device. If multiple layers are required in the device, the entire process or its variants are repeated for each layer. Eventually, a device will be present in each target portion on the substrate.

일 실시예에서, 이미징된 기판과 연계된 피처가 이미징된 기판의 에칭 후에 결함이 있을지 여부를 예측하도록 구성되는 모델을 트레이닝(train)하는 방법이 제공된다. 상기 방법은 메트롤로지 툴을 통해 (ⅰ) 주어진 위치에서의 이미징된 기판의 현상 후 이미지 -현상 후 이미지는 복수의 피처들을 포함함- , 및 (ⅱ) 주어진 위치에서의 이미징된 기판의 에칭 후 이미지 -에칭 후 이미지는 복수의 피처들에 대응하는 에칭된 피처들을 포함함- 를 얻는 단계; 및 현상 후 이미지 및 에칭 후 이미지를 사용하여, 현상 후 이미지에서 복수의 피처들 중 주어진 피처의 결함 있음을 결정하도록 구성되는 모델을 트레이닝하는 단계를 포함한다. 일 실시예에서, 결함 있음의 결정은 현상 후 이미지에서의 주어진 피처를 에칭 후 이미지에서의 대응하는 에칭 피처와 비교하는 것에 기초한다.In one embodiment, a method is provided for training a model configured to predict whether a feature associated with an imaged substrate will be defective after etching of the imaged substrate. The method comprises, via a metrology tool, (i) a post-developed image of the imaged substrate at a given location, wherein the post-developed image includes a plurality of features, and (ii) after etching of the imaged substrate at the given location. obtaining an image, wherein the image after etching includes etched features corresponding to the plurality of features; and using the post-development image and the post-etch image to train a model configured to determine that a given one of the plurality of features in the post-development image is defective. In one embodiment, the determination of a defect is based on comparing a given feature in the post-developed image to a corresponding etched feature in the post-etch image.

또한, 이미징된 기판에 대한 에칭 조건들을 결정하는 방법이 제공된다. 상기 방법은 이미징된 기판의 현상 후 이미지, 및 이미징된 기판을 에칭하는 데 사용될 초기 에칭 조건들을 얻는 단계; 현상 후 이미지 및 초기 에칭 조건들을 사용하여 트레이닝된 모델을 통해, 이미징된 기판과 연계된 피처의 실패율(failure rate)을 결정하는 단계 -실패율은 이미징된 기판의 에칭 후에 피처가 결함 있음을 나타냄- ; 및 실패율에 기초하여, 에칭 후에 피처가 결함 있을 가능성이 감소되도록 초기 에칭 조건들을 수정하는 단계를 포함한다.Also provided is a method of determining etching conditions for an imaged substrate. The method includes obtaining a post-developed image of the imaged substrate, and initial etching conditions to be used to etch the imaged substrate; determining, via a model trained using the post-development image and initial etch conditions, a failure rate of a feature associated with the imaged substrate, the failure rate indicating that the feature is defective after etching of the imaged substrate; and modifying the initial etch conditions based on the failure rate to reduce the likelihood that the feature will be defective after etching.

또한, 에칭 공정과 연계된 에칭 특성을 결정하는 방법이 제공된다. 상기 방법은 메트롤로지 툴을 통해 (ⅰ) 기판의 주어진 위치에서의 이미징된 패턴의 현상 후 이미지(ADI) -이미징된 패턴은 관심 피처 및 관심 피처에 인접한 이웃 피처들을 포함함- , 및 (ⅱ) 기판의 주어진 위치에서의 이미징된 패턴의 에칭 후 이미지(AEI) -AEI는 ADI의 관심 피처에 대응하는 에칭된 피처를 포함함- 를 얻는 단계; 및 ADI 및 AEI를 사용하여, ADI에서의 관심 피처와 연계된 이웃 피처들과 에칭된 피처 간의 상관관계를 결정하는 단계를 포함하며, 상관관계는 에칭 공정과 연계된 에칭 특성을 특징짓는다.Also provided is a method for determining an etch characteristic associated with an etch process. The method comprises a metrology tool that uses (i) a post-development image (ADI) of the imaged pattern at a given location on the substrate, wherein the imaged pattern includes a feature of interest and neighboring features adjacent to the feature of interest, and (ii) ) obtaining a post-etched image (AEI) of the imaged pattern at a given location on the substrate, the AEI comprising the etched feature corresponding to the feature of interest in the ADI; and determining, using the ADI and the AEI, a correlation between the etched feature and neighboring features associated with the feature of interest in the ADI, wherein the correlation characterizes an etch characteristic associated with the etch process.

또한, 에칭 공정과 연계된 에칭 조건을 결정하는 방법이 제공된다. 상기 방법은 에칭 후 이미지(AEI)의 에칭된 관심 피처와 현상 후 이미지(ADI)의 에칭된 관심 피처와 연계된 이웃 피처 간의 상관관계를 얻는 단계; 및 상관관계에 기초하여, 상관관계가 타겟 범위 내에 유지되도록 에칭 공정과 연계된 에칭 조건을 결정하는 단계를 포함한다.Also provided is a method for determining etching conditions associated with an etching process. The method comprises: obtaining a correlation between an etched feature of interest in a post-etch image (AEI) and a neighboring feature associated with the etched feature-of-interest in a post-development image (ADI); and determining, based on the correlation, etching conditions associated with the etching process such that the correlation remains within the target range.

또한, 일 실시예에서, 트레이닝된 모델에 의해 생성된 예측을 해석하도록 구성되는 해석 모델을 개발하는 방법이 제공된다. 상기 방법은 트레이닝된 모델의 실행을 통해 데이터 세트를 얻는 단계 -데이터 세트는 현상 후 이미지(ADI)의 복수의 피처들과 연계된 복수의 예측들을 포함하고, ADI는 관심 피처를 포함하며, 복수의 예측들의 각 예측은 트레이닝된 모델에 의해 수행됨- ; 복수의 피처들의 각 위치와 관심 피처 사이의 거리들을 결정하는 단계; 거리들에 기초하여, 복수의 예측들의 각 예측에 가중치들을 할당하는 단계; 및 가중된 예측들에 기초하여, 해석 모델의 출력과 가중된 예측들 간의 차이가 감소되도록 해석 모델의 모델 파라미터 값들을 결정하는 단계를 포함한다. 일 실시예에서, 모델 파라미터 값들은 관심 피처와 관련된 예측에 대한 ADI의 각 픽셀의 기여들을 나타낸다.Further, in one embodiment, a method of developing an interpretation model configured to interpret predictions generated by the trained model is provided. The method includes obtaining a data set through execution of a trained model, the data set comprising a plurality of predictions associated with a plurality of features of a post-development image (ADI), the ADI comprising a feature of interest, and a plurality of Each prediction of the predictions is performed by the trained model; determining distances between each location of the plurality of features and the feature of interest; assigning weights to each prediction of the plurality of predictions based on the distances; and determining, based on the weighted predictions, model parameter values of the interpretation model such that a difference between the weighted predictions and an output of the interpretation model is reduced. In one embodiment, the model parameter values represent the contributions of each pixel of the ADI to the prediction related to the feature of interest.

또한, 일 실시예에서, 트레이닝된 모델에 의해 생성된 예측에 대한 현상 후 이미지의 픽셀들의 기여들을 식별하는 방법이 제공된다. 상기 방법은 (ⅰ) 메트롤로지 툴을 사용한 관심 피처를 포함하는 현상 후 이미지(ADI), 및 (ⅱ) 관심 피처와 관련된 예측을 해석하도록 구성되는 해석 모델을 얻는 단계 -예측은 트레이닝된 모델을 통해 생성됨- ; 및 해석 모델을 ADI 이미지에 적용하여 해석 맵을 생성하는 단계 -해석 맵은 관심 피처의 예측에 대한 ADI 이미지의 각 픽셀의 기여들을 정량화하는 픽셀 값들을 포함함- 를 포함한다.Also, in one embodiment, a method of identifying the contributions of pixels of an image after development to a prediction generated by a trained model is provided. The method comprises the steps of: (i) a post-development image (ADI) comprising a feature of interest using a metrology tool, and (ii) obtaining an interpretive model configured to interpret a prediction associated with the feature of interest - the prediction is obtained using the trained model. created through- ; and applying the interpretive model to the ADI image to generate an interpretive map, wherein the interpretive map includes pixel values that quantify contributions of each pixel of the ADI image to the prediction of the feature of interest.

또한, 일 실시예에서, 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품이 제공되며, 명령어들은 컴퓨터 시스템에 의해 실행될 때 앞서 언급된 방법들을 구현한다.Also, in one embodiment, there is provided a computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, wherein the instructions, when executed by a computer system, implement the aforementioned methods.

또한, 일 실시예에서, 현상 후 이미지에서 피처들의 실패율들을 결정하기 위한 모델을 개발하는 방법이 제공된다. 상기 방법은 기판의 현상 후 이미지(ADI)를 얻는 단계 -ADI는 복수의 피처들을 포함함- ; ADI의 피처들의 서브세트와 관련된 물리적 특성 값들에 기초하여 모델의 제 1 부분을 생성하는 단계; 및 모델의 제 1 부분 및 ADI의 복수의 피처들의 모든 피처들과 관련된 물리적 특성 값들에 기초하여 모델의 제 2 부분을 생성하는 단계를 포함하고, ADI의 피처들의 서브세트는 ADI의 다른 피처들과 구별된다.Also, in one embodiment, a method for developing a model for determining failure rates of features in a post-developed image is provided. The method comprises the steps of obtaining a post-developed image (ADI) of a substrate, the ADI comprising a plurality of features; generating a first portion of the model based on physical property values associated with the subset of features of the ADI; and generating a second portion of the model based on physical property values associated with the first portion of the model and all features of the plurality of features of the ADI, wherein the subset of the features of the ADI is associated with other features of the ADI. distinguished

또한, 일 실시예에서, 에칭 후에 실패할 피처들의 분율(fraction)을 결정하는 시스템이 제공된다. 시스템은 주어진 위치에서 기판의 현상 후 이미지(ADI)를 캡처하는 메트롤로지 툴 -현상 후 이미지는 복수의 피처들을 포함함- ; 및 에칭 후에 실패할 ADI의 복수의 피처들의 실패율들을 결정하기 위한 모델을 실행하도록 구성되는 프로세서를 포함한다. 모델은 (ⅰ) 실패-아닌 홀(non-failing hole)들에 대한 물리적 특성 값들의 분포를 추산하도록 구성되는 제 1 확률 분포 함수, 및 (ⅱ) ADI의 복수의 피처들 모두의 물리적 특성 값들에 기초하여 실패율들을 결정하도록 구성되는 제 2 확률 분포 함수의 조합이다.Also, in one embodiment, a system for determining a fraction of features that will fail after etching is provided. The system includes a metrology tool that captures a post-developed image (ADI) of the substrate at a given location, the post-developed image comprising a plurality of features; and a processor configured to run the model to determine failure rates of a plurality of features of the ADI that will fail after etching. The model is based on (i) a first probability distribution function configured to estimate a distribution of physical property values for non-failing holes, and (ii) physical property values of all of the plurality of features of the ADI. a combination of a second probability distribution function configured to determine failure rates based on the second probability distribution function.

또한, 일 실시예에서, 1 이상의 프로세서에 의해 실행될 때, 기판의 현상 후 이미지(ADI)를 얻는 것 -ADI는 복수의 피처들을 포함함- ; ADI의 피처들의 서브세트와 관련된 물리적 특성 값들에 기초하여 모델의 제 1 부분을 생성하는 것; 및 모델의 제 1 부분 및 ADI의 복수의 피처들의 모든 피처들과 관련된 물리적 특성 값들에 기초하여 모델의 제 2 부분을 생성하는 것을 포함한 작업들을 야기하는 명령어들을 포함하는 비-일시적 컴퓨터 판독가능한 매체가 제공되며, ADI의 피처들의 서브세트는 ADI의 다른 피처들과 구별된다.Further, in one embodiment, when executed by one or more processors, obtaining a post-developed image (ADI) of a substrate, the ADI comprising a plurality of features; generating a first portion of the model based on physical property values associated with the subset of features of the ADI; and instructions for causing tasks including generating a second portion of the model based on the first portion of the model and physical property values associated with all features of the plurality of features of the ADI. provided, a subset of the features of ADI distinguishes them from other features of ADI.

또한, 일 실시예에서, 현상 후 이미지(ADI) 피처에 기초하여 에칭 후 이미지(AEI) 피처를 결정하도록 구성되는 모델을 트레이닝하는 방법이 제공되며, 상기 방법은 (ⅰ) 기판 상에 이미징된 ADI 피처의 측정, 및 (ⅱ) 측정된 ADI 피처에 대응하는, 에칭 공정을 거친 기판 상의 에칭 후 이미지(AEI) 피처의 측정을 얻는 단계; 측정된 ADI 피처를 특징짓는 변수들의 제 1 세트 및 측정된 AEI 피처를 특징짓는 변수들의 제 2 세트를 할당하는 단계; 측정된 ADI 피처의 변수들의 제 1 세트의 조합과 측정된 AEI 피처의 변수들의 제 2 세트의 조합 사이의 상관관계를 결정하는 단계; 및 상관관계에 기초하여, 지정된 상관관계 임계치 내의 상관관계 값들을 갖는 변수들의 제 1 세트의 1 이상의 서브-조합을 포함함으로써 모델을 트레이닝하는 단계 -모델은 입력 ADI 피처에 대한 AEI 피처를 결정하는 데 사용됨- 를 포함한다.Further, in one embodiment, a method is provided for training a model configured to determine post-etch image (AEI) features based on post-development image (ADI) features, the method comprising: (i) ADI imaged on a substrate measurements of the features, and (ii) obtaining measurements of post-etched image (AEI) features on the etched substrate that correspond to the measured ADI features; allocating a first set of variables characterizing the measured ADI feature and a second set of variables characterizing the measured AEI feature; determining a correlation between the combination of the first set of variables of the measured ADI feature and the combination of the second set of variables of the measured AEI feature; and based on the correlation, training the model by including one or more sub-combinations of the first set of variables having correlation values within a specified correlation threshold, wherein the model is used to determine an AEI feature for the input ADI feature. used- includes.

또한, 일 실시예에서, 기판을 이미징한 후의 ADI 피처 및 기판을 에칭한 후의 AEI 피처를 측정하도록 구성되는 빔 발생기; 및 프로세서를 포함하는 메트롤로지 툴이 제공된다. 프로세서는: 측정된 ADI 피처와 에칭 공정을 거친 기판 상에 프린트된 측정된 ADI 피처에 대응하는 측정된 AEI 피처 사이의 상관관계를 얻고 -상관관계는 측정된 ADI 피처가 어떻게 AEI 피처로 변환되는지를 특징짓는 변수들의 조합에 기초함- ; 상관관계에 기초하여, 상관관계가 개선되게 하도록 메트롤로지 툴의 설정들을 조정하도록 구성되며, 설정들은 각각의 설정에 대한 상관관계의 미분(derivative)에 기초하여 결정되고, 미분은 메트롤로지의 설정 당 상관관계의 개선을 나타낸다.Further, in one embodiment, there is provided a beam generator configured to measure ADI features after imaging the substrate and AEI features after etching the substrate; and a metrology tool comprising a processor. The processor: obtains a correlation between the measured ADI features and the measured AEI features corresponding to the measured ADI features printed on the etched substrate - the correlation shows how the measured ADI features are converted to AEI features based on a combination of characterizing variables- ; and adjust settings of the metrology tool such that, based on the correlation, the correlation is improved, the settings are determined based on a derivative of the correlation for each setting, the derivative being the setting of the metrology It shows an improvement in the sugar correlation.

또한, 일 실시예에서, 현상 후 이미지(ADI)에 기초하여 에칭 후 이미지(AEI)를 결정하도록 구성되는 모델을 트레이닝하는 방법이 제공되며, 상기 방법은 (ⅰ) 이미징된 기판의 ADI, 및 (ⅱ) 이미징된 기판을 에칭한 후의 에칭 후 이미지(AEI)를 얻는 단계; ADI의 변수들의 제 1 세트의 조합과 AEI의 변수들의 제 2 세트의 조합 사이의 상관관계를 결정하는 단계 -변수들의 제 1 세트 및 제 2 세트는 각각 ADI 및 AEI의 그레이 스케일 값들임- ; 및 상관관계에 기초하여, 지정된 상관관계 임계치 내의 상관관계 값들을 갖는 변수들의 제 1 세트의 1 이상의 서브-조합을 포함함으로써 모델을 트레이닝하는 단계 -모델은 입력 ADI 피처에 대한 AEI 피처를 결정하는 데 사용됨- 를 포함한다.Further, in one embodiment, a method is provided for training a model configured to determine an post-etch image (AEI) based on the post-development image (ADI), the method comprising (i) the ADI of the imaged substrate, and ( ii) obtaining a post-etch image (AEI) after etching the imaged substrate; determining a correlation between the combination of the first set of variables of the ADI and the second set of variables of the AEI, the first and second sets of variables being gray scale values of the ADI and the AEI, respectively; and based on the correlation, training the model by including one or more sub-combinations of the first set of variables having correlation values within a specified correlation threshold, wherein the model is used to determine an AEI feature for the input ADI feature. used- includes.

또한, 일 실시예에서, 현상 후 이미지(ADI)에 기초하여 에칭 후 이미지(AEI)를 결정하는 방법이 제공된다. 상기 방법은 기판의 ADI를 얻는 단계; 및 트레이닝된 모델을 통해, ADI를 트레이닝된 모델에 입력하고 ADI를 출력함으로써 AEI를 결정하는 단계 -트레이닝된 모델은 측정된 ADI의 변수들의 제 1 세트의 조합과 측정된 AEI의 변수들의 제 2 세트의 조합 사이의 상관관계에 기초하여 트레이닝되고, 상관관계는 지정된 상관관계 임계치 내에 있음- 를 포함한다.Also, in one embodiment, a method for determining an after-etch image (AEI) based on the post-development image (ADI) is provided. The method comprises the steps of obtaining an ADI of a substrate; and, via the trained model, determining the AEI by inputting the ADI into the trained model and outputting the ADI, wherein the trained model is a combination of a first set of variables of the measured ADI and a second set of variables of the measured AEI. is trained based on the correlations between combinations of

또한, 일 실시예에서, 1 이상의 프로세서에 의해 실행될 때, 작업들이 현상 후 이미지(ADI) 피처에 기초하여 에칭 후 이미지(AEI) 피처를 결정하게 하는 명령어들을 포함하는 비-일시적 컴퓨터 판독가능한 매체가 제공된다. 작업들은: 기판의 ADI를 얻는 것; 및 트레이닝된 모델을 통해, ADI를 트레이닝된 모델에 입력하고 ADI를 출력함으로써 AEI를 결정하는 것을 포함하며, 트레이닝된 모델은 측정된 ADI의 변수들의 제 1 세트의 조합과 측정된 AEI의 변수들의 제 2 세트의 조합 사이의 상관관계에 기초하여 트레이닝되고, 상관관계는 지정된 상관관계 임계치 내에 있다.Further, in one embodiment, a non-transitory computer-readable medium comprising instructions that, when executed by one or more processors, cause operations to determine an post-etch image (AEI) feature based on the post-develop image (ADI) feature provided The tasks are: obtaining the ADI of the substrate; and, via the trained model, determining the AEI by inputting the ADI into the trained model and outputting the ADI, wherein the trained model is a combination of the first set of variables of the measured ADI and the first set of variables of the measured AEI. Trained based on correlations between two sets of combinations, the correlations being within a specified correlation threshold.

또한, 일 실시예에서, 1 이상의 프로세서에 의해 실행될 때, 작업들이 현상 후 이미지(ADI) 피처에 기초하여 에칭 후 이미지(AEI) 피처를 결정하도록 구성되는 모델을 트레이닝하게 하는 명령어들을 포함하는 비-일시적 컴퓨터 판독가능한 매체가 제공되고, 작업들은: (ⅰ) 기판 상에 이미징된 ADI 피처의 측정, 및 (ⅱ) 측정된 ADI 피처에 대응하는, 에칭 공정을 거친 기판 상의 에칭 후 이미지(AEI) 피처의 측정을 얻는 것; 측정된 ADI 피처를 특징짓는 변수들의 제 1 세트 및 측정된 AEI 피처를 특징짓는 변수들의 제 2 세트를 할당하는 것; 측정된 ADI 피처의 변수들의 제 1 세트의 조합과 측정된 AEI 피처의 변수들의 제 2 세트의 조합 사이의 상관관계를 결정하는 것; 및 상관관계에 기초하여, 지정된 상관관계 임계치 내의 상관관계 값들을 갖는 변수들의 제 1 세트의 1 이상의 서브-조합을 포함함으로써 모델을 트레이닝하는 것 -모델은 입력 ADI 피처에 대한 AEI 피처를 결정하는 데 사용됨- 을 포함한다.Further, in one embodiment, when executed by the one or more processors, the tasks include instructions that cause training a model that is configured to determine a post-etch image (AEI) feature based on the post-develop image (ADI) feature. A transitory computer readable medium is provided, the operations of which include: (i) measurements of imaged ADI features on the substrate, and (ii) post-etch image (AEI) features on a substrate that have undergone an etch process, corresponding to the measured ADI features. to obtain a measure of; assigning a first set of variables characterizing the measured ADI feature and a second set of variables characterizing the measured AEI feature; determining a correlation between the combination of the first set of variables of the measured ADI feature and the combination of the second set of variables of the measured AEI feature; and, based on the correlation, training the model by including one or more sub-combinations of the first set of variables having correlation values within a specified correlation threshold, wherein the model is configured to determine an AEI feature for the input ADI feature. used- includes.

또한, 일 실시예에서, 1 이상의 프로세서에 의해 실행될 때, 작업들이 현상 후 이미지(ADI)에 기초하여 에칭 후 이미지(AEI)를 결정하도록 구성되는 모델을 트레이닝하게 하는 명령어들을 포함하는 비-일시적 컴퓨터 판독가능한 매체가 제공되고, 작업들은: (ⅰ) 이미징된 기판의 ADI, 및 (ⅱ) 이미징된 기판을 에칭한 후의 에칭 후 이미지(AEI)를 얻는 것; ADI의 변수들의 제 1 세트의 조합과 AEI의 변수들의 제 2 세트의 조합 사이의 상관관계를 결정하는 것 -변수들의 제 1 세트 및 제 2 세트는 각각 ADI 및 AEI의 그레이 스케일 값들임- ; 및 상관관계에 기초하여, 지정된 상관관계 임계치 내의 상관관계 값들을 갖는 변수들의 제 1 세트의 1 이상의 서브-조합을 포함함으로써 모델을 트레이닝하는 것 -모델은 입력 ADI에 대한 AEI를 결정하는 데 사용됨- 을 포함한다.Further, in one embodiment, a non-transitory computer comprising instructions that, when executed by one or more processors, cause tasks to train a model configured to determine an post-etch image (AEI) based on the post-development image (ADI). A readable medium is provided, and the operations include: obtaining (i) an ADI of the imaged substrate, and (ii) a post-etch image (AEI) after etching the imaged substrate; determining a correlation between the combination of the first set of variables of the ADI and the second set of variables of the AEI, the first and second set of variables being gray scale values of the ADI and the AEI, respectively; and, based on the correlation, training the model by including one or more sub-combinations of the first set of variables having correlation values within a specified correlation threshold, wherein the model is used to determine an AEI for the input ADI. includes

이제, 첨부된 도면들을 참조하여, 단지 예시의 방식으로만 실시예들을 설명할 것이다:
도 1은 일 실시예에 따른, 리소그래피 시스템의 다양한 서브시스템들의 블록 다이어그램;
도 2는 일 실시예에 따른, 패터닝 공정의 적어도 일부를 모델링 또는 시뮬레이션하는 예시적인 흐름도;
도 3은 일 실시예에 따른, 기판의 SEM 후 손상을 나타내는 도면;
도 4a 및 도 4b는 일 실시예에 따른, 이미징된 기판과 연계된 피처가 이미징된 기판의 에칭 후에 결함이 있을지 여부를 예측하도록 구성되는 모델을 트레이닝하는 방법의 흐름도;
도 5는 ADI와 AEI 간의 비교에 기초하는 기판의 결함 있는 피처의 결정의 일 예시를 나타내는 도면;
도 6은 일 실시예에 따른, 도 4a의 방법에 따라 트레이닝하는 데 사용되는 다수 층들을 갖는 기계 학습 모델의 일 예시를 나타내는 도면;
도 7a 및 도 7b는 일 실시예에 따른, 결함 있는 접촉홀 및 결함 없는 접촉홀을 나타내는 ADI 및 AEI의 예시들;
도 7c는 일 실시예에 따른, 피처의 결함 있음과 연계된 예시적인 임계 치수(CD) 분포;
도 8은 이미징된 패턴과 연계된 실패율에 기초하여 이미징된 기판에 대한 에칭 조건들을 결정하는 방법의 흐름도;
도 9는 일 실시예에 따른, 에칭 공정과 연계된 에칭 특성을 결정하는 방법의 흐름도;
도 10은 일 실시예에 따른, 관심 피처 및 이웃 피처들을 포함하는 예시적인 ADI, 및 에칭된 관심 피처를 포함하는 AEI 이미지를 나타내는 도면;
도 11a는 일 실시예에 따른, 관심 피처의 물리적 특성(예를 들어, CD)에 기초한 ADI 및 AEI의 예시적인 상관관계를 나타내는 도면;
도 11b는 일 실시예에 따른, 주어진 도즈-포커스 매트릭스에 대한 ADI의 관심 피처 주위의 이웃 피처들과 AEI의 에칭된 관심 피처 간의 (예를 들어, CD에 기초한) 예시적인 관계를 나타내는 도면;
도 12는 일 실시예에 따른, (예를 들어, 도 9의 방법을 사용하여 결정된) 상관관계에 기초하여 에칭 조건들을 결정하는 방법의 흐름도;
도 13은 일 실시예에 따른, 예시적인 해석 모델을 트레이닝하는 데 사용되는 (도 4a의 트레이닝된 모델을 사용하여 얻어진) 의사결정 데이터 세트(decision data set)를 나타내는 도면;
도 14a는 일 실시예에 따른, ADI 이미지의 제 1 피처 및 제 1 피처와 연계된 예측을 위한 제 1 해석 맵의 일 예시를 나타내는 도면;
도 14b는 일 실시예에 따른, ADI 이미지의 제 2 피처 및 제 2 피처와 연계된 예측을 위한 제 2 해석 맵의 일 예시를 나타내는 도면;
도 14c는 일 실시예에 따른, ADI 이미지의 제 3 피처 및 제 3 피처와 연계된 예측을 위한 제 3 해석 맵의 일 예시를 나타내는 도면;
도 15a는 일 실시예에 따른, 관심 피처와 연계된 해석 모델을 결정하는 방법의 흐름도;
도 15b는 일 실시예에 따른, 관심 피처와 연계된 해석 모델을 결정하는 예시적인 접근법의 흐름도;
도 16은 일 실시예에 따른, 관심 피처의 결함 있음을 분류하는 것에 대한 관심 피처 부근의 기여들을 식별하는 방법의 흐름도;
도 17은 일 실시예에 따른, 제 1 부분(예를 들어, 제 1 CD 분포) 및 제 2 부분(예를 들어, 제 2 CD 분포)으로 구성된 모델을 사용하여 ADI 측정들로부터 (에칭 후) 피처 실패의 분율을 예측하는 방법의 흐름도;
도 18a는 일 실시예에 따른, 제 1 확률 분포 함수 및 제 2 확률 분포 함수를 포함하는 예시적인 모델을 나타내는 도면;
도 18b는 일 실시예에 따른, 실패 및 실패-아닌 피처들을 갖는 AEI를 유도하는 ADI와 연계된 포커스-노광 매트릭스(x-축이 포커스, y-축이 도즈), ADI를 프린트하는 데 사용되는 최상의 포커스에 대한 도즈에 대한 LCDU 변동을 나타내는 도면;
도 19는 일 실시예에 따른, 현상 후 이미지(ADI)에서 피처의 결함 속성을 결정하는 방법의 흐름도;
도 20은 일 실시예에 따른, FEM에서 오차가 발생하기 쉬운 조건(예를 들어, 정상 도즈 미만)에서의 (예를 들어, 105 개의 접촉홀들에 대한) 접촉홀들의 CD 값들에 대한 SEM 손상의 플롯을 나타내는 도면;
도 21은 일 실시예에 따른, 도 21에 따른 기계 학습 모델을 트레이닝하는 일 예시를 나타내는 도면;
도 22는 일 실시예에 따른, 현상 후 이미지(ADI)에서 피처의 결함 속성을 결정하는 방법의 흐름도;
도 23a는 일 실시예에 따른, 현상 후 이미지(ADI)에 기초하여 에칭 후 이미지(AEI)를 결정하도록 구성되는 모델을 트레이닝하는 방법의 흐름도;
도 23b는 일 실시예에 따른, 도 22 또는 도 23a의 트레이닝된 모델을 사용하여 현상 후 이미지(ADI)에 기초하여 에칭 후 이미지(AEI)를 결정하는 방법의 흐름도;
도 23c는 일 실시예에 따른, ADI 및 AEI 측정들 사이의 상관관계에 기초하여 메트롤로지 레시피(예를 들어, SEM 설정들 또는 윤곽 추출 설정들)를 최적화하는 방법의 흐름도;
도 23d 및 도 23e는 일 실시예에 따른, ADI 및 AEI 측정들을 얻는 데 사용되는 마스크 패턴들을 통해 공정 변동들을 구현하는 예시를 나타내는 도면;
도 24a 및 도 24b는 일 실시예에 따른, 각각 ADI 및 AEI의 변수들의 예시적인 세트를 갖는 예시적인 ADI 피처들 및 AEI 피처를 나타내는 도면;
도 25a 및 도 25b는 일 실시예에 따른, 각각 x 및 y 방향의 피처들의 병진에 대응하는 변수들의 선형 조합을 나타내는 도면;
도 25c는 일 실시예에 따른, 중심 홀 및 그 이웃들의 ADI에서의 CD들에 의해 영향을 받는 AEI 피처들의 임계 치수(CD)에 대응하는 변수들의 선형 조합을 나타내는 도면;
도 25d는 일 실시예에 따른, ADI에서의 피처들의 삼각도(triangularity)에 의해 영향을 받는 AEI 피처의 삼각도에 대응하는 변수들의 선형 조합을 나타내는 도면;
도 25e 및 도 25f는 일 실시예에 따른, 중심에 위치된 ADI 홀의 신장(elongation) 및 이웃 홀들의 크기 및 변위에 의해 결정되는 피처의 신장에 대응하는 변수들의 선형 조합을 나타내는 도면;
도 26a는 일 실시예에 따른, 관심 피처의 AEI CD와 ADI CD 사이의 관계를 나타내는 도면;
도 26b는 일 실시예에 따른, ADI에서의 관심 피처의 이웃 피처들의 CD와 AEI CD 사이의 관계를 나타내는 도면;
도 27a는 일 실시예에 따른, ADI에서의 이웃 피처들의 영향 반경에 의해 설명되는 AEI 배치의 상관관계의 부분을 나타내는 도면;
도 27b는 일 실시예에 따른, ADI에서의 이웃 피처들의 영향 반경에 의해 설명되는 AEI CD의 상관관계의 부분을 나타내는 도면;
도 28은 일 실시예에 따른, 스캐닝 전자 현미경(SEM)의 일 실시예를 개략적으로 도시하는 도면;
도 29는 일 실시예에 따른, 전자 빔 검사 장치의 일 실시예를 개략적으로 도시하는 도면;
도 30은 일 실시예에 따른, 예시적인 컴퓨터 시스템의 블록 다이어그램;
도 31은 일 실시예에 따른, 리소그래피 투영 장치의 개략적인 다이어그램;
도 32는 일 실시예에 따른, 극자외(EUV) 리소그래피 투영 장치의 개략적인 다이어그램;
도 33은 일 실시예에 따른, 도 32의 장치의 더 상세한 도면; 및
도 34는 일 실시예에 따른, 도 32 및 도 33의 장치의 소스 컬렉터 모듈의 더 상세한 도면이다.
Embodiments will now be described by way of example only, with reference to the accompanying drawings:
1 is a block diagram of various subsystems of a lithographic system, according to an embodiment;
2 is an exemplary flow diagram for modeling or simulating at least a portion of a patterning process, according to one embodiment;
3 is a diagram illustrating post SEM damage of a substrate, according to one embodiment;
4A and 4B are flow diagrams of a method of training a model configured to predict whether a feature associated with an imaged substrate will be defective after etching of the imaged substrate, according to one embodiment;
5 shows an example of determination of a defective feature of a substrate based on a comparison between ADI and AEI;
FIG. 6 illustrates an example of a machine learning model with multiple layers used to train according to the method of FIG. 4A, according to one embodiment;
7A and 7B are examples of ADI and AEI showing a defective and non-defective contact hole, according to one embodiment;
7C is an exemplary critical dimension (CD) distribution associated with a defect in a feature, according to one embodiment;
8 is a flow diagram of a method for determining etch conditions for an imaged substrate based on a failure rate associated with an imaged pattern;
9 is a flow diagram of a method of determining an etch characteristic associated with an etch process, according to one embodiment;
10 illustrates an example ADI including a feature of interest and neighboring features, and an AEI image including an etched feature of interest, according to one embodiment;
11A illustrates an example correlation of ADI and AEI based on a physical characteristic (eg, CD) of a feature of interest, according to one embodiment;
11B illustrates an exemplary relationship (eg, based on CD) between neighboring features around a feature of interest in ADI and an etched feature of interest in AEI for a given dose-focus matrix, according to one embodiment;
12 is a flow diagram of a method of determining etch conditions based on a correlation (eg, determined using the method of FIG. 9 ), according to one embodiment;
13 is a diagram illustrating a decision data set (obtained using the trained model of FIG. 4A ) used to train an exemplary interpretation model, according to one embodiment;
14A is a diagram illustrating an example of a first feature of an ADI image and a first interpretation map for prediction associated with the first feature, according to an embodiment;
14B is a diagram illustrating an example of a second feature of an ADI image and a second interpretation map for prediction associated with the second feature, according to an embodiment;
14C is a diagram illustrating an example of a third feature of an ADI image and a third interpretation map for prediction associated with the third feature, according to an embodiment;
15A is a flow diagram of a method for determining an analytical model associated with a feature of interest, according to one embodiment;
15B is a flow diagram of an example approach to determining an interpretive model associated with a feature of interest, according to one embodiment;
16 is a flow diagram of a method of identifying contributions in the vicinity of a feature of interest to classifying the feature of interest as defective, according to one embodiment;
17 illustrates from ADI measurements (after etch) using a model composed of a first portion (eg, first CD distribution) and a second portion (eg, second CD distribution), according to one embodiment. a flow chart of a method for predicting the fraction of feature failures;
18A illustrates an exemplary model including a first probability distribution function and a second probability distribution function, according to an embodiment;
18B is a focus-exposure matrix (x-axis focus, y-axis dose) associated with an ADI deriving an AEI with fail and non-fail features used to print the ADI, according to one embodiment. A plot showing LCDU variation versus dose for best focus;
19 is a flow diagram of a method for determining a defect attribute of a feature in an image after development (ADI), according to one embodiment;
20 is a SEM of CD values of contact holes (eg, for 10 5 contact holes) under an error prone condition (eg, less than a normal dose) in FEM, according to an embodiment. A drawing showing a plot of damage;
21 is a diagram illustrating an example of training a machine learning model according to FIG. 21 , according to an embodiment;
22 is a flow diagram of a method for determining a defect attribute of a feature in an image after development (ADI), according to one embodiment;
23A is a flow diagram of a method for training a model configured to determine a post-etch image (AEI) based on a post-development image (ADI), according to an embodiment;
23B is a flowchart of a method of determining a post-etch image (AEI) based on a post-development image (ADI) using the trained model of FIG. 22 or FIG. 23A , according to one embodiment;
23C is a flow diagram of a method of optimizing a metrology recipe (eg, SEM settings or contour extraction settings) based on a correlation between ADI and AEI measurements, according to one embodiment;
23D and 23E illustrate an example of implementing process variations through mask patterns used to obtain ADI and AEI measurements, according to one embodiment;
24A and 24B are diagrams illustrating example ADI features and an AEI feature having an example set of variables of ADI and AEI, respectively, according to one embodiment;
25A and 25B illustrate linear combinations of variables corresponding to translations of features in x and y directions, respectively, according to an embodiment;
25C illustrates a linear combination of variables corresponding to the critical dimension (CD) of AEI features affected by CDs in the ADI of a central hole and its neighbors, according to one embodiment;
25D illustrates a linear combination of variables corresponding to the triangularity of an AEI feature affected by the triangularity of the features in the ADI, according to one embodiment;
25E and 25F illustrate a linear combination of variables corresponding to the elongation of a centrally located ADI hole and the elongation of a feature determined by the size and displacement of neighboring holes, according to one embodiment;
26A is a diagram illustrating a relationship between an AEI CD and an ADI CD of a feature of interest, according to an embodiment;
26B is a diagram illustrating a relationship between CD of neighboring features of a feature of interest in ADI and an AEI CD, according to an embodiment;
27A illustrates a portion of the correlation of AEI placement described by the radius of influence of neighboring features in ADI, according to one embodiment;
27B illustrates a portion of the correlation of AEI CD described by the radius of influence of neighboring features in ADI, according to one embodiment;
28 schematically depicts one embodiment of a scanning electron microscope (SEM), according to one embodiment;
29 is a diagram schematically showing an embodiment of an electron beam inspection apparatus according to an embodiment;
30 is a block diagram of an exemplary computer system, in accordance with one embodiment;
Fig. 31 is a schematic diagram of a lithographic projection apparatus, according to an embodiment;
32 is a schematic diagram of an extreme ultraviolet (EUV) lithographic projection apparatus, according to an embodiment;
Fig. 33 is a more detailed view of the apparatus of Fig. 32, according to one embodiment; and
Fig. 34 is a more detailed view of the source collector module of the apparatus of Figs. 32 and 33, according to one embodiment.

전자 디바이스들의 컴퓨팅 능력은 수년에 걸쳐 파워가 증가되고 물리적 크기가 감소되는 패턴을 따랐다. 이는 각각의 집적 회로(IC) 칩의 회로 구성요소들(트랜지스터, 커패시터, 다이오드 등)의 수를 증가시킴으로써 달성되었다. 예를 들어, 스마트 폰에서의 IC 칩은 사람의 엄지손톱만큼 작을 수 있고, 20 억 개가 넘는 트랜지스터들을 포함할 수 있으며, 각각의 트랜지스터의 크기는 사람 머리카락의 1/1000 미만이다. IC 제조는 상이한 층들에 회로 구성요소들을 갖고 수백 개의 개별 단계들을 포함하는 복잡하고 시간-소모적인 공정이다. 심지어 한 단계에서의 오차들이 최종 IC에 문제를 유도할 잠재력을 갖는다. 하나의 "치명적 결함(killer defect)"도 디바이스 실패를 야기할 수 있다. 제조 공정의 목표는 공정의 전체 수율을 개선하는 것이다. 예를 들어, 50-단계 공정이 75 % 수율에 도달하기 위해, 각각의 개별적인 단계가 99.4 %보다 큰 수율을 가져야 하며, 개별적인 단계 수율이 95 %인 경우, 전체 공정 수율은 7 %까지 떨어진다.The computing power of electronic devices has followed a pattern of increasing power and decreasing physical size over the years. This has been achieved by increasing the number of circuit components (transistors, capacitors, diodes, etc.) of each integrated circuit (IC) chip. For example, an IC chip in a smartphone could be as small as a human thumb and contain over two billion transistors, each one less than a thousandth the size of a human hair. IC manufacturing is a complex and time-consuming process with circuit components in different layers and involving hundreds of individual steps. Even errors in one step have the potential to lead to problems in the final IC. Even one “killer defect” can cause a device to fail. The goal of the manufacturing process is to improve the overall yield of the process. For example, for a 50-step process to reach 75% yield, each individual step must have a yield greater than 99.4%, and if the individual step yield is 95%, the overall process yield drops to 7%.

높은 수율과 상충되는 대응하는 어려움이 (예를 들어, 스루풋 또는 시간 당 처리되는 웨이퍼들의 수로 알려진) 빠른 생산 스케줄을 유지하는 목표이다. 높은 공정 수율 및 높은 웨이퍼 스루풋은 결함들의 존재에 의해, 특히 결함들을 검토하기 위해 작업자 개입이 필요한 경우에 영향을 받을 수 있다. 따라서, [광학 또는 전자 현미경(SEM)과 같은] 검사 툴들에 의한 매우 작은 결함들의 고 스루풋 검출 및 식별이 높은 수율 및 낮은 비용을 유지하는 데 필수적이다.Corresponding difficulties, which conflict with high yields, are goals of maintaining fast production schedules (eg, known as throughput or number of wafers processed per hour). High process yield and high wafer throughput can be affected by the presence of defects, particularly when operator intervention is required to review the defects. Therefore, high throughput detection and identification of very small defects by inspection tools (such as optical or electron microscopy (SEM)) is essential to maintain high yield and low cost.

결함 검출에 사용되는 현미경은 한 번에 웨이퍼의 작은 부분만 볼 수 있기 때문에, 결함 검출이 매우 시간 소모적일 수 있어 전체 스루풋을 감소시킬 수 있다. 예를 들어, 결함들을 찾기 위해 웨이퍼의 모든 위치가 검사되어야 하는 경우, 웨이퍼 상의 모든 IC의 모든 위치를 검사하는 데 걸리는 시간이 매우 길기 때문에, 웨이퍼 스루풋이 크게 감소될 수 있다. 이 문제에 대한 한 가지 접근법은, IC 칩들의 제조에 사용되는 시스템인 포토리소그래피 시스템으로부터 얻어진 정보에 기초하여 결함 위치를 예측하는 기술들을 사용하는 것이다. 일 예시에서, 결함 검사는 이미징 또는 사후-공정 후, 예컨대 에칭 후에 수행될 수 있다. 일 예시에서, 결함들을 찾기 위해 에칭 후 웨이퍼 상의 모든 위치를 검사하기보다는, 현상 후 공정에 기초하여 가능한 결함들에 대한 예측이 이루어질 수 있다. 일 예시에서, 더 우수한 모델이 에칭 공정 이전의 공정 출력에 기초하여 에칭 후 가능한 실패들을 더 정확하게 예측하도록 구성될 수 있다. 예를 들어, 모델은 특정하게 실패-아닌 홀들과 관련된 제 1 부분, 및 특정하게 실패한 홀들과 관련된 제 2 부분을 포함한다. 일 실시예에서, 모델은 (예를 들어, SEM 메트롤로지 툴을 사용하여) 적어도 두 번의 동일한 구조체의 측정들에 기초하여 결정된다. 두 SEM 측정들 간의 차이가 에칭 공정 전에 모델을 개발하거나 피처들의 실패를 분류하는 데 사용될 수 있다. 이러한 결함 예측의 장점들은, 에칭 조건들이 조정될 수 있거나, 상당히 감소된 수의 위치들이 검사될 수 있어, 대응하는 검사 시간의 감소 및 웨이퍼 스루풋의 증가를 가능하게 한다는 것이다. 또 다른 예시에서, 예를 들어 현상 후와 에칭 후 사이의 상관관계가 확립되어, 에칭 공정이 이러한 상관관계에 기초하여 제어될 수 있도록 할 수 있다. 이러한 상관관계-기반 공정 제어의 장점은 에칭 후 결함들을 감소시켜 패터닝 공정의 수율을 개선하는 데 효과적으로 사용될 것이다.Because the microscope used to detect defects can only see a small portion of the wafer at a time, defect detection can be very time consuming, reducing overall throughput. For example, if every location on the wafer has to be inspected to look for defects, the wafer throughput can be greatly reduced because the time it takes to inspect every location of every IC on the wafer is very long. One approach to this problem is to use techniques that predict a defect location based on information obtained from a photolithography system, a system used in the manufacture of IC chips. In one example, defect inspection may be performed after imaging or post-processing, such as after etching. In one example, rather than inspecting every location on the wafer after etching to look for defects, a prediction of possible defects may be made based on the post-development process. In one example, a better model can be constructed to more accurately predict possible failures after etching based on process output prior to the etching process. For example, the model includes a first portion associated with holes that are not specifically failed, and a second portion associated with holes that are specifically failed. In one embodiment, the model is determined based on measurements of the same structure at least two times (eg, using a SEM metrology tool). The difference between the two SEM measurements can be used to develop a model or classify failures of features before the etching process. The advantages of such defect prediction are that the etch conditions can be adjusted or a significantly reduced number of locations can be inspected, allowing for a corresponding reduction in inspection time and an increase in wafer throughput. In another example, for example, a correlation between post-development and post-etch can be established so that the etch process can be controlled based on this correlation. The advantage of this correlation-based process control will be effectively used to improve the yield of the patterning process by reducing the defects after etching.

도 1은 예시적인 리소그래피 투영 장치(10A)를 나타낸다. 주요 구성요소들은 심자외선 엑시머 레이저 소스(deep-ultraviolet excimer laser source) 또는 극자외선(EUV) 소스를 포함한 다른 형태의 소스일 수 있는 방사선 소스(12A)(앞서 언급된 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없음); 예를 들어, 소스(12A)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있고, (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하는 조명 광학기; 패터닝 디바이스(18A); 및 기판 평면(22A) 상으로 패터닝 디바이스 패턴의 이미지를 투영하는 투과 광학기(16Ac)이다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(aperture: 20A)가 기판 평면(22A) 상에 부딪치는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수 NA = n sin(Θmax)를 정의하고, 여기서 n은 투영 광학기의 최종 요소와 기판 사이의 매질의 굴절률이며, Θmax는 기판 평면(22A) 상에 여전히 충돌할 수 있는 투영 광학기로부터 나오는 빔의 최대 각도이다.1 shows an exemplary lithographic projection apparatus 10A. The main components are a radiation source 12A (as mentioned earlier, the lithographic projection apparatus itself is need not have a radiation source); For example, illumination optics that may include optics 14A, 16Aa, and 16Ab to shape radiation from source 12A, defining partial coherence (denoted as sigma); patterning device 18A; and transmission optics 16Ac that project an image of the patterning device pattern onto the substrate plane 22A. An adjustable filter or aperture 20A in the pupil plane of the projection optics may limit the range of beam angles impinging on the substrate plane 22A, wherein the maximum possible angle is the numerical aperture of the projection optics. Define NA = n sin(Θ max ), where n is the refractive index of the medium between the final element of the projection optics and the substrate, and Θ max is the output from the projection optics still capable of impinging on the substrate plane 22A. is the maximum angle of the beam.

리소그래피 투영 장치에서, 소스는 패터닝 디바이스에 조명(즉, 방사선)을 제공하고, 투영 광학기는 패터닝 디바이스를 통해 기판 상으로 조명을 지향하고 성형한다. 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 기판 상의 레지스트 층이 노광되고, 그 안에 잠재적인 "레지스트 이미지"(RI)로서 에어리얼 이미지가 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 용해도(solubility)의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2009-0157360호에서 찾아볼 수 있다. 레지스트 모델은 레지스트 층의 속성들(예를 들어, 노광, PEB 및 현상 시 일어나는 화학 공정들의 효과들)에만 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 소스, 패터닝 디바이스 및 투영 광학기의 속성들)이 에어리얼 이미지를 좌우한다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직할 수 있다.In a lithographic projection apparatus, a source provides illumination (ie, radiation) to a patterning device, and projection optics direct and shape the illumination through the patterning device onto a substrate. The projection optics may include at least some of the components 14A, 16Aa, 16Ab and 16Ac. The aerial image (AI) is the radiation intensity distribution at the substrate level. A layer of resist on the substrate is exposed and an aerial image is transferred into the layer of resist as a potential "resist image" (RI) therein. The resist image (RI) may be defined as the spatial distribution of solubility of resist in a layer of resist. A resist model can be used to compute a resist image from an aerial image, an example of which can be found in US Patent Application Publication No. US 2009-0157360, which is incorporated herein by reference in its entirety. The resist model relates only to the properties of the resist layer (eg, effects of chemical processes that occur during exposure, PEB, and development). Optical properties of the lithographic projection apparatus (eg, properties of the source, patterning device and projection optics) govern the aerial image. Since the patterning device used in a lithographic projection apparatus can change, it may be desirable to separate the optical properties of the patterning device from the optical properties of the rest of the lithographic projection apparatus, including at least the source and projection optics.

일 실시예에서, 어시스트 피처들(분해능 이하 어시스트 피처들 및/또는 프린트가능한 분해능 어시스트 피처들)은 본 발명의 방법들에 따라 디자인 레이아웃이 최적화되는 방식에 기초하여 디자인 레이아웃에 배치될 수 있다. 예를 들어, 일 실시예에서, 상기 방법들은 패터닝 디바이스 패턴을 결정하기 위해 기계 학습 기반 모델을 채택한다. 기계 학습 모델은 빠른 속도로 정확한 예측들을 얻기 위해 (예를 들어, 도 3에서 논의되는 바와 같이) 소정 방식으로 트레이닝될 수 있는 컨볼루션 뉴럴 네트워크와 같은 뉴럴 네트워크일 수 있으며, 따라서 패터닝 공정의 풀-칩 시뮬레이션을 가능하게 한다.In one embodiment, assist features (sub-resolution assist features and/or printable resolution assist features) may be placed in a design layout based on how the design layout is optimized in accordance with the methods of the present invention. For example, in one embodiment, the methods employ a machine learning based model to determine a patterning device pattern. A machine learning model may be a neural network, such as a convolutional neural network, that can be trained in some way (eg, as discussed in FIG. 3 ) to obtain accurate predictions at high speed, thus allowing the full- Enables chip simulation.

뉴럴 네트워크는 트레이닝 데이터의 세트를 사용하여 트레이닝될 수 있다(즉, 그 파라미터들이 결정됨). 트레이닝 데이터는 트레이닝 샘플들의 세트를 포함하거나 이로 구성될 수 있다. 각각의 샘플은 입력 객체[통상적으로, 피처 벡터(feature vector)라고 할 수 있는 벡터] 및 원하는 출력 값[감시 신호(supervisory signal)라고도 함]을 포함하거나 이로 구성된 쌍일 수 있다. 트레이닝 알고리즘이 트레이닝 데이터를 분석하고 트레이닝 데이터에 기초하여 뉴럴 네트워크의 파라미터들(예를 들어, 1 이상의 층의 가중치)을 조정함으로써 뉴럴 네트워크의 거동을 조정한다. 트레이닝 후 뉴럴 네트워크는 새로운 샘플들을 매핑(map)하는 데 사용될 수 있다.A neural network may be trained using a set of training data (ie, its parameters are determined). Training data may include or consist of a set of training samples. Each sample can be a pair that contains or consists of an input object (commonly a vector, called a feature vector) and a desired output value (also called a supervisory signal). A training algorithm adjusts the behavior of the neural network by analyzing the training data and adjusting parameters of the neural network (eg, weights of one or more layers) based on the training data. After training, the neural network can be used to map new samples.

패터닝 디바이스 패턴을 결정하는 맥락에서, 피처 벡터는 패터닝 디바이스에 의해 구성되거나 형성된 디자인 레이아웃의 1 이상의 특성(예를 들어, 형상, 배열, 크기 등), 패터닝 디바이스의 1 이상의 특성(예를 들어, 치수, 굴절률, 재료 조성 등과 같은 1 이상의 물리적 속성), 및 리소그래피 공정에서 사용되는 조명의 1 이상의 특성(예를 들어, 파장)을 포함할 수 있다. 감시 신호는 패터닝 디바이스 패턴의 1 이상의 특성[예를 들어, 패터닝 디바이스 패턴의 임계 치수(CD), 윤곽 등]을 포함할 수 있다.In the context of determining a patterning device pattern, a feature vector may include one or more characteristics (eg, shape, arrangement, size, etc.) of a design layout constructed or formed by the patterning device, one or more characteristics of the patterning device (eg, dimensions). , one or more physical properties such as refractive index, material composition, etc.), and one or more properties (eg, wavelength) of the illumination used in the lithographic process. The monitoring signal may include one or more characteristics of the patterning device pattern (eg, a critical dimension (CD), contour, etc. of the patterning device pattern).

x i 가 i-번째 예시의 피처 벡터이고 y i 가 그 감시 신호인 {(x1,y1),(x2,y2),…,(xN,yN)} 형태의 N 개의 트레이닝 샘플들의 세트가 주어지면, 트레이닝 알고리즘이 뉴럴 네트워크

Figure pct00001
를 찾으며, 이때 X는 입력 공간이고 Y는 출력 공간이다. 피처 벡터는 일부 객체를 나타내는 수치적 피처(numerical feature)들의 n-차원 벡터이다. 이 벡터들과 연계된 벡터 공간은 흔히 피처 공간이라고 한다. 때로는, 최고 스코어를 제공하는 y 값을 반환하는 것으로서 g가 정의되도록 스코어링 함수(scoring function)
Figure pct00002
를 사용하여 g를 나타내는 것이 편리하다:
Figure pct00003
. F가 스코어링 함수들의 공간을 나타낸다.{(x 1 ,y 1 ),(x 2 ,y 2 ),… where x i is the feature vector of the i-th example and y i is its watch signal. Given a set of N training samples of the form ,(x N ,y N )}, the training algorithm
Figure pct00001
, where X is the input space and Y is the output space. A feature vector is an n-dimensional vector of numerical features representing some object. The vector space associated with these vectors is often referred to as the feature space. Sometimes, a scoring function such that g is defined as returning the value of y giving the highest score
Figure pct00002
It is convenient to represent g using:
Figure pct00003
. F denotes the space of scoring functions.

뉴럴 네트워크는 확률적일 수 있으며, 이 경우 g가 조건부 확률 모델의 형태 g(x) = P(y|x)를 취하거나, f가 동시 확률 모델의 형태 f(x,y) = P(x,y)를 취한다.Neural networks can be stochastic, in which case g takes the form g(x) = P(y|x) of a conditional probabilistic model, or f takes the form of a simultaneous probabilistic model f(x,y) = P(x, y) is taken.

f 또는 g를 선택하기 위한 2 개의 기본 접근법들: 경험적 위험 최소화 및 구조적 위험 최소화가 존재한다. 경험적 위험 최소화는 트레이닝 데이터에 가장 적합한 뉴럴 네트워크를 찾는다. 구조적 위험 최소화는 편향(bias)/분산 트레이드오프를 제어하는 페널티 함수를 포함한다. 예를 들어, 일 실시예에서, 페널티 함수는 비용 함수에 기초할 수 있고, 이는 제곱 오차, 결함 수, 에지 배치 오차(EPE) 등일 수 있다. 함수들(또는 함수 내의 가중치들)은 분산이 감소되거나 최소화되도록 수정될 수 있다.There are two basic approaches to choosing f or g: empirical risk minimization and structural risk minimization. Empirical risk minimization finds the best neural network for training data. Structural risk minimization includes a penalty function that controls the bias/variance tradeoff. For example, in one embodiment, the penalty function may be based on a cost function, which may be a squared error, number of defects, edge placement error (EPE), or the like. The functions (or weights within the function) can be modified such that variance is reduced or minimized.

두 경우 모두, 트레이닝 세트는 독립적이고 동일하게 분포된 쌍들(xi,yi)의 1 이상의 샘플을 포함하거나 이로 구성되는 것으로 가정된다. 일 실시예에서, 함수가 트레이닝 데이터에 얼마나 잘 맞는지를 측정하기 위해, 손실 함수

Figure pct00004
가 정의된다. 트레이닝 샘플 (xi,yi)에 대해, 값
Figure pct00005
을 예측하는 손실은 L(yi,
Figure pct00006
)이다.In both cases, it is assumed that the training set contains or consists of one or more samples of independent and equally distributed pairs (x i ,y i ). In one embodiment, to measure how well the function fits the training data, the loss function
Figure pct00004
is defined For the training sample (x i ,y i ), the value
Figure pct00005
The loss to predict is L(y i ,
Figure pct00006
)am.

함수 g의 위험 R(g)은 g의 예상 손실로서 정의된다. 이는 트레이닝 데이터로부터

Figure pct00007
로서 추산될 수 있다.The hazard R(g) of a function g is defined as the expected loss of g. from the training data.
Figure pct00007
can be estimated as

일 실시예에서, 패터닝 공정의 기계 학습 모델들은 예를 들어 마스크 패턴에 대한 윤곽, 패턴, CD, 및/또는 웨이퍼 상의 레지스트 및/또는 에칭된 이미지 내의 윤곽, CD, 에지 배치(예를 들어, 에지 배치 오차) 등을 예측하도록 트레이닝될 수 있다. 트레이닝의 목적은, 예를 들어 웨이퍼 상의 프린트된 패턴의 윤곽, 에어리얼 이미지 세기 기울기, 및/또는 CD 등의 정확한 예측을 가능하게 하는 것이다. 의도된 디자인(예를 들어, 웨이퍼 상에 프린트될 웨이퍼 타겟 레이아웃)은 일반적으로 OPC-전 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.In one embodiment, the machine learning models of the patterning process are, for example, contours for a mask pattern, patterns, CDs, and/or contours, CDs, edge placements (eg, edges in resist and/or etched images on the wafer). placement error) and the like). The purpose of training is to enable accurate prediction of, for example, contours of printed patterns on a wafer, aerial image intensity gradients, and/or CDs, etc. An intended design (eg, a wafer target layout to be printed on a wafer) is generally defined as a pre-OPC design layout, which may be provided in a standardized digital file format such as GDSII or OASIS or other file format.

패터닝 공정의 부분들을 모델링 및/또는 시뮬레이션하는 예시적인 흐름도가 도 2에 예시된다. 이해하는 바와 같이, 모델들은 상이한 패터닝 공정을 나타낼 수 있으며, 아래에서 설명되는 모델들을 모두 포함할 필요는 없다. 소스 모델(1200)이 패터닝 디바이스의 조명의 광학적 특성들(방사선 세기 분포, 대역폭 및/또는 위상 분포를 포함함)을 나타낸다. 소스 모델(1200)은 개구수 세팅들, 조명 시그마(σ) 세팅들 및 여하한의 특정 조명 형상[예를 들어, 환형, 쿼드러폴(quadrupole), 다이폴(dipole) 등과 같은 오프-액시스(off-axis) 방사선 형상]을 포함 -이에 제한되지는 않음- 하는 조명의 광학적 특성들을 나타낼 수 있으며, 여기서 σ(또는 시그마)는 일루미네이터의 외반경 크기이다.An exemplary flow diagram for modeling and/or simulating portions of a patterning process is illustrated in FIG. 2 . As will be appreciated, models may represent different patterning processes and need not include all of the models described below. Source model 1200 represents optical properties (including radiation intensity distribution, bandwidth and/or phase distribution) of illumination of the patterning device. The source model 1200 may include numerical aperture settings, illumination sigma (σ) settings, and any particular illumination shape (eg, off-axis such as annular, quadrupole, dipole, etc.). axis) radiation shape], including, but not limited to, optical properties of illumination, where σ (or sigma) is the outer radius magnitude of the illuminator.

투영 광학기 모델(1210)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 투영 광학기 모델(1210)은 수차, 왜곡, 1 이상의 굴절률, 1 이상의 물리적 크기, 1 이상의 물리적 치수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다.A projection optics model 1210 represents the optical properties of the projection optics, including changes to the radiation intensity distribution and/or phase distribution caused by the projection optics. The projection optics model 1210 may represent optical properties of the projection optics, including aberrations, distortions, one or more index of refraction, one or more physical dimensions, one or more physical dimensions, and the like.

패터닝 디바이스/디자인 레이아웃 모델 모듈(1220)은 패터닝 디바이스의 패턴 내에 디자인 피처들이 어떻게 레이아웃되는지를 포착하고, 예를 들어 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 패터닝 디바이스의 상세한 물리적 속성들의 표현을 포함할 수 있다. 일 실시예에서, 패터닝 디바이스/디자인 레이아웃 모델 모듈(1220)은 패터닝 디바이스에 의해 형성되는, 또는 패터닝 디바이스 상의 피처들의 일 구성을 나타내는 디자인 레이아웃(예를 들어, 집적 회로, 메모리, 전자 디바이스 등의 피처에 대응하는 디바이스 디자인 레이아웃)의 광학적 특성들(주어진 디자인 레이아웃에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 조명 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다. 흔히 시뮬레이션의 목적은, 예를 들어 이후 디바이스 디자인과 비교될 수 있는 에지 배치 및 CD를 정확히 예측하는 것이다. 디바이스 디자인은 일반적으로 OPC-전 패터닝 디바이스 레이아웃으로서 정의되며, GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷으로 제공될 것이다.The patterning device/design layout model module 1220 captures how design features are laid out within the pattern of the patterning device and provides detailed physical details of the patterning device, for example as described in US Pat. No. 7,587,704, which is incorporated by reference in its entirety. It may contain representations of properties. In one embodiment, the patterning device/design layout model module 1220 is a design layout that is formed by, or represents a configuration of features on, the patterning device (eg, a feature of an integrated circuit, memory, electronic device, etc.). the optical properties (including changes to the radiation intensity distribution and/or phase distribution caused by a given design layout) of the device design layout corresponding to Since the patterning device used in the lithographic projection apparatus can change, it is desirable to separate the optical properties of the patterning device from the optical properties of the rest of the lithographic projection apparatus, including at least the illumination and projection optics. Often the purpose of simulation is to accurately predict, for example, edge placement and CD that can be compared with subsequent device designs. The device design is typically defined as a pre-OPC patterning device layout, and will be provided in a standardized digital file format such as GDSII or OASIS.

에어리얼 이미지(1230)가 소스 모델(1200), 투영 광학기 모델(1210) 및 패터닝 디바이스/디자인 레이아웃 모델(1220)로부터 시뮬레이션될 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 조명, 패터닝 디바이스 및 투영 광학기의 속성들)이 에어리얼 이미지를 좌우한다.An aerial image 1230 can be simulated from a source model 1200 , a projection optics model 1210 , and a patterning device/design layout model 1220 . The aerial image (AI) is the radiation intensity distribution at the substrate level. Optical properties of a lithographic projection apparatus (eg, properties of illumination, patterning device and projection optics) govern the aerial image.

기판 상의 레지스트 층이 에어리얼 이미지에 의해 노광되고, 에어리얼 이미지는 그 안에 잠재적인 "레지스트 이미지"(RI)로서 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 용해도의 공간 분포로서 정의될 수 있다. 레지스트 모델(1240)을 이용하여 에어리얼 이미지(1230)로부터 레지스트 이미지(1250)가 시뮬레이션될 수 있다. 레지스트 모델은 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 사용될 수 있으며, 이 예시는 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2009-0157360호에서 찾아볼 수 있다. 레지스트 모델은 통상적으로 레지스트 노광, 노광후 베이크(PEB) 및 현상 시 일어나는 화학 공정들의 효과들을 설명하여, 예를 들어 기판 상에 형성되는 레지스트 피처들의 윤곽들을 예측하고, 따라서 이는 통상적으로 이러한 레지스트 층의 속성들(예를 들어, 노광, 노광후 베이크 및 현상 시 일어나는 화학 공정들의 효과들)에만 관련된다. 일 실시예에서, 레지스트 층의 광학적 속성들, 예를 들어 굴절률, 필름 두께, 전파 및 편광 효과들은 투영 광학기 모델(1210)의 일부로서 포착될 수 있다.A layer of resist on the substrate is exposed with an aerial image, which is transferred to the layer of resist as a potential “resist image” (RI) therein. The resist image (RI) can be defined as the spatial distribution of the solubility of resist in a layer of resist. A resist image 1250 may be simulated from an aerial image 1230 using the resist model 1240 . A resist model can be used to compute a resist image from an aerial image, an example of which can be found in US Patent Application Publication No. US 2009-0157360, which is incorporated herein by reference in its entirety. A resist model typically accounts for the effects of chemical processes that occur during resist exposure, post exposure bake (PEB) and development, predicting, for example, the contours of resist features formed on a substrate, and thus it typically predicts the It relates only to properties (eg, effects of chemical processes that occur during exposure, post-exposure bake and development). In one embodiment, optical properties of the resist layer, such as refractive index, film thickness, propagation and polarization effects, may be captured as part of the projection optics model 1210 .

따라서, 일반적으로, 광학 및 레지스트 모델 간의 연결은 레지스트 층 내의 시뮬레이션된 에어리얼 이미지 세기이며, 이는 기판 상으로의 방사선의 투영, 레지스트 계면에서의 굴절 및 레지스트 필름 스택에서의 다수 반사들로부터 발생한다. 방사선 세기 분포(에어리얼 이미지 세기)는 입사 에너지의 흡수에 의해 잠재적인 "레지스트 이미지"로 바뀌고, 이는 확산 과정 및 다양한 로딩 효과들에 의해 더 수정된다. 풀-칩 적용들을 위해 충분히 빠른 효율적인 시뮬레이션 방법들이 2-차원 에어리얼(및 레지스트) 이미지에 의해 레지스트 스택에서 현실적인 3-차원 세기 분포를 근사시킨다.Thus, in general, the link between the optical and resist model is the simulated aerial image intensity in the resist layer, which results from the projection of radiation onto the substrate, refraction at the resist interface, and multiple reflections in the resist film stack. The radiation intensity distribution (aerial image intensity) is transformed into a potential “resist image” by absorption of the incident energy, which is further modified by the diffusion process and various loading effects. Efficient simulation methods fast enough for full-chip applications approximate a realistic three-dimensional intensity distribution in a resist stack by means of a two-dimensional aerial (and resist) image.

일 실시예에서, 레지스트 이미지는 패턴 전사-후 공정 모델 모듈(1260)로의 입력으로서 사용될 수 있다. 패턴 전사-후 공정 모델(1260)은 1 이상의 레지스트 현상-후 공정들(예를 들어, 에칭, 현상 등)의 성능을 정의한다.In one embodiment, the resist image may be used as input to the post-pattern transfer process model module 1260 . The pattern post-transfer process model 1260 defines the performance of one or more resist post-development processes (eg, etching, developing, etc.).

패터닝 공정의 시뮬레이션은, 예를 들어 레지스트 및/또는 에칭된 이미지 내의 윤곽, CD, 에지 배치(예를 들어, 에지 배치 오차) 등을 예측할 수 있다. 따라서, 시뮬레이션의 목적은 예를 들어 프린트된 패턴의 에지 배치, 및/또는 에어리얼 이미지 세기 기울기, 및/또는 CD 등을 정확히 예측하는 것이다. 이 값들은, 예를 들어 패터닝 공정을 보정하고, 결함이 발생할 것으로 예측되는 곳을 식별하는 등을 위해 의도된 디자인과 비교될 수 있다. 의도된 디자인은 일반적으로 OPC-전 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.Simulation of the patterning process may predict, for example, contours, CDs, edge placement (eg, edge placement errors) within resist and/or etched images. Accordingly, the purpose of the simulation is to accurately predict, for example, the edge placement of the printed pattern, and/or the aerial image intensity gradient, and/or the CD, etc. These values can be compared to designs intended for, for example, correcting the patterning process, identifying where defects are expected to occur, and the like. The intended design is generally defined as a pre-OPC design layout, which may be provided in a standardized digital file format such as GDSII or OASIS or another file format.

따라서, 모델 공식화는 전체 공정의 알려진 물리학 및 화학적 성질의 전부는 아니더라도 대부분을 설명하고, 모델 파라미터들 각각은 바람직하게는 별개의 물리적 또는 화학적 효과에 대응한다. 따라서, 모델 공식화는 모델이 전체 제조 공정을 시뮬레이션하는 데 얼마나 잘 사용될 수 있는지에 대한 상한을 설정한다.Thus, the model formulation accounts for most, if not all, of the known physical and chemical properties of the overall process, each of the model parameters preferably corresponding to a distinct physical or chemical effect. Thus, model formulation sets an upper bound on how well a model can be used to simulate the entire manufacturing process.

포토리소그래피, 전자 빔 리소그래피, 유도 자기 조립(directed self-assembly) 등과 같은 패터닝 공정들에서, 기판 상에 증착된 에너지 감응성 재료(예를 들어, 포토레지스트)가 통상적으로 (예를 들어, 노광을 통해) 패턴 전사 단계를 거친다. 패턴 전사 단계 후, 레지스트 베이킹 및 서브트랙티브 공정(subtractive process)들, 예컨대 레지스트 현상, 에칭 등과 같은 다양한 사후 단계들이 적용된다. 이러한 노광-후 단계들 또는 공정들은 기판에 다양한 효과들을 발휘하여, 패터닝된 층 또는 에칭이 타겟 치수들과 상이한 치수들을 갖는 구조체를 갖도록 한다.In patterning processes such as photolithography, electron beam lithography, directed self-assembly, etc., an energy-sensitive material (eg, photoresist) deposited on a substrate is typically (eg, via exposure) ) through the pattern transfer step. After the pattern transfer step, various post steps are applied, such as resist baking and subtractive processes, such as resist development, etching, and the like. These post-exposure steps or processes exert various effects on the substrate such that the patterned layer or etch has a structure having dimensions different from the target dimensions.

패터닝 공정들의 전산 해석(computational analysis)이, 적절하게 캘리브레이션된 경우, 패터닝 공정들로부터 출력되는 치수들의 정확한 예측을 생성할 수 있는 예측 모델을 채택한다. 통상적으로, 노광-후 공정들의 모델이 경험적 측정들에 기초하여 캘리브레이션된다. 캘리브레이션 프로세스는 상이한 공정 파라미터들로 테스트 웨이퍼를 실행하는 단계, 노광-후 공정들 이후에 결과적인 임계 치수들을 측정하는 단계, 및 측정된 결과들로 모델을 캘리브레이션하는 단계를 포함한다. 실제로, 빠르고 정확한 치수 예측을 수행하는 잘 캘리브레이션된 모델들이 디바이스 성능 또는 수율을 개선하거나, 공정 윈도우를 향상시키거나, 또는 디자인 선택들을 증가시키는 역할을 한다. 일 예시에서, 노광-후 공정들을 모델링하기 위한 딥 컨볼루션 뉴럴 네트워크(CNN)의 사용이, 흔히 물리적 용어 표현들 또는 닫힌 형태 방정식들을 사용한 모델링을 수반하는 종래의 기술들로 생성된 것과 비슷하거나 더 우수한 모델 정확성을 산출한다. 종래의 모델링 기술들에 비해, 딥 러닝 컨볼루션 뉴럴 네트워크는 모델 개발을 위한 프로세스의 지식의 요구를 완화하고, 모델 튜닝에 대한 엔지니어의 개인적인 경험 의존도를 높인다. 간단히 말해서, 노광-후 공정들에 대한 딥 CNN 모델은 입력 및 출력 층, 및 다수 은닉 층들, 예컨대 컨볼루션 층들, 정규화 층들, 및 풀링 층(pooling layer)들로 구성된다. 은닉 층들의 파라미터들은 손실 함수의 최소값을 제공하도록 최적화된다. 실시예에서, CNN 모델들은 패터닝 공정과 관련된 여하한의 공정, 또는 공정들의 조합의 거동을 모델링하도록 트레이닝될 수 있다.A computational analysis of the patterning processes employs a predictive model that, when properly calibrated, can produce an accurate prediction of dimensions output from the patterning processes. Typically, a model of post-exposure processes is calibrated based on empirical measurements. The calibration process includes running the test wafer with different process parameters, measuring the resulting critical dimensions after post-exposure processes, and calibrating the model with the measured results. Indeed, well-calibrated models that perform fast and accurate dimensional prediction serve to improve device performance or yield, improve process window, or increase design choices. In one example, the use of a deep convolutional neural network (CNN) to model post-exposure processes is similar to or more than that produced with conventional techniques that often involve modeling using physical term expressions or closed form equations. It yields excellent model accuracy. Compared with the conventional modeling techniques, the deep learning convolutional neural network alleviates the knowledge requirement of the process for model development, and increases the dependence of the engineer's personal experience on model tuning. Briefly, a deep CNN model for post-exposure processes consists of an input and output layer, and multiple hidden layers, such as convolutional layers, normalization layers, and pooling layers. The parameters of the hidden layers are optimized to give a minimum value of the loss function. In an embodiment, CNN models may be trained to model the behavior of any process, or combination of processes, associated with the patterning process.

기판 상의 구조체(피처라고도 함)들의 무작위 확률적 실패들이 리소그래피 프린팅(예를 들어, EUV 리소그래피)에서 바람직하지 않은 것으로 간주된다. 구조체들의 실패들은 기판 상의 구조체들의 리소그래피 이미징 후 또는 기판의 이미징된 기판의 에칭 후에 식별될 수 있다. 에칭 후 실패들을 식별하고 분류하는 이점들은, 패터닝 공정의 성능(예를 들어, 수율)과 직접적인 상관관계를 제공함에 따라 기판의 이미지들을 해석하기가 더 쉽다는 것이다. 하지만, 리소그래피 단계 후에 실패들을 식별하는 것이 리소그래피 성능의 더 직접적인 측정이다.Random stochastic failures of structures (also called features) on a substrate are considered undesirable in lithographic printing (eg, EUV lithography). Failures of structures may be identified after lithographic imaging of structures on the substrate or after etching of the imaged substrate of the substrate. The advantages of identifying and classifying post-etch failures are that it is easier to interpret images of the substrate as it provides a direct correlation to the performance (eg, yield) of the patterning process. However, identifying failures after a lithographic step is a more direct measure of lithographic performance.

SEM 사진들에서 현상 후 구조체들(예를 들어, 접촉홀들)의 실패들을 분류하는 다수의 알고리즘들이 존재한다. 예를 들어, Fractilia 소프트웨어는 SEM 이미지에 있는 것이 아니라 기판에 있는 것을 측정한다. 또 다른 예시에서, Stochalis 소프트웨어는 픽셀들의 밝기를 기반으로 CD-SEM 이미지들을 분석한다. CD-SEM은 임계 치수 스캐닝 전자 현미경을 지칭하며, 이는 반도체 기판 상에 형성된 미세 패턴들의 치수들을 측정하는 전용 시스템이다. 하지만, 결함 분류에 대한 기준이 에칭 동안 사용되는 에칭 조건들에 의존하지 않고, 오히려 SEM 이미지들의 상식 기반 해석에 기초한다. 예를 들어, 오차 기준은 이미징된 기판의 현상 후 SEM 콘트라스트 또는 임계 치수(CD)일 수 있다.A number of algorithms exist to classify failures of structures (eg, contact holes) after development in SEM pictures. For example, the Fractilia software measures what's on the substrate, not what's on the SEM image. In another example, Stochalis software analyzes CD-SEM images based on the brightness of pixels. CD-SEM refers to a critical dimension scanning electron microscope, which is a dedicated system for measuring the dimensions of micropatterns formed on a semiconductor substrate. However, the criterion for defect classification does not depend on the etching conditions used during etching, but rather is based on common sense-based interpretation of SEM images. For example, the error criterion may be the post-development SEM contrast or critical dimension (CD) of the imaged substrate.

그 외에도, CD-SEM으로 현상 후 이미지(ADI) 또는 에칭 후 이미지(AEI)를 이용하여 CD가 측정될 수 있으며, CD의 전사가 측정될 수 있다. 하지만, ADI SEM 측정은 레지스트를 손상시키고, 이는 에칭 후 CD에 영향을 준다. 그러므로, ADI 및 AEI에 기초한 CD는 상이한 위치에서 측정되고, 평균 CD, 국부적 CD 균일성(LCDU, CD의 표준편차) 또는 CD 분포만이 비교될 수 있다.In addition, CD can be measured using an image after development with CD-SEM (ADI) or an image after etching (AEI), and transcription of the CD can be measured. However, ADI SEM measurements damage the resist, which affects the CD after etching. Therefore, CD based on ADI and AEI is measured at different locations, and only mean CD, local CD uniformity (LCDU, standard deviation of CD) or CD distribution can be compared.

리소그래피 이후의 현재 실패(또는 결함) 분류의 단점들은, 결함 분류가 공정 조건들 또는 에칭 조건들에 의존하지 않는 한편, 기판과 연계된 최종 결함률(또는 실패율)은 이러한 조건들에 의존한다는 것이다. 결함 분류는 프로그램된 결함들의 포착률에 기초하여, 또는 에칭 전과 후의 결함률들을 비교함으로써 캘리브레이션된다. 하지만, 프로그램된 결함들은 무작위 결함들과 통계적으로 상이한 것으로 나타났다, 간행물 P. De Bisschop, E. Hendrickx, "Stochastic effects in EUV lithography", Proc. SPIE 10583, Extreme Ultraviolet(EUV) Lithography IX, 105831K(2018년 3월 19일); doi: 10.1117/12.2300541을 참조한다. 그러므로, 프로그램된 결함들의 우수한 포착률이 정확한 결과를 보장하지는 않는다. 또한, 에칭 전과 후의 결함률이 일반적으로 일치하지 않는 것으로 보고되었다. 예를 들어, 참고 문헌 P. De Bisschop & E. Hendrickx, "Stochastic effects in EUV lithography", SPIE 2018은 도 9에서 ADI 및 AEI 실패율들이 0.1 내지 1000 배 상이할 수 있음을 나타낸다.Disadvantages of current failure (or defect) classification after lithography is that defect classification does not depend on process conditions or etch conditions, whereas the final defect rate (or failure rate) associated with the substrate depends on these conditions. Defect classification is calibrated based on the capture rate of programmed defects, or by comparing defect rates before and after etching. However, programmed defects were shown to be statistically different from random defects, publication P. De Bisschop, E. Hendrickx, "Stochastic effects in EUV lithography", Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 105831K (March 19, 2018); see doi: 10.1117/12.2300541. Therefore, a good capture rate of programmed defects does not guarantee accurate results. In addition, it has been reported that the defect rates before and after etching are generally inconsistent. For example, reference P. De Bisschop & E. Hendrickx, "Stochastic effects in EUV lithography", SPIE 2018 shows in FIG. 9 that ADI and AEI failure rates can differ by 0.1 to 1000 fold.

앞서 언급된 바와 같이, 또한 이제 도 3을 참조하면, 리소-후 SEM 메트롤로지는 예를 들어 산화물 층(305) 상에 배치된 레지스트 층(301/303)을 손상시킨다. 예를 들어, SEM 측정 전 레지스트 층(301)이 SEM 측정 후 층(303)으로 수축한다. 또 다른 경우에, 레지스트(301) 상의 SEM으로의 조명으로 인해 탄소가 재증착될 수 있다. 따라서, 동일한 위치에서 SEM을 두 번 측정하는 것은 리소그래피 단계 후 및 에칭 단계 후에 SEM에 의해 측정된 바와 같은 CD에 영향을 미칠 수 있다.As previously mentioned, and also referring now to FIG. 3 , post-lithography SEM metrology damages resist layer 301/303 disposed on oxide layer 305 , for example. For example, the resist layer 301 before the SEM measurement shrinks into the layer 303 after the SEM measurement. In another case, illumination with an SEM on resist 301 may redeposit carbon. Therefore, measuring the SEM twice at the same location may affect the CD as measured by SEM after the lithography step and after the etching step.

일 실시예에서, 에칭 후 사라진(missing) 접촉홀 결함들은 접촉홀 내부의 남은 레지스트의 층에 의해 야기된다. 하지만, 본 출원에서, SEM 동안 레지스트가 수축하지만 SEM 전자들이 접촉홀 내의 남아 있는 레지스트 층을 완전히 제거할 수는 없다는 것을 깨달았다(도 3 참조). 또한, 탄소 재증착은 전체 홀이 폐쇄되도록 이를 채우지 않는다는 것을 깨달았다. 이에 따라, 이러한 발견은 기존의 믿음에 어긋나는 것이다. 따라서, SEM 손상은 CD에 영향을 줄 수 있지만 패턴과 연계된 구조체들의 실패율에는 영향을 미치지 않는다. 이러한 것으로서, 본 실시예의 방법은 더 정확하게 실패율들의 결정을 가능하게 하며, 여기서 결정은 실패율의 평균 CD 기반 결정을 사용하기보다는 ADI와 AEI 간의 일대일 피처 비교에 기초한다.In one embodiment, contact hole defects missing after etching are caused by a layer of resist remaining inside the contact hole. However, in the present application, it was realized that while the resist shrinks during SEM, the SEM electrons cannot completely remove the remaining resist layer in the contact hole (see FIG. 3 ). It was also realized that carbon redeposition did not fill the entire hole to be closed. Accordingly, these findings are contrary to conventional beliefs. Thus, SEM damage can affect CD but not the failure rate of structures associated with the pattern. As such, the method of this embodiment enables the determination of failure rates more accurately, wherein the determination is based on a one-to-one feature comparison between ADI and AEI rather than using an average CD-based determination of failure rate.

도 4a 및 도 4b는 이미징된 기판과 연계된 피처가 이미징된 기판의 에칭 후에 결함이 있을지 여부를 예측하도록 구성되는 모델을 트레이닝하는 방법의 흐름도이다.4A and 4B are flow diagrams of a method for training a model configured to predict whether a feature associated with an imaged substrate will be defective after etching of the imaged substrate.

절차 P401은 메트롤로지 툴을 통해 (ⅰ) 주어진 위치에서의 이미징된 기판의 현상 후 이미지(401) -현상 후 이미지는 복수의 피처들을 포함함- , 및 (ⅱ) 주어진 위치에서의 이미징된 기판의 에칭 후 이미지(402) -에칭 후 이미지는 복수의 피처들에 대응하는 에칭된 피처들을 포함함- 를 얻는 단계를 수반한다.Procedure P401 is performed through a metrology tool to (i) a post-developed image 401 of the imaged substrate at a given location, the post-developed image comprising a plurality of features, and (ii) the imaged substrate at the given location. It involves obtaining a post-etch image 402 of , the post-etch image comprising etched features corresponding to a plurality of features.

일 실시예에서, 모델은 경험적 모델 또는 기계 학습 모델이다. 일 실시예에서, 경험적 모델은 (예를 들어, 현상 후) 이미징된 기판과 연계된 피처의 물리적 특성의 함수이다. 경험적 모델에 기초한 트레이닝의 일 예시가 도 7a 내지 도 7c에서 예시되며, 여기서 현상 공정 후 피처의 CD와 같은 물리적 특성이 모델 변수로서 사용된다. 일 실시예에서, 모델은 ADI에 기초하여 잠재적으로 결함이 있는 피처들의 90 % 이상을 올바르게 분류할 수 있는 CD 임계치(예를 들어, 16 nm)를 식별하도록 트레이닝된다. 다시 말해서, ADI에서 결함 있는 것으로 분류된 피처들은 결함 있는 것으로 분류되지 않았던 피처들에 비해 에칭 후 실패 가능성이 높을 것이다. 또 다른 예시에서, ADI의 그레이 스케일 값들이 모델을 정의하는 데 사용된다. 예를 들어, ADI에 기초하여 잠재적으로 결함이 있는 피처들의 90 % 이상을 올바르게 분류할 수 있는 그레이 스케일 값 임계치를 식별하도록 모델을 트레이닝한다. 일 실시예에서, 도 6은 CNN 모델을 트레이닝하는 것을 예시한다. CD, 그레이 스케일 값들 및 CNN 모델에 기초한 예시적인 트레이닝은 본 발명에서 나중에 논의된다.In one embodiment, the model is an empirical model or a machine learning model. In one embodiment, the empirical model is a function of the physical properties of the feature associated with the imaged substrate (eg, after development). An example of training based on an empirical model is illustrated in FIGS. 7A-7C , where a physical property such as CD of a feature after the development process is used as a model variable. In one embodiment, the model is trained to identify a CD threshold (eg, 16 nm) that can correctly classify 90% or more of potentially defective features based on ADI. In other words, features classified as defective in ADI will be more likely to fail post-etch than features that were not classified as defective. In another example, the gray scale values of the ADI are used to define the model. For example, train the model to identify a gray scale value threshold that can correctly classify more than 90% of potentially defective features based on ADI. In one embodiment, Figure 6 illustrates training a CNN model. Exemplary training based on CD, gray scale values and CNN model is discussed later in the present invention.

일 실시예에서, 현상 후 이미지를 얻는 단계는 패터닝 장치를 통해 기판 상에 마스크 패턴을 이미징하는 단계; 이미징된 기판의 현상된 기판(예를 들어, 레지스트 현상)을 얻는 단계; 주어진 위치에서 현상된 기판에 메트롤로지 툴(예를 들어, SEM)을 정렬하는 단계; 및 현상된 기판의 이미지를 캡처하는 단계를 수반한다. 일 실시예에서, 현상 후 이미지는 픽셀화된 이미지(pixelated image)이고, 여기서 픽셀의 세기 값이 기판 상의 피처의 존재 또는 부재를 나타낸다. 예를 들어, 픽셀의 세기 값은 기판 상의 이차 전자들의 수에 대한 메트릭이다. 이차 전자들은: (ⅰ) 재료의 이차 전자 수율(예를 들어, 기판의 하층보다 레지스트에 대해 더 높은 수율), 및 (ⅱ) 중심보다 홀의 에지에서 더 어둡고 더 높은 수율을 제공하도록 홀에서의 세기를 음영처리(shadow)하는 지오메트리에 의존할 수 있다. 예를 들어, 홀 주위의 흰색 띠가 보일 수 있다.In one embodiment, obtaining the image after development includes: imaging the mask pattern on the substrate through a patterning device; obtaining a developed substrate (eg, resist development) of the imaged substrate; aligning a metrology tool (eg, SEM) to the developed substrate at a given location; and capturing an image of the developed substrate. In one embodiment, the post-developed image is a pixelated image, wherein an intensity value of a pixel indicates the presence or absence of a feature on the substrate. For example, the intensity value of a pixel is a metric for the number of secondary electrons on the substrate. The secondary electrons are: (i) the secondary electron yield of the material (eg, a higher yield for the resist than the underlying layer of the substrate), and (ii) the intensity at the hole to provide a darker and higher yield at the edge of the hole than the center. can depend on the geometry that shadows For example, a white band around the hole can be seen.

일 실시예에서, 메트롤로지 툴은 광학 툴 또는 전자 빔 현미경이다. 일 실시예에서, 메트롤로지 툴은 SEM(예를 들어, 도 28)이고, ADI 및 AEI 이미지들은 SEM 이미지들이다. 일 실시예에서, 이미징된 기판 또는 에칭 후 기판과 SEM의 정렬은 SEM의 FOV 외부의 피처들의 어드레싱(addressing)에 기초한다. 예를 들어, SEM은 어드레싱 피처들에 정렬하기 위한 내장된 옵션을 갖도록 구성될 수 있으며, 여기서 어드레싱 피처들은 스캐닝이 수행되어야 하는 위치들과 연계된다. 또 다른 예시에서, (예를 들어, 논리 디바이스에 대해) 패턴의 단위 셀이 SEM 위치설정 시스템의 불확실성보다 크므로, 정렬은 패턴 자체가 위치 마크로서 사용되도록 이미지에 있는 피처들에 기초할 수도 있다. 일 실시예에서, 정렬을 위해, SEM의 FOV 내부에 추가 위치 마크를 포함하는 것은 그것이 리소그래피 이미지에 영향을 미치기 때문에 바람직하지 않으며, 이는 그 주위의 피처들을 비-대표적(non-representative)으로 만든다. 또한, 메트롤로지를 위해 기판의 기능 영역 내의 마스크 패턴을 조정하는 것은 바람직하지 않다.In one embodiment, the metrology tool is an optical tool or an electron beam microscope. In one embodiment, the metrology tool is SEM (eg, FIG. 28 ), and the ADI and AEI images are SEM images. In one embodiment, the alignment of the SEM with the imaged substrate or the substrate after etching is based on addressing features outside the FOV of the SEM. For example, the SEM may be configured to have a built-in option for aligning to addressing features, where addressing features are associated with locations at which scanning should be performed. In another example, since the unit cell of the pattern (eg, for a logic device) is greater than the uncertainty of the SEM positioning system, the alignment may be based on features in the image such that the pattern itself is used as a position mark. . In one embodiment, for alignment purposes, including an additional position mark inside the FOV of the SEM is undesirable as it affects the lithographic image, making the features around it non-representative. Also, it is undesirable to adjust the mask pattern within the functional area of the substrate for metrology.

일 실시예에서, 에칭 후 이미지를 얻는 단계는 지정된 에칭 조건들로의 에칭 공정을 통해 이미징된 기판을 에칭하는 단계; 주어진 위치에서 에칭된 기판에 메트롤로지 툴을 정렬하는 단계; 및 에칭된 기판의 에칭 후 이미지를 캡처하는 단계를 수반한다. 일 실시예에서, 에칭 조건들은 에천트 조성, 플라즈마 가스 파라미터들, 에칭 속도, 전자기장, 플라즈마 전위, 유도성 또는 용량성 에칭 타입, 기판의 온도, 이온 에너지 분포, 이온 각도 분포, 스퍼터링 및 재증착 속도, 또는 이들의 조합을 포함한다.In one embodiment, obtaining the post-etch image comprises: etching the imaged substrate through an etching process with specified etching conditions; aligning the metrology tool to the etched substrate at a given location; and capturing an image after etching of the etched substrate. In one embodiment, the etch conditions include etchant composition, plasma gas parameters, etch rate, electromagnetic field, plasma potential, inductive or capacitive etch type, temperature of the substrate, ion energy distribution, ion angle distribution, sputtering and redeposition rate. , or a combination thereof.

일 실시예에서, 정렬은 결코 완벽하지 않으므로, 정렬이 올바른지 확인하기 위해 ADI와 AEI 이미지들 간의 상관관계가 사용된다. 하지만, 한 가지 문제는 정렬에 사용되는 어드레싱 피처가 어드레싱에 영향을 미치는 에칭 공정으로 인해 손상되거나 시프트된다는 것이다. 따라서, 본 실시예에 따르면, AEI 이미지는 ADI 이미지에 대해 모든 대칭 방향들로 불연속 수의 피치들에 걸쳐 디지털 방식으로 시프트되고, 어느 하나에 대해 ADI와 AEI CD 사이의 상관관계가 최대인지를 체크한다. 일 실시예에서, 작은 시프트(예를 들어, 1 또는 2 피치만큼의 시프트)로 인해 명확한 최대값이 존재한다.In one embodiment, the alignment is never perfect, so the correlation between the ADI and AEI images is used to confirm that the alignment is correct. However, one problem is that the addressing features used for alignment are damaged or shifted due to the etching process affecting the addressing. Thus, according to this embodiment, the AEI image is digitally shifted over a discrete number of pitches in all symmetric directions with respect to the ADI image, and it is checked for which one the correlation between ADI and AEI CD is maximum. do. In one embodiment, there is an apparent maximum due to small shifts (eg, shifts by 1 or 2 pitches).

절차 P403은 현상 후 이미지(401) 및 에칭 후 이미지(402)를 사용하여, 현상 후 이미지에서 복수의 피처들 중 주어진 피처의 결함 있음을 결정하도록 구성되는 모델을 트레이닝하는 단계를 수반하며, 결함 있음의 결정은 현상 후 이미지에서의 주어진 피처를 에칭 후 이미지에서의 대응하는 에칭 피처와 비교하는 것에 기초한다. 일 실시예에서, 결함 있음은: 결함이 있는지 또는 결함이 없는지의 이진 결정; 또는 주어진 피처에 결함이 있을 확률 중 적어도 하나에 의해 특징지어진다.Procedure P403 involves training, using the post-developed image 401 and the post-etched image 402 , a model configured to determine that a given one of a plurality of features in the post-developed image is defective; The determination of α is based on comparing a given feature in the post-developed image with a corresponding etched feature in the post-etch image. In one embodiment, defective includes: a binary determination of whether a defect is present or not; or the probability that a given feature is defective.

일 실시예에서, 트레이닝하는 단계는 복수의 피처들에 기초하여, 현상 후 이미지 및 에칭 후 이미지를 정렬하는 단계; 현상 후 이미지에서의 복수의 피처들의 피처들 각각을 에칭 후 이미지에서의 에칭된 피처들의 대응하는 피처와 비교하는 단계(예를 들어, 도 5에 도시됨); 비교에 기초하여, 에칭 후 이미지에서의 주어진 에칭된 피처가 결함 조건을 만족하는지 여부를 결정하는 단계; 결함 조건을 만족하지 않음에 응답하여, 식별된 피처를 결함 있는 것으로 분류하는 단계; 및 식별된 피처의 결함 있음에 기초하여 모델의 모델 파라미터 값을 조정하는 단계를 수반한다. 일 실시예에서, 모델 파라미터 값을 조정하는 단계는 복수의 모델 파라미터들의 값들을 조정하는 단계를 포함한다. 일 실시예에서, 결함 조건은 구조체의 물리적 특성과 연계된 원하는 한계를 지칭하며, 한계가 만족되지 않은 경우에 구조체가 결함 있는 것으로 간주된다.In one embodiment, the training includes: aligning the post-develop image and the post-etch image based on the plurality of features; comparing each of the features of the plurality of features in the post-development image to a corresponding feature of the etched features in the post-etch image (eg, as shown in FIG. 5 ); determining, based on the comparison, whether a given etched feature in the post-etch image satisfies a defect condition; in response to not meeting the defect condition, classifying the identified feature as defective; and adjusting the model parameter values of the model based on the presence of the identified features. In one embodiment, adjusting the model parameter value comprises adjusting values of the plurality of model parameters. In one embodiment, a defect condition refers to a desired limit associated with a physical property of a structure, and a structure is considered defective if the limit is not met.

도 5를 참조하면, ADI와 AEI 간의 비교에 기초하는 기판의 결함 있는 피처의 결정의 일 예시가 도시되어 있다. 일 실시예에서, ADI 및 AEI는 메트롤로지 툴(예를 들어, 도 28의 SEM 또는 도 29의 검사 툴)을 통해 얻어진다. ADI는 패터닝 장치(예를 들어, 리소그래피 장치)를 통해 기판을 이미징(예를 들어, 패턴 전사 단계)하고 이미징 후 현상 공정을 더 수행한 후에 얻어진 기판의 현상 후 이미지이다. 예시적인 ADI는 접촉홀들의 어레이, 예를 들어 위치들 L1, L2 및 L3에 있는 홀들을 포함한다. AEI는 ADI의 접촉홀들에 대응하는 홀들의 어레이를 포함하는 에칭 후 이미지이다. 본 예시에서, AEI 및 ADI에서의 홀들의 비교는 AEI에서의 사라진 홀들을 나타낸다. 예를 들어, ADI는 위치들 L1, L2 및 L3에서 (어떠한 결함도 없이 존재하는) 홀들을 포함한다. 하지만, 에칭 공정 후, AEI 이미지는 위치들 L1 내지 L3에 각각 대응하는 위치들 L1', L2' 및 L3'에서 사라진 홀들을 나타내어, 접촉홀들의 실패를 나타낸다. 다시 말해서, ADI에서의 L1 내지 L3의 홀들은 기판을 에칭한 후에 결함 있을 가능성이 있다. 따라서, 위치들 L1, L2, L3에 있는 ADI의 홀들은 결함 있는 것으로 분류된다.Referring to FIG. 5 , shown is an example of a determination of a defective feature of a substrate based on a comparison between ADI and AEI. In one embodiment, the ADI and AEI are obtained via a metrology tool (eg, the SEM of FIG. 28 or the inspection tool of FIG. 29). ADI is a post-development image of a substrate obtained after imaging the substrate (eg, a pattern transfer step) through a patterning apparatus (eg, a lithographic apparatus) and further performing a post-imaging development process. An exemplary ADI includes an array of contact holes, for example holes at locations L1, L2 and L3. The AEI is the post-etch image containing the array of holes corresponding to the contact holes of the ADI. In this example, a comparison of holes in AEI and ADI indicates missing holes in AEI. For example, ADI includes holes (existing without any defects) at locations L1, L2 and L3. However, after the etching process, the AEI image shows missing holes at positions L1', L2' and L3' corresponding to positions L1 to L3, respectively, indicating failure of the contact holes. In other words, the holes L1 to L3 in the ADI are likely to be defective after etching the substrate. Accordingly, the holes of the ADI at positions L1, L2, L3 are classified as defective.

따라서, 본 발명은 현상 후 기판의 한 위치에 있는 홀들을 에칭 후 기판의 동일한 위치에 있는 홀들과 비교한다. 대조적으로, 기존 기술은 현상 단계 후 및 에칭 단계 후 기판 상의 상이한 위치들에서 홀들을 비교하여 피처들의 일대일 비교를 방지한다. 이에 의해, 본 발명은 기판의 구조체들과 연계된 결함들과 관련된 더 정확한 데이터를 생성한다. 따라서, 이러한 데이터에 기초하여 트레이닝된 모델이 결함 있는 피처들을 더 정확하게 예측할 수 있고, 패터닝 공정(예를 들어, 에칭 공정)에 대한 적절한 조정들이 수행되어 패터닝 공정의 수율을 개선할 수 있다. 일 실시예에서, 조정들은 리소그래피 장치의 포커스 또는 도즈를 변화시키거나, 레지스트의 화학적 조성을 조정하는 것을 수반할 수 있다.Accordingly, the present invention compares the holes at one location in the substrate after development with the holes at the same location in the substrate after etching. In contrast, the existing technique compares the holes at different locations on the substrate after the developing step and after the etching step, avoiding a one-to-one comparison of features. Thereby, the present invention produces more accurate data relating to defects associated with structures of the substrate. Thus, a model trained based on such data can more accurately predict defective features, and appropriate adjustments to the patterning process (eg, etching process) can be made to improve the yield of the patterning process. In one embodiment, the adjustments may involve changing the focus or dose of the lithographic apparatus, or adjusting the chemical composition of the resist.

일 실시예에서, 모델은 결함 조건에 기초하여 트레이닝된 경험적 모델일 수 있다. 일 실시예에서, 결함 조건은: 에칭 후 이미지 내의 닫힌 윤곽(enclosed contour)의 그레이 스케일 값들; 또는 에칭 후 이미지 내의 주어진 에칭된 피처의 물리적 특성 중 적어도 하나이다. 일 실시예에서, 물리적 특성은: 주어진 에칭된 피처의 임계 치수; 또는 현상 후 이미지의 주어진 피처에 대한 주어진 에칭된 피처의 변위 중 적어도 하나이다.In one embodiment, the model may be an empirical model trained based on a fault condition. In one embodiment, the defect condition includes: gray scale values of an enclosed contour in the image after etching; or at least one of the physical properties of a given etched feature in the image after etching. In one embodiment, the physical properties include: a critical dimension of a given etched feature; or displacement of the given etched feature relative to the given feature of the image after development.

도 7a 내지 도 7c는 CD-기반 결함 분류의 예시를 나타낸다. 일 실시예에서, 경험적 모델은 CD-임계화(thresholding)에 기초하며, 여기서 CD 임계치는 피처에 결함이 있을 수 있는지 여부를 결정한다. 도 7c는 ADI의 접촉홀들 및 결함이 있을 수 있는(예를 들어, 실패하기 쉬운지 아닌지) AEI의 대응하는 접촉홀들의 CD 분포를 나타낸다. 분포는 ADI에서의 접촉홀들의 CD 값들, 에칭 후 실패하지 않은 접촉홀들의 CD 값들, 및 에칭 후 실패한 홀들의 CD 값들을 나타낸다. 도 7a에서, ADI1 및 AEI1의 비교는 홀 CH1이 실패한 것으로 분류되고, 이는 AEI1에서 CH1'이 사라짐에 따라 정확함을 나타낸다. 또한, 도 7b에서, ADI3 및 AEI3의 비교는 홀 CH3이 실패하지 않은 것으로 분류되고, 이는 AEI3에서 CH3'이 사라지지 않음에 따라 역시 올바르다는 것을 나타낸다.7A-7C show examples of CD-based defect classification. In one embodiment, the empirical model is based on CD-thresholding, where the CD threshold determines whether a feature may be defective. 7C shows the CD distribution of contact holes in the ADI and corresponding contact holes in the AEI that may be defective (eg, prone to failure or not). The distribution represents CD values of contact holes in ADI, CD values of non-failing contact holes after etching, and CD values of failed holes after etching. In Fig. 7a, the comparison of ADI1 and AEI1 indicates that hole CH1 is classified as failed, which is correct as CH1' disappears in AEI1. Also, in Fig. 7b, the comparison of ADI3 and AEI3 shows that hole CH3 is classified as not failing, which is also correct as CH3' does not disappear in AEI3.

일 실시예에서, (예를 들어, AEI에서 사라진) 실패한 홀들은 일반적으로 원하는 크기에 비해 크기가 더 작다. 분류를 위해, ADI에서 CD 임계치가 사용될 수 있고, 여기서 위반한 접촉홀들이 AEI에서 잠재적 결함으로서 분류된다. 예를 들어, CD 임계치(Th1)가 대략 16 nm이므로, Th1(예를 들어, 16 nm)보다 작은 홀들이 결함 있는 것으로 분류될 수 있다. 일 실시예에서, 91.3 %의 홀들이 올바르게 분류되었다.In one embodiment, the failed holes (eg, disappeared in AEI) are generally smaller in size compared to the desired size. For classification, a CD threshold may be used in ADI, where violating contact holes are classified as potential defects in AEI. For example, since the CD threshold Th1 is approximately 16 nm, holes smaller than Th1 (eg, 16 nm) may be classified as defective. In one embodiment, 91.3% of holes were classified correctly.

일 실시예에서, 모델은 컨볼루션 뉴럴 네트워크와 같은 기계 학습 모델이다. 그때, 모델 파라미터들은 기계 학습 모델(예를 들어, CNN)의 1 이상의 층과 연계된 가중치들, 편향 또는 이들의 조합이다.In one embodiment, the model is a machine learning model, such as a convolutional neural network. Then, the model parameters are weights, biases, or a combination thereof associated with one or more layers of a machine learning model (eg, CNN).

도 6은 입력으로서 ADI 및 AEI 데이터(예를 들어, 도 5의 ADI 및 AEI 이미지들)를 사용하여 트레이닝되는 예시적인 CNN을 나타낸다. 입력에 기초하여, 피처들의 결함 분류는 본 명세서에 논의된 바와 같이 ADI와 AEI 사이의 비교에 기초할 수 있다. 그때, 결함 분류는 CNN의 출력일 수 있다. 일 실시예에서, ADI 및 AEI는 CNN에 제공되는 피처 벡터들일 수 있다.6 shows an example CNN trained using ADI and AEI data (eg, ADI and AEI images of FIG. 5 ) as input. Based on the input, the defect classification of features may be based on a comparison between ADI and AEI as discussed herein. Then, the defect classification may be the output of the CNN. In one embodiment, ADI and AEI may be feature vectors provided to CNN.

일 실시예에서, 트레이닝된 모델[예를 들어, CNN(예를 들어, 도 5), CD-기반 임계치 모델(예를 들어, 도 7a 내지 도 7c에서 논의됨)]은 현상 후 이미지의 주어진 패턴과 연계된 실패율을 예측하도록 더 구성되며, 실패율은 지정된 에칭 조건들을 사용하여 이미징된 기판이 에칭될 때의 결함 발생을 나타낸다. 예를 들어, 피처들의 결함 분류 및 피처들의 총 수에 기초하여, 에칭 후 피처와 연계된 실패율이 결정될 수 있다. 예를 들어, 피처(예를 들어, 접촉홀들)의 실패율은 피처의 총 결함 인스턴스 및 피처들의 총 수의 비율이다.In one embodiment, the trained model (eg, CNN (eg, FIG. 5 ), CD-based threshold model (eg, discussed in FIGS. 7A-7C )) is a given pattern of the image after development. and predict a failure rate associated with , wherein the failure rate is indicative of occurrence of defects when the imaged substrate is etched using the specified etching conditions. For example, based on the defect classification of the features and the total number of features, a failure rate associated with the post-etch feature may be determined. For example, the failure rate of a feature (eg, contact holes) is a ratio of the total number of defective instances of the feature and the total number of features.

일 실시예에서, 트레이닝 모델의 추가 구성은 다음 절차들을 수반한다. 예를 들어, 절차 P405는 관심 패턴과 연계된 복수의 패턴들을 결함이 있거나 결함이 없는 것으로 분류하는 단계를 수반하고; 절차 P407은 관심 패턴과 연계된 결함 있는 패턴들의 총 수를 결정하는 단계를 수반하며; 절차 P409는 결함 있는 패턴의 총 수 및 복수의 패턴들의 총 패턴 수의 비율로서 관심 패턴의 실패율을 연산하는 단계를 수반한다.In one embodiment, further construction of the training model involves the following procedures. For example, procedure P405 involves classifying the plurality of patterns associated with the pattern of interest as defective or free of defects; Procedure P407 involves determining a total number of defective patterns associated with the pattern of interest; Procedure P409 involves calculating the failure rate of the pattern of interest as a ratio of the total number of defective patterns and the total number of patterns in the plurality of patterns.

일 실시예에서, 방법(400)은 에칭 조건들을 조정하는 단계를 더 포함할 수 있다. 예시적인 구현은 도 4b의 실행 절차들을 수반한다. 절차 P411은 패터닝 장치를 통해, 기판 상에 원하는 패턴(411)을 이미징하는 단계를 수반한다. 이미징된 기판은 추가로 현상(예를 들어, 레지스트 현상) 및 후-처리(예를 들어, 에칭)될 수 있다. 절차 P413은 이미징된 패턴의 현상 후 이미지를 얻는 단계를 수반한다. 또한, 초기 에칭 조건들(413)이 얻어질 수 있다. 절차 P415는 원하는 패턴이 에칭 후에 결함이 있을지 여부를 분류하기 위해 현상 후 이미지를 사용하여 트레이닝 모델(403)을 실행하는 단계를 수반한다. 절차 P417은 분류된 결함 있는 패턴에 기초하여, 이미징된 패턴이 에칭 후에 결함이 없도록 에칭 조건(417)을 조정(또는 결정)하는 단계를 수반한다.In one embodiment, method 400 may further include adjusting the etching conditions. An example implementation involves the execution procedures of FIG. 4B . Procedure P411 involves imaging, via a patterning device, the desired pattern 411 on the substrate. The imaged substrate may be further developed (eg, developed in resist) and post-processed (eg, etched). Procedure P413 involves acquiring an image after development of the imaged pattern. Also, initial etching conditions 413 may be obtained. Procedure P415 involves running the training model 403 using the post-development image to classify whether the desired pattern will be defective after etching. Procedure P417 involves adjusting (or determining) etching conditions 417 based on the classified defective pattern so that the imaged pattern is defect-free after etching.

도 8은 이미징된 패턴과 연계된 실패율에 기초하여 이미징된 기판에 대한 에칭 조건 또는 복수의 에칭 조건들을 결정하는 방법의 흐름도이다. 절차 P901은 이미징된 기판의 현상 후 이미지(901), 및 이미징된 기판을 에칭하는 데 사용될 초기 에칭 조건들(902)을 얻는 단계를 수반한다. 일 실시예에서, 에칭 조건들은 에천트 조성, 플라즈마 가스 파라미터들, 에칭 속도, 전자기장, 플라즈마 전위, 유도성 또는 용량성 에칭 타입, 기판의 온도, 이온 에너지 분포, 이온 각도 분포, 스퍼터링 및 재증착 속도, 또는 이들의 조합 중 적어도 하나를 포함한다.8 is a flow diagram of a method of determining an etch condition or plurality of etch conditions for an imaged substrate based on a failure rate associated with an imaged pattern. Procedure P901 involves obtaining a post-developed image 901 of the imaged substrate, and initial etching conditions 902 to be used to etch the imaged substrate. In one embodiment, the etch conditions include etchant composition, plasma gas parameters, etch rate, electromagnetic field, plasma potential, inductive or capacitive etch type, temperature of the substrate, ion energy distribution, ion angle distribution, sputtering and redeposition rate. , or at least one of a combination thereof.

절차 P903은 현상 후 이미지(901) 및 초기 에칭 조건들(902)을 사용하여 트레이닝된 모델[예를 들어, 트레이닝된 모델(403)]을 통해, 이미징된 기판과 연계된 피처의 실패율을 결정하는 단계를 수반하며, 실패율은 이미징된 기판의 에칭 후에 피처가 결함 있음을 나타낸다. 따라서, 트레이닝된 모델은 에칭 후에 발생할 수 있는 실제 실패 전에 실패 예측을 제공한다.Procedure P903 determines, via a model trained (eg, trained model 403 ) using post-development image 901 and initial etch conditions 902 , to determine the failure rate of a feature associated with the imaged substrate. step, and the failure rate indicates that the feature is defective after etching of the imaged substrate. Thus, the trained model provides a failure prediction before the actual failure that may occur after etching.

절차 P905는 실패율에 기초하여, 에칭 후에 피처가 결함 있을 가능성이 감소되도록 초기 에칭 조건들(902)을 수정하는 단계를 수반한다. 수정된 에칭 조건들(905)은 이미징된 기판을 에칭하기 위해 더 사용되어, 패터닝 공정의 수율(예를 들어, 기판 상의 피처들/구조체들의 감소된 실패)을 개선할 수 있다.Procedure P905 involves modifying the initial etch conditions 902 based on the failure rate to reduce the likelihood that the feature will be defective after etching. The modified etch conditions 905 may be further used to etch the imaged substrate to improve the yield of the patterning process (eg, reduced failure of features/structures on the substrate).

일 실시예에서, 에칭 조건들을 수정하는 단계는 반복적인 프로세스이다. 반복은 주어진 피처와 연계된 주어진 실패율과 주어진 에칭 조건 사이의 관계를 얻는 것; 현상 후 이미지 및 에칭 조건들을 사용한 에칭 모델의 실행을 통해, 이미징된 기판과 연계된 에칭 후 이미지를 결정하는 것; 에칭 후 이미지에 기초하여, 주어진 피처가 결함 조건을 만족하는지 여부를 결정하는 것; 및 결함 조건을 만족하지 않음에 응답하여, 관계에 기초하여 주어진 실패율에 비해 더 낮은 실패율과 연계된 또 다른 에칭 조건들을 식별하는 것을 수반한다.In one embodiment, modifying the etching conditions is an iterative process. Iteration is to obtain a relationship between a given etch condition and a given failure rate associated with a given feature; determining a post-etch image associated with the imaged substrate through execution of the etch model using the post-development image and etch conditions; determining, based on the post-etch image, whether a given feature satisfies a defect condition; and in response to not satisfying the defect condition, identifying further etch conditions associated with a lower failure rate relative to the given failure rate based on the relationship.

일 실시예에서, 피처의 결함 조건은: 피처의 누락; 피처와 연계된 변위 범위; 또는 피처의 임계 치수와 연계된 공차 범위 중 적어도 하나이다.In one embodiment, the defect condition of the feature is: missing feature; displacement range associated with the feature; or a tolerance range associated with the critical dimension of the feature.

리소그래피 공정에서, 기판 상의 레지스트에 프린트된 구조체들은 기능 칩을 만들기 위해 아래 층으로 에칭되어야 한다. 에칭 공정/단계는 에칭 후에 국부적 CD 균일성(LCDU)이 감소되도록 피처들의 CD의 국부적 변동들을 평활하게 하는 데 사용될 수 있다. 에칭 단계가 LCDU를 감소시키는 기본 메카니즘들 중 하나는 로딩 효과들에 의한 것이다.In a lithographic process, structures printed in resist on a substrate must be etched into an underlying layer to make a functional chip. The etching process/step may be used to smooth out local variations in the CD of features such that the local CD uniformity (LCDU) is reduced after etching. One of the primary mechanisms by which the etch step reduces LCDU is due to loading effects.

로딩 효과는 기판 상의 영역이 구조체들로 얼마나 채워져 있는지와 에칭 속도 사이의 관계이다. 일 실시예에서, 로딩 효과는 기판의 조밀한 영역(예를 들어, 기판 상의 다른 구역들에 비해 정의된 영역 내에 높은 백분율의 구조체들을 갖는 구역)에서, 에칭 속도가 더 적은 밀도 또는 상대적으로 더 비어 있는 영역들(예를 들어, 더 적은 영역이 구조체들로 덮임)보다 더 낮다는 것이다. 따라서, 홀 또는 그 이웃들이 (예를 들어, 국부적 변동들로 인해) 큰 ADI 홀들, 예를 들어 평균 홀들보다 1 nm 더 큰 홀들을 갖는 경우, 에칭은 느려질 것이다. 더 느린 에칭은 AEI 홀들이 평균 홀 AEI보다 1 nm 미만으로 더 크게 할 수 있다. 로딩 효과들의 물리적 원인은 에천트의 부족, 에칭 부산물에 의한 에칭의 억제, 또는 둘 모두이다.The loading effect is the relationship between how much an area on the substrate is filled with structures and the etch rate. In one embodiment, the loading effect is that in a dense region of the substrate (eg, a region having a high percentage of structures within a defined region compared to other regions on the substrate), the etch rate is less dense or relatively more empty. is lower than the areas where there is (eg, less area is covered with structures). Thus, if the hole or its neighbors have large ADI holes (eg, due to local variations), eg 1 nm larger than average holes, the etch will be slow. A slower etch can cause the AEI holes to be less than 1 nm larger than the average hole AEI. The physical cause of loading effects is a lack of etchant, inhibition of etching by etching byproducts, or both.

에칭 로딩과 관련된 3 가지 예시적인 파라미터들은: (ⅰ) 로딩 효과들의 범위 -즉, 피처들이 서로 영향을 미치는 거리(예를 들어, nm 단위), 예를 들어 40 내지 100 nm의 값이며, 일 실시예에서 범위는 아래의 에칭 속도 식의 수학식에서 반경 "R"로 표현됨- ; (ⅱ) 관련 영역에서 이웃들의 평균 CD의 변화 당 에칭 편향의 변화 -파라미터(ⅱ)의 값은 평균 패턴 밀도에 의존하며, 단위는 nm/nm일 수 있고, 본 발명에 따른 예시적인 값들은 0 내지 0.75 nm/nm일 수 있음- ; 및 (ⅲ) ADI에서의 이웃 홀들의 크기와 AEI에서의 에칭된 홀의 크기 사이의 상관 계수 -상관 계수의 예시적인 값들은 0 내지 0.2일 수 있음- 이다.Three exemplary parameters related to etch loading are: (i) the range of loading effects - that is, the distance at which features affect each other (eg in nm), eg a value of 40 to 100 nm, in one implementation In the example, the range is expressed by the radius "R" in the following etch rate equation - ; (ii) change in etch deflection per change in average CD of neighbors in the relevant region - The value of parameter (ii) depends on the average pattern density, the unit may be nm/nm, exemplary values according to the present invention are 0 to 0.75 nm/nm; and (iii) a correlation coefficient between the size of the neighboring holes in the ADI and the size of the etched hole in the AEI, exemplary values of the correlation coefficient may be 0 to 0.2.

일 실시예에서, 에칭 로딩은 패턴 밀도에 의존하고, 웨이퍼-스케일에서 웨이퍼의 일부 또는 서브 분해능에 이르는 다양한 길이 스케일들을 갖는다. 일 실시예에서, 패턴 밀도는 관심 구조체 또는 피처 주위의 주어진 영역에서 구조체들에 의해 차지되는 영역의 분율이다. 일 실시예에서, 로딩 효과는 40 내지 100 nm(예를 들어, 1 내지 2 피치)의 길이 스케일에 있을 수 있다. 하지만, 본 발명은 이러한 범위에 의해 제한되지 않는다. 일 실시예에서, 서브-분해능(예를 들어, 10 nm)에서 OPC-범위 구역(예를 들어, 대략 300 nm 내지 1 ㎛ 반경을 갖는 구역)에 이르는 로딩 효과는 특정 구조체에 대한 에칭 최적화 동안 최적화되어, 타겟-CD의 튜닝에 의한 레지스트에 대한 원하는 수율 및 패턴 전사 동안 원하는 수율을 보장할 수 있다. 기존 에칭 최적화 프로세스는 길고 지루한 절차이다. 예를 들어, 수동 최적화는 다이의 원하는 수율이 달성되도록 에칭 장치의 노브를 튜닝하는 것을 수반한다.In one embodiment, the etch loading is dependent on the pattern density and has various length scales from wafer-scale to sub-resolution or fractions of the wafer. In one embodiment, the pattern density is the fraction of the area occupied by structures in a given area around a structure or feature of interest. In one embodiment, the loading effect may be on a length scale of 40-100 nm (eg, 1-2 pitches). However, the present invention is not limited by this scope. In one embodiment, loading effects ranging from sub-resolution (eg, 10 nm) to OPC-range regions (eg, regions having a radius of approximately 300 nm to 1 μm) are optimized during etch optimization for a particular structure. Thus, it is possible to ensure a desired yield on the resist by tuning of the target-CD and a desired yield during pattern transfer. The traditional etch optimization process is a long and tedious procedure. For example, manual optimization involves tuning the knobs of the etching apparatus to achieve the desired yield of the die.

일 실시예에서, 로딩 효과는 일종의 포화이다. 로딩 효과(로딩 거동이라고도 함)는 에칭 사이클들 각각에 대해 상이할 수 있다. 특정 에칭 기술들은, 예를 들어 라인 에지 거칠기(LER) 또는 라인 폭 거칠기(LWR)를 감소시키거나, 국부적 CD 균일성을 개선하기 위해 로딩 효과들의 매우 작은 차이들 사이에서 순환한다. 스퍼터, 에칭 및 재증착 속도들의 균형을 맞추는 것 외에도 로딩 효과는 공간 성분 및 각도 성분을 모두 가져, 예를 들어 X 또는 Y 방위에서 다양한 CD/피치/듀티 사이클들의 피처들에 대해 우선적인 에칭들을 생성한다. 각도 성분은 전자기(EM)장, 가스 흐름 디자인, 또는 둘 모두에 의해 좌우된다. 일단 에칭 사이클이 로딩 효과에 기초하여 공간 주파수 및 방위에서 우선적인 재료를 소모하면, 사이클은 효과적으로 포화될 것이다. 이러한 포화는 분광계에 의해 검출될 수 있다.In one embodiment, the loading effect is a kind of saturation. The loading effect (also referred to as loading behavior) may be different for each of the etch cycles. Certain etching techniques cycle between very small differences in loading effects, for example to reduce line edge roughness (LER) or line width roughness (LWR), or to improve local CD uniformity. In addition to balancing sputter, etch and redeposition rates, the loading effect has both spatial and angular components, producing preferential etches for features of various CD/pitch/duty cycles, for example in X or Y orientation. do. The angular component depends on the electromagnetic (EM) field, the gas flow design, or both. Once the etch cycle consumes material preferential in spatial frequency and orientation based on loading effects, the cycle will effectively saturate. This saturation can be detected by a spectrometer.

일 실시예에서, 사이클 당 종점 검출을 위한 수단으로서 플라즈마에서 재료들의 구성성분을 결정하는 데 사용될 수 있는 온-보드 광학 분광계로부터의 데이터가 사용된다. 이는 다음 사이클을 트리거하는 데 사용될 수 있었다. 일 실시예에서, 분광계로부터의 데이터는 기판 상의 특정 위치/각도에서 에칭되는 재료의 두께를 결정할 수 있는 온-보드 레이저 간섭계로부터의 데이터에 의해 보충/대체될 수 있다.In one embodiment, data from an on-board optical spectrometer that can be used to determine the composition of materials in the plasma as a means for per cycle endpoint detection is used. This could be used to trigger the next cycle. In one embodiment, data from the spectrometer may be supplemented/replaced by data from an on-board laser interferometer that may determine the thickness of the material being etched at a particular location/angle on the substrate.

본 발명은 단거리 에칭 로딩 효과 또는 마이크로 로딩과 같은 에칭 특성의 정량화에 관한 것이다. 현재, 에칭 로딩은 테스트 구조체들을 분석하고 (OPC) 소프트웨어 제품군[예를 들어, 타키온(Tachyon), 시놉시스(Synopsis), 코벤터(Coventor) 등]에서 모델링함으로써 에칭 최적화 프로세스 전에 특징지어진다. 이를 위해, 가변 피치 및 CD를 갖는 피처들이 프린트 및 에칭되고, 에칭 속도는 관심 지점 주위의 정의된 구역(예를 들어, 특정 반경의 원) 내에서 열린 영역을 설명하는 실험식으로 피팅된다. 예를 들어, 에칭 속도(ER)에 대한 경험적 모델은 다음과 같이 정의될 수 있다:FIELD OF THE INVENTION The present invention relates to the quantification of etch properties such as short-range etch loading effects or micro-loading. Currently, etch loading is characterized prior to the etch optimization process by analyzing test structures and modeling in (OPC) software suites (eg, Tachyon, Synopsis, Coventor, etc.). To this end, features with variable pitch and CD are printed and etched, and the etch rate is fitted empirically to describe an open area within a defined area (eg, a circle of a certain radius) around the point of interest. For example, the empirical model for etch rate (ER) can be defined as:

Figure pct00008
Figure pct00008

앞선 ER 모델에서, ER은 에칭 공정과 연계된 에칭 속도이고, ERnom은 에칭 공정과 연계된 공칭 에칭 속도이며, τ는 패턴 밀도에 대한 민감도이고, OA(R)은 반경(R)을 갖는 원의 열린 영역이다. 파라미터들(R, τ 및 ERnom)은 모델의 피팅 파라미터(fit parameter)들이다. 고급 모델들에서는, 다수 반경들이 추가로 사용될 수 있거나, 적용되는 상이한 컨볼루션-필터들이 적용될 수 있거나, 또는 방향 의존성(directional dependency)이 통합될 수 있다.In the preceding ER model, ER is the etch rate associated with the etch process, ER nom is the nominal etch rate associated with the etch process, τ is the sensitivity to the pattern density, and OA(R) is a circle with radius R is an open area of The parameters R, τ and ER nom are the fit parameters of the model. In advanced models, multiple radii may additionally be used, different convolution-filters applied may be applied, or a directional dependency may be integrated.

일 실시예에서, 에칭 속도(ER)는 (예를 들어, 코벤터 소프트웨어를 사용하여) 에칭 편향(예를 들어, ADI CD와 AEI CD 사이의 차이)을 시뮬레이션하는 데 사용될 수 있다. 또한, 에칭 편향, 패턴 밀도에 대한 민감도, 및 열린 영역 사이의 관계가 모델링될 수 있다. ADI CD 및 AEI CD는 ADI 및 AEI에서의 피처들의 CD를 지칭한다.In one embodiment, the etch rate (ER) may be used (eg, using Coventer software) to simulate an etch deflection (eg, the difference between an ADI CD and an AEI CD). In addition, the relationship between etch bias, sensitivity to pattern density, and open areas can be modeled. ADI CD and AEI CD refer to the CD of features in ADI and AEI.

일 실시예에서, 패턴 전사 공정은 에칭 및 (재)증착의 조합을 포함할 수 있다. 예시적인 에칭 공정은 재료의 물리적 스퍼터링 및 화학적 에칭을 수반한다. 또한, 스퍼터링된 재료, 추가된 가스 구성성분, 또는 이들의 조합이 (재)증착을 보장한다. 이러한 공정에서, 설정된 시스 전압(sheath voltage)이 스퍼터링 공정의 이온-각(ion-angle) 및 플라즈마/스퍼터링-속도의 μ-파 전력 밀도에 영향을 미친다. 재료의 스퍼터-속도는 입사각, 이온-속도, 및 프로파일들의 변경(tweaking)을 허용하는 재료 조성에 의존한다. 예를 들어, 플루오르 가스 압력이 에칭 공정 동안 재증착을 결정한다.In one embodiment, the pattern transfer process may include a combination of etching and (re)deposition. An exemplary etching process involves physical sputtering and chemical etching of the material. Also, the sputtered material, the added gas constituents, or a combination thereof ensures (re)deposition. In this process, a set sheath voltage affects the ion-angle of the sputtering process and the μ-wave power density of the plasma/sputtering-rate. The sputter-rate of the material depends on the angle of incidence, the ion-velocity, and the material composition allowing for the tweaking of the profiles. For example, fluorine gas pressure determines redeposition during the etching process.

에칭 최적화 프로세스에서, 원하는 에칭 로딩 및 재증착은 초기에 시뮬레이션되는 예상 의존성들에 기초하여 튜닝되지 않고, 주로 앞선 효과들 중 일부에 영향을 미치는 많은 상이한 에칭 파라미터들에 대한 물리적 직관 및 실험적 테스트에 의해 튜닝된다.In the etch optimization process, the desired etch loading and redeposition are not tuned based on expected dependencies initially simulated, but mainly by physical intuition and experimental testing of many different etch parameters affecting some of the preceding effects. is tuned

하지만, 마이크로-로딩 효과를 추산하기 위한 기존 접근법들에 대해 몇 가지 단점이 존재한다. 예를 들어, 측정들이 칩의 기능에 필수적인 제품의 구조체들이 아니라 테스트 구조체들에 대한 것이다. 본 발명에 따르면, 마이크로-로딩 효과들은 패턴 밀도에 크게 의존하며, 이에 따라 관심 구조체에 대한 이러한 로딩 효과의 특성화가 더 적절하다. 또 다른 예시적인 단점은 단거리 로딩 효과들이 포커스-노광 매트릭스(FEM)의 조건에 의존한다는 것이다. 이러한 의존성은 아직 기존 방법들에 의해 정량화될 수 없다.However, there are some drawbacks to existing approaches for estimating the micro-loading effect. For example, the measurements are on test structures rather than on product structures that are essential to the functioning of the chip. According to the present invention, the micro-loading effects are highly dependent on the pattern density, and thus the characterization of this loading effect on the structure of interest is more appropriate. Another exemplary disadvantage is that the short-range loading effects depend on the condition of the focus-exposure matrix (FEM). This dependence cannot yet be quantified by existing methods.

도 9는 에칭 공정과 연계된 에칭 특성을 결정하는 방법의 흐름도이다. 일 실시예에서, 에칭 특성은 이미징된 기판을 에칭하는 균일성과 연계된다. 예를 들어, 에칭 특성은 기판이 에지에서 더 빠르게 에칭되고 중심에서 더 느리게 에칭됨을 나타낸다. 또 다른 예시에서, 에칭 특성은 마이크로-로딩 효과가 국부적 패턴 밀도에 의존하는 에칭 속도를 지칭함을 나타낸다. 일 실시예에서, 에칭 속도는 단위 시간당 에칭된 깊이, 예를 들어 분당 100 내지 1000 옹스트롬을 지칭한다. 에칭 속도는 (코벤터 소프트웨어를 사용한 시뮬레이션을 통해) 에칭 편향(예를 들어, ADI CD와 AEI CD 간의 차이)을 결정하는 데 더 사용될 수 있다. 예를 들어, 에칭 로딩은 고밀도 영역에 위치된 주어진 피처와 동일한 칩 상의 저밀도(격리된) 영역의 동일한 피처와 연계된 에칭 속도 간의 차이를 지칭한다. 반응물의 국부적 고갈과 연계되는 예시적인 이유이다. 로딩 효과와 같은 에칭 특성을 보상하기 위해, 압력, 확산 속도, 에천트 플럭스 등이 조정될 수 있다. 도 9의 방법은 아래에서 더 상세히 논의된다.9 is a flow diagram of a method for determining an etch characteristic associated with an etch process. In one embodiment, the etch characteristics are associated with the uniformity of etching the imaged substrate. For example, the etch characteristics indicate that the substrate etches faster at the edges and slower at the center. In another example, the etch characteristics indicate that the micro-loading effect refers to an etch rate that depends on the local pattern density. In one embodiment, the etch rate refers to the etched depth per unit time, eg, 100 to 1000 angstroms per minute. The etch rate can further be used to determine the etch bias (eg, the difference between ADI CD and AEI CD) (via simulation using Coventer software). For example, etch loading refers to the difference between the etch rate associated with a given feature located in a high-density region and the same feature in a low-density (isolated) region on the same chip. This is an exemplary reason associated with the local depletion of reactants. To compensate for etch characteristics such as loading effects, pressure, diffusion rate, etchant flux, etc. can be adjusted. The method of FIG. 9 is discussed in more detail below.

일 예시에서, 측정들(예를 들어, AEI CD)은 에칭 공정의 중간에(반복적인 에칭 절차가 있는 경우), 또는 에칭 전에 적용될 수 있는 단계인 SIS(Sequential Infiltration Synthesis) 후에 수행될 수 있다.In one example, measurements (eg, AEI CD) may be performed during the etching process (if there is an iterative etching procedure), or after Sequential Infiltration Synthesis (SIS), a step that may be applied prior to etching.

현상 후 이미지 및 에칭 후 이미지는 패터닝 공정의 상이한 공정들의 예시들로서 사용된다는 것을 유의한다. 하지만, 본 발명은 현상 후 및 에칭 후에 제한되지 않는다. 당업자라면, 본 명세서의 방법들을 패터닝 공정과 관련된 다른 공정들에 적용할 수 있다. 예를 들어, 제 1 층(예를 들어, 레지스트 층)과 제 1 층의 처리 후에 상이한 공정(예를 들어, 에칭)이 수행될 수 있는 후속 층 사이에 상관관계가 확립될 수 있다. 본 명세서에 설명된 원리는 패터닝되는 기판의 층들(예를 들어, 제 1 레지스트, 제 2 레지스트 층 등)의 여하한의 에칭 및 조합과 작용한다.Note that the image after development and the image after etching are used as examples of different processes of the patterning process. However, the present invention is not limited to after development and after etching. Those skilled in the art may apply the methods of the present specification to other processes related to the patterning process. For example, a correlation may be established between a first layer (eg, a resist layer) and a subsequent layer in which a different process (eg, etching) may be performed after treatment of the first layer. The principles described herein work with any etching and combination of layers (eg, first resist, second resist layer, etc.) of the substrate being patterned.

절차 P1001은 메트롤로지 툴을 통해 (ⅰ) 기판의 주어진 위치에서의 이미징된 패턴의 현상 후 이미지(1001)(ADI) -이미징된 패턴은 관심 피처 및 관심 피처에 인접한 이웃 피처들을 포함함- , 및 (ⅱ) 기판의 주어진 위치에서의 이미징된 패턴의 에칭 후 이미지(1002)(AEI) -AEI는 ADI의 관심 피처에 대응하는 에칭된 피처를 포함함- 를 얻는 단계를 수반한다. 예를 들어, 이미징된 패턴은 기판의 중심에서 접촉홀들의 어레이로 이루어질 수 있다. 접촉홀들의 어레이 내에서, 관심 피처는 특정 좌표(예를 들어, GDS 좌표)의 접촉홀일 수 있다.Procedure P1001 involves (i) post-development image 1001 (ADI) of the imaged pattern at a given location on the substrate via a metrology tool, the imaged pattern including the feature of interest and neighboring features adjacent to the feature of interest; and (ii) obtaining a post-etched image 1002 (AEI) of the imaged pattern at a given location on the substrate, the AEI comprising the etched feature corresponding to the feature of interest of the ADI. For example, the imaged pattern may consist of an array of contact holes in the center of the substrate. Within the array of contact holes, the feature of interest may be a contact hole at a specific coordinate (eg, GDS coordinate).

일 실시예에서, 관심 피처는 접촉홀; 라인; 라인 단부; 또는 중대한 피처(critical feature)들 또는 그 부분 중 적어도 하나이다. 일 실시예에서, 이웃 피처들은 관심 피처에 대해 정의된 방위의 복수의 접촉홀들(예를 들어, 도 10 참조); 또는 정의된 피치를 갖는 복수의 라인들 중 적어도 하나이다. 일 예시에서, 이웃은 관심있는 라인 세그먼트로부터 떨어져 있는 동일한 라인의 라인 세그먼트일 수 있다.In one embodiment, the feature of interest is a contact hole; line; line end; or at least one of critical features or portions thereof. In one embodiment, the neighboring features include a plurality of contact holes in a defined orientation with respect to the feature of interest (see, eg, FIG. 10 ); or at least one of a plurality of lines having a defined pitch. In one example, the neighbor may be a line segment of the same line that is away from the line segment of interest.

일부 실시예에서, 하나의 이미지에서의 관심 피처의 다수 인스턴스들(또는 다수 상이한 피처들) 및 이들의 이웃들이 관심 피처와 대응하는 관심 에칭 피처 사이의 상관관계를 확립하기 위해 사용될 수 있다. 일부 실시예에서, (예를 들어, 중심, 에지, 또는 기판 상의 다른 반경방향 거리와 같은 상이한 위치들에서 얻어지는) 다수 이미지들에서의 하나의 관심 피처가 상관 계수를 확립하기 위해 사용될 수 있다. 또 다른 예시에서, 리소그래피 장치의 관점에서, 서로로부터 조금 떨어져 있는 다수 이미지들이 얻어질 수 있다. 예를 들어, 다수 이미지들은 적어도 동일한 다이 내에 있다. CD는 상이한 웨이퍼 위치들에서 약간 상이할 수 있으며, 이는 상관 계수를 좌우할 것이다. 이미지들 사이의 예시적인 거리는 1 um일 수 있다.In some embodiments, multiple instances (or multiple different features) and their neighbors of a feature of interest in one image may be used to establish a correlation between a feature of interest and a corresponding etch feature of interest. In some embodiments, one feature of interest in multiple images (obtained at different locations, such as, for example, at different locations such as center, edge, or other radial distance on the substrate) may be used to establish the correlation coefficient. In another example, from the point of view of the lithographic apparatus, multiple images may be obtained that are slightly distant from each other. For example, multiple images are at least in the same die. CD may be slightly different at different wafer locations, which will dictate the correlation coefficient. An exemplary distance between the images may be 1 um.

도 10은 관심 피처(1040) 및 이웃 피처들(1050a 내지 1050f)을 포함하는 예시적인 ADI 및 단지 에칭된, 관심 피처(1040)에 대응하는 에칭된 관심 피처(1060)를 포함하는 AEI 이미지를 나타낸다. 다시 말해서, 1040 및 1060은 둘 다 패터닝 공정에서 (예를 들어, 이미지 후 및 에칭 후) 상이한 지점의 동일한 접촉홀들에 있다. 일 실시예에서, 이웃 피처들(1050a 내지 1050f)은 관심 피처(1040)에 인접한다. 이웃 피처들(1050a 내지 1050f)은 관심 피처(1040)로부터 특정 거리에 위치된다. 일 실시예에서, 특정 거리는 또한 에칭 특성들에 영향을 미친다. 예를 들어, 관심 피처에 이웃 피처들이 가까울수록 에칭 로딩 효과가 더 높다.FIG. 10 shows an exemplary ADI image including a feature of interest 1040 and neighboring features 1050a - 1050f and an AEI image including an etched feature of interest 1060 corresponding to the feature of interest 1040 , only etched. . In other words, 1040 and 1060 are both in the same contact holes at different points in the patterning process (eg, after imaging and after etching). In one embodiment, neighboring features 1050a - 1050f are adjacent to the feature of interest 1040 . Neighboring features 1050a - 1050f are located at a particular distance from the feature of interest 1040 . In one embodiment, the specific distance also affects the etch characteristics. For example, the closer the neighboring features to the feature of interest, the higher the etch loading effect.

일 실시예에서, 관심 피처 주위의 이웃 피처들(1050a 내지 1050f)에 의해 차지되는 영역의 분율이 패턴 밀도를 정의한다. 이웃 피처들로 덮인 표면적이 클수록 패턴 밀도가 더 크다. 앞서 언급된 바와 같이, 패턴 밀도는 에칭 공정의 에칭 특성(예를 들어, 에칭 로딩 효과)에 영향을 미친다.In one embodiment, the fraction of the area occupied by neighboring features 1050a - 1050f around the feature of interest defines the pattern density. The greater the surface area covered by neighboring features, the greater the pattern density. As previously mentioned, the pattern density affects the etch characteristics of the etch process (eg, etch loading effect).

절차 P1003은 ADI 및 AEI를 사용하여, ADI에서의 관심 피처와 연계된 에칭된 피처와 이웃 피처들 간의 상관관계(1005)를 결정하는 단계를 수반하며, 상관관계는 에칭 공정과 연계된 에칭 특성을 특징짓는다.Procedure P1003 involves determining, using ADI and AEI, a correlation 1005 between an etched feature associated with a feature of interest in ADI and neighboring features, wherein the correlation determines an etch characteristic associated with the etching process. characterizes

일 실시예에서, 상관관계를 결정하는 단계는 하나의 관심 피처를 갖는 다수 ADI 이미지들을 사용하는 단계를 수반한다. 따라서, 상관관계의 결정은 (ⅰ) 기판의 복수의 주어진 위치들에서의 복수의 ADI -각각의 ADI는 동일한 관심 피처(예를 들어, 약 21 nm의 CD를 갖는 접촉홀)를 가짐- , 및 (ⅱ) 복수의 주어진 위치들에서의 복수의 AEI -각각의 AEI는 관심 피처에 대응하는 에칭된 관심 피처(예를 들어, CD 20 nm의 에칭된 접촉홀)를 가짐- 를 얻는 것을 수반할 수 있다. 일 실시예에서, ADI CD는 관심 피처의 AEI CD보다 더 크며, 예를 들어 ADI CD는 21 nm일 수 있고 AEI CD는 20 nm일 수 있다. 그 후, 상관관계는 각각의 ADI에서의 관심 피처의 이웃 피처들과 각각의 AEI에서의 에칭된 관심 피처 사이에서 확립될 수 있다. CD를 사용한 상관관계의 예시적인 함수가 아래에서 설명되지만, 관심 피처와 관련된 다른 물리적 특성들(예를 들어, 정량화가능한 측정들)을 사용하여 유사한 함수가 확립될 수 있다.In one embodiment, determining the correlation involves using multiple ADI images with one feature of interest. Thus, the determination of the correlation determines (i) a plurality of ADIs at a plurality of given locations on the substrate, each ADI having the same feature of interest (eg, a contact hole with a CD of about 21 nm), and (ii) may involve obtaining a plurality of AEIs at a plurality of given locations, each AEI having an etched feature of interest corresponding to the feature of interest (eg, an etched contact hole of CD 20 nm). there is. In one embodiment, the ADI CD is larger than the AEI CD of the feature of interest, for example the ADI CD may be 21 nm and the AEI CD may be 20 nm. A correlation may then be established between neighboring features of the feature of interest in each ADI and the etched feature of interest in each AEI. Although an exemplary function of correlation using CD is described below, a similar function can be established using other physical properties associated with the feature of interest (eg, quantifiable measurements).

일 실시예에서, 상관관계는 관심 피처에 인접한 이웃 피처들의 평균 패턴 밀도의 함수이다. 일 실시예에서, ADI에서의 이웃 피처들과 에칭된 피처 사이의 상관관계는: 관심 피처 또는 이웃 피처들의 기하학적 형상; 관심 피처와 연계된 편향 또는 어시스트 피처들의 기하학적 형상; 관심 피처와 이웃 피처들 사이의 거리; 라인 피처를 따른 거리; 적어도 하나의 피처의 임계 치수; 관심 피처, 이웃 피처들, 및 에칭된 관심 피처와 연계된 기판 상의 좌표들; 관심 피처 주위의 어시스트 피처들 또는 어시스트 피처들의 부족(예를 들어, 관심 피처 주위는 관심 피처를 포함하는 피처들의 어레이의 단부를 지칭함); 또는 관심 피처와 연계된 예상 위치로부터의 에지 위치의 무작위 변동 중 적어도 하나에 의존한다. 일 실시예에서, 에지의 예상 위치(예를 들어, 피처 윤곽)는 (예를 들어, 디자인 레이아웃에서의) GDS 위치 또는 유사한 피처들의 평균을 지칭한다.In one embodiment, the correlation is a function of the average pattern density of neighboring features adjacent to the feature of interest. In one embodiment, the correlation between the neighboring features and the etched feature in ADI is: the feature of interest or the geometry of the neighboring features; the geometry of the bias or assist features associated with the feature of interest; distance between the feature of interest and neighboring features; distance along a line feature; a critical dimension of at least one feature; coordinates on the substrate associated with the feature of interest, neighboring features, and the etched feature of interest; assist features or lack of assist features around the feature of interest (eg, around the feature of interest refers to the end of the array of features that includes the feature of interest); or a random variation of the edge position from the predicted position associated with the feature of interest. In one embodiment, the predicted position of the edge (eg, feature outline) refers to the GDS position (eg, in the design layout) or average of similar features.

일 실시예에서, 상관관계는 스캐너의 도즈 및 포커스, 에칭 온도, 플라즈마 가스 파라미터들, 에천트 조성, 전자기장, 플라즈마 전위, 유도성 또는 용량성 에칭, 온도, 이온 에너지 분포, 이온 각도 분포, 스퍼터링 및 재증착 속도와 연계된 파라미터들과 같은 패터닝 공정 파라미터들에 기초하여 간접적으로 연산될 수 있다. 예를 들어, 상관관계의 간접적인 결정은 앞서 언급된 패터닝 공정 파라미터들 중 1 이상을 조정함으로써 패터닝 공정을 튜닝 또는 시뮬레이션하는 것을 수반한다.In one embodiment, the correlation is the scanner's dose and focus, etch temperature, plasma gas parameters, etchant composition, electromagnetic field, plasma potential, inductive or capacitive etching, temperature, ion energy distribution, ion angle distribution, sputtering and It can be calculated indirectly based on patterning process parameters, such as parameters associated with redeposition rate. For example, indirect determination of the correlation involves tuning or simulating the patterning process by adjusting one or more of the aforementioned patterning process parameters.

일 실시예에서, 피처의 기하학적 형상은 홀 또는 라인일 수 있다. 접촉홀과 연계된 상관 계수는 라인과 상이할 것이다. 예를 들어, 관심 피처가 라인으로 둘러싸인 경우, 로딩 효과는 라인의 길이를 따라 감소할 수 있다. 또한, L형 피처가 라인과 비교하여 상이한 상관관계를 가질 수 있는데, 이는 L-형상이 코너를 가져 라인과 상이하게 이웃 피처의 영향을 받기 때문이다. 일 실시예에서, 상관관계는 또한 이웃 피처들의 임계 치수에 의존한다. 예를 들어, 이웃 피처들의 임계 치수가 클수록 로딩 효과가 더 크다(도 11a 참조).In one embodiment, the geometry of the feature may be a hole or a line. The correlation coefficient associated with the contact hole will be different from the line. For example, if the feature of interest is surrounded by a line, the loading effect may decrease along the length of the line. Also, L-shape features can have different correlations compared to lines, because L-shapes have corners and are influenced by neighboring features differently than lines. In one embodiment, the correlation also depends on the critical dimension of the neighboring features. For example, the larger the critical dimension of neighboring features, the greater the loading effect (see FIG. 11A ).

일 실시예에서, 상관관계는 다음 수학식을 사용하여 연산된다:In one embodiment, the correlation is calculated using the following equation:

Figure pct00009
Figure pct00009

앞선 수학식에서,

Figure pct00010
은 상관관계들의 벡터이며, 여기서 CDAEI는 관심 피처의 AEI CD이고; CDADI i 는 i번째 이웃의 ADI CD이며, r는 상관 계수이고, Q i,j = r CDADIi,CDADIj 는 상관관계 매트릭스이다. 앞선 수학식은 일 예시이며, CD에 기초하여 상관관계를 제한하지 않는다. 앞서 언급된 바와 같이, 상관관계는 관심 피처 및 이웃 피처들과 연계된 다른 물리적 특성들(예를 들어, 앞서 언급된 바와 같은 기하학적 형상, 거리, 어시스트 피처들 등)에 기초하여 연산될 수 있다.In the previous formula,
Figure pct00010
is a vector of correlations, where CDAEI is the AEI CD of the feature of interest; CDADI i is the ADI CD of the i-th neighbor, r is the correlation coefficient, and Q i,j = r CDADIi,CDADIj is the correlation matrix. The above equation is an example and does not limit the correlation based on the CD. As noted above, the correlation may be computed based on the feature of interest and other physical properties associated with neighboring features (eg, geometry, distance, assist features, etc. as mentioned above).

예시적인 실험에서, 도 10을 참조하면, 메트롤로지 툴(예를 들어, SEM)이 포커스-노광 매트릭스(FEM)에 따라 7 가지 조건들에서 노광된 105 개의 접촉홀들을 측정하였다. 접촉홀들은 육각형 그리드에 있고, 이에 따라 각각의 접촉홀(예를 들어, 1040)은 6 개의 이웃들(예를 들어, 1050a 내지 1050f)을 가졌다. 그 후, 노광된 기판을 에칭하기 위해 에칭 레시피(예를 들어, IMEC TITAN VIA 에칭)가 사용되었다. 또한, 에칭 전후의 접촉홀들의 CD 값들은 예를 들어 추가 사용을 위해 데이터를 정리하도록 구성된 MATLAB 스크립트를 사용하여 결정되었다. ADI 및 AEI의 홀의 CD 사이의 단순한 선형 관계를 가정하면, ADI와 AEI 사이의 상관관계가 확립될 수 있다. 예를 들어, 접촉홀(1040)의 ADI CD에 의해 설명되는 AEI CD의 분산의 부분은 단순히 아래에 주어진 상관 계수의 제곱(R 2 )이다:In an exemplary experiment, referring to FIG. 10 , a metrology tool (eg, SEM) measured 10 5 contact holes exposed under 7 conditions according to a focus-exposure matrix (FEM). The contact holes are in a hexagonal grid, such that each contact hole (eg, 1040) has 6 neighbors (eg, 1050a - 1050f). An etch recipe (eg, IMEC TITAN VIA etch) was then used to etch the exposed substrate. In addition, the CD values of the contact holes before and after etching were determined using, for example, a MATLAB script configured to clean up the data for further use. Assuming a simple linear relationship between ADI and CD of the hole of AEI, a correlation between ADI and AEI can be established. For example, the portion of the variance of the AEI CD described by the ADI CD of the contact hole 1040 is simply the square of the correlation coefficient R 2 given below:

Figure pct00011
Figure pct00011

이웃 접촉홀들(1050a 내지 1050f)의 ADI CD에 의해 설명되는 AEI CD의 분산의 부분에 대해서는, 상관관계들의 벡터가 사용된다. 상관관계

Figure pct00012
의 예시적인 벡터는 다음과 같이 주어진다:For the portion of the variance of the AEI CD described by the ADI CD of the neighboring contact holes 1050a to 1050f, a vector of correlations is used. correlation
Figure pct00012
An exemplary vector of is given by:

Figure pct00013
Figure pct00013

그때, R 2 neighbors 는 다음을 사용하여 연산될 수 있다:Then, R 2 neighbors can be computed using:

Figure pct00014
Figure pct00014

예시적인 상관관계가 도 11a 및 도 11b에 예시된다. FEM의 7 가지 조건들에 대해, (도 11a의) 상관관계 플롯이 홀들의 평균 CD에 대한

Figure pct00015
Figure pct00016
를 나타낸다. y-축은 관심 피처 자체의 ADI CD 또는 그 이웃들의 ADI CD에 의해 설명되는 AEI CD의 변동의 분율을 나타낸다. y-축은 무차원량, 또는 100을 곱한 경우 백분율일 수 있다. 상관관계 플롯은, 단거리 에칭 로딩 효과가 가장 큰 CD에 대해 가장 강하고 상대적으로 더 작은 CD에 대해 실질적으로 더 낮다는 것을 나타낸다. 단거리는, 예를 들어 SEM의 FOV 이내일 수 있다. 따라서, 단거리 에칭 로딩은 패턴 밀도에 의존한다. 또한, 도 11b는 에칭 로딩 효과가 FEM 조건들에도 의존함을 나타내는 음의 상관관계를 나타낸다.Exemplary correlations are illustrated in FIGS. 11A and 11B . For the 7 conditions of FEM, the correlation plot (in Fig. 11a) shows the average CD of holes
Figure pct00015
and
Figure pct00016
indicates The y-axis represents the fraction of variance in the AEI CD explained by the ADI CD of the feature of interest itself or the ADI CDs of its neighbors. The y-axis can be a dimensionless quantity, or a percentage when multiplied by 100. The correlation plot shows that the short-range etch loading effect is strongest for the largest CD and substantially lower for the relatively smaller CD. The short range may be, for example, within the FOV of the SEM. Thus, the short-range etch loading is dependent on the pattern density. 11b also shows a negative correlation indicating that the etch loading effect also depends on the FEM conditions.

도 11b의 현재 예시에서, AEI CD(Y 축)는 도 11a에서 가장 큰 평균 CD를 갖는 조건에 대한 이웃들의 ADI CD의 가중 평균(X 축)에 대해 플롯 구성된다. 회색 구역(1103)으로 표시된 CD의 변동들은 패터닝 공정의 무작위 변동들로 인한 것이며, 라인(1105)은 관심 피처의 CD의 이동 평균을 나타낸다. 라인(1105)은 이웃들의 ADI CD와 AEI CD 사이의 음의 상관관계를 나타낸다. 음의 상관관계는 에칭된 피처와 ADI의 이웃 피처들 간의 상관관계가 상대적으로 큰 평균 CD에서 프린트하는 나타낸 FEM 조건에 대해 상대적으로 높다는 것을 나타낸다. 명확히 하기 위해, 상대적으로 큰 평균 CD는 이미징된 패턴 내에서 무작위로 더 큰 CD가 아니라, (예를 들어, 사용되는 스캐너 도즈가 높거나, 마스크 디자인이 CD가 크도록 이루어진 경우) 그 조건 또는 패턴의 평균 CD가 크기 때문에 큰 패턴 밀도를 갖는 조건들 또는 패턴들과 연계된 CD이다.In the current example of FIG. 11B , the AEI CD (Y axis) is plotted against the weighted average (X axis) of the ADI CDs of neighbors for the condition with the largest average CD in FIG. 11A . The variations in CD, indicated by gray area 1103 , are due to random variations in the patterning process, and line 1105 represents the moving average of the CD of the feature of interest. Line 1105 indicates the negative correlation between the ADI CD and AEI CD of the neighbors. The negative correlation indicates that the correlation between the etched feature and neighboring features of ADI is relatively high for the indicated FEM condition printing at a relatively large average CD. For the sake of clarity, a relatively large average CD is not a randomly larger CD within the imaged pattern (e.g., if the scanner dose used is high, or the mask design is such that the CD is large), but rather its condition or pattern. CD associated with conditions or patterns with large pattern density because the average CD of is large.

일 실시예에서, 상기 방법은 상관관계에 기초하여, 및 기판의 중심과 기판의 에지 사이의 주어진 반경방향 거리에서, 상관관계가 타겟 범위 내에 유지되도록 이미징된 패턴과 연계된 에칭 조건들을 결정하는 단계를 수반한다. 일 실시예에서, 에칭 조건들은: 에칭되는 기판의 위치 -위치는 기판의 중심과 기판의 에지 사이의 반경방향 거리(예를 들어, 기판의 중심 또는 에지 또는 기판 상의 관심 구역에 대한 다른 거리)임- ; 에칭 사이클; 에칭 챔버; 에칭 사이클 및 증착 단계의 순서; 또는 에칭 챔버와 연계된 튜닝 파라미터들 -튜닝은 튜닝 파라미터의 변화에 대한 상관관계의 민감도에 기초함- 중 적어도 하나에 의존한다.In one embodiment, the method comprises determining, based on the correlation, etching conditions associated with the imaged pattern such that, at a given radial distance between a center of the substrate and an edge of the substrate, the correlation remains within a target range. is accompanied by In one embodiment, the etching conditions are: position of the substrate being etched - the position is the radial distance between the center of the substrate and the edge of the substrate (eg, the center or edge of the substrate or other distance to the region of interest on the substrate) - ; etching cycle; etching chamber; sequence of etching cycles and deposition steps; or tuning parameters associated with the etch chamber, wherein the tuning is based on the sensitivity of the correlation to changes in the tuning parameter.

일 실시예에서, 상관관계에 기초하여, 상관관계가 타겟 범위 내에 있도록 기판의 중심에 위치된 이미징된 패턴에 대한 에칭 조건들을 결정한다. 일 실시예에서, 상기 방법은 상관관계에 기초하여, 상관관계가 타겟 범위 내에 유지되도록 기판의 에지에 위치된 이미징된 패턴에 대한 에칭 조건들을 결정하는 단계를 수반한다. 일반적으로, 패턴 밀도가 동일하더라도, 기판의 두께 프로파일, 에칭 장치와 연계된 드리프트 등으로 인해 기판 상의 상이한 위치들에서 상이한 에칭 조건들이 필요할 수 있다.In one embodiment, based on the correlation, etch conditions are determined for the imaged pattern centered on the substrate such that the correlation is within a target range. In one embodiment, the method involves determining, based on the correlation, etching conditions for the imaged pattern positioned at the edge of the substrate such that the correlation remains within a target range. In general, even if the pattern density is the same, different etching conditions may be required at different locations on the substrate due to the thickness profile of the substrate, drift associated with the etching apparatus, and the like.

일 실시예에서, 에칭 조건들은 에천트 조성, 플라즈마 가스 파라미터들, 에칭 속도, 전자기장, 플라즈마 전위, 유도성 또는 용량성 에칭 타입, 기판의 온도, 이온 에너지 분포, 이온 각도 분포, 스퍼터링 및 재증착 속도와 연계된 파라미터들, 포화 효과에 기초한 에칭 사이클 파라미터, 또는 이들의 조합을 포함한다. 일 실시예에서, 포화 효과는 사이클 당 종점 검출을 위한 수단으로서 플라즈마에서 재료들의 구성성분을 결정하는 데 사용될 수 있는 로딩 효과이다. 이는 다음 사이클을 트리거하는 데 사용될 수 있었다.In one embodiment, the etch conditions include etchant composition, plasma gas parameters, etch rate, electromagnetic field, plasma potential, inductive or capacitive etch type, temperature of the substrate, ion energy distribution, ion angle distribution, sputtering and redeposition rate. parameters associated with , an etch cycle parameter based on saturation effect, or a combination thereof. In one embodiment, the saturation effect is a loading effect that can be used to determine the composition of materials in the plasma as a means for endpoint detection per cycle. This could be used to trigger the next cycle.

일 실시예에서, 에칭 조건들은 이상적인 에칭 조건과 비교하여 조정될 수 있다. 예를 들어, 이상적인 에칭 조건은 (예를 들어, 에천트 조성, 플라즈마 가스 파라미터들, 에칭 속도 등과 같은 파라미터들을 조정하는) 기존 에칭 장치 또는 에칭 조건들을 결정하는 데 사용되는 디자인 툴에서 변경될 수 있으며, 디자인 툴은 상관관계가 원하는 타겟 범위 내에 유지되도록 전자기장, 용량성 또는 유도성 타입 에칭 등과 같은 파라미터들을 조정하게 한다.In one embodiment, the etching conditions may be adjusted compared to ideal etching conditions. For example, ideal etch conditions can be changed in an existing etch apparatus (eg, adjusting parameters such as etchant composition, plasma gas parameters, etch rate, etc.) or a design tool used to determine etch conditions and , the design tool allows to adjust parameters such as electromagnetic fields, capacitive or inductive type etching, etc. so that the correlation remains within the desired target range.

일 실시예에서, 상기 방법은 AEI CD와 ADI CD 사이의 상관관계에 기초하여, 상관관계(예를 들어, 피처로서 라인을 사용하여 확립된 상관관계)의 전력 스펙트럼 밀도를 생성하는 단계를 더 포함한다. 전력 스펙트럼 밀도는 에칭 특성 효과(예를 들어, 로딩 효과)의 크기 및 로딩 효과의 범위를 나타낸다. 일 실시예에서, 전력 스펙트럼 밀도는 (예를 들어, 라인 피처의 길이를 따라) 공간 도메인에서 연산될 수 있다. 예를 들어, 전력 스펙트럼 밀도는 공간 도메인에서 상관관계의 푸리에 변환을 취함으로써 연산되며, 여기서 상관관계는 두 점들 사이의 거리의 연속 함수로서 있다. 라인에 대한 상관관계의 예시적인 전력 밀도는, 상관관계가 라인 세그먼트들 사이의 작은 간격에서 상대적으로 더 높고 라인 세그먼트들 사이의 더 큰 간격에 대해 점진적으로 감소함을 나타낼 수 있다. 또한, 전력 스펙트럼 밀도에 기초하여, 적절한 에칭 조건들이 결정될 수 있다. 예를 들어, 라인의 ADI와 라인의 AEI 사이의 상관관계가 에칭 공정 동안 타겟 범위 내에 유지되도록 라인을 따른 로딩 효과의 크기에 기초하여 에칭 레시피가 정의될 수 있다.In one embodiment, the method further comprises generating, based on the correlation between the AEI CD and the ADI CD, a power spectral density of the correlation (eg, a correlation established using the line as a feature). do. The power spectral density indicates the magnitude of the etch characteristic effect (eg, the loading effect) and the extent of the loading effect. In one embodiment, the power spectral density may be computed in the spatial domain (eg, along the length of a line feature). For example, the power spectral density is computed by taking the Fourier transform of the correlation in the spatial domain, where the correlation is as a continuous function of the distance between two points. Exemplary power densities of correlations for lines may indicate that correlations are relatively higher for small spacings between line segments and progressively decrease for larger spacings between line segments. Also, based on the power spectral density, appropriate etching conditions can be determined. For example, the etch recipe may be defined based on the magnitude of the loading effect along the line such that the correlation between the ADI of the line and the AEI of the line is maintained within a target range during the etch process.

일 실시예에서, 상관관계는 예를 들어 상관관계가 타겟 범위에 유지되도록 에칭 레시피 및 에칭 조건들(예를 들어, 튜닝 파라미터들)을 제어함으로써 패터닝 공정의 성능을 모니터링 및 제어하는 데 사용될 수 있다.In one embodiment, the correlation may be used to monitor and control the performance of the patterning process, for example by controlling the etch recipe and etch conditions (eg, tuning parameters) such that the correlation is maintained in a target range. .

예를 들어, 에칭 공정 챔버들이 전체 기판에 걸친 임계 치수 균일성 또는 기판에 걸친 상이한 반경에서의 상이한 피처들 간의 CD 차이에 기초하여 모니터링된다.For example, etch process chambers are monitored based on critical dimension uniformity across the entire substrate or CD difference between different features at different radii across the substrate.

일 예시에서, 제어는 선택가능한 에칭 노브들, 예를 들어 가스-압력, 전력, DC, 온도 등뿐만 아니라 상관관계도 기초하여 CD에 대한 영향을 결정하는 것을 수반한다. 그 후, 원하는 성능(예를 들어, 상관관계가 타겟 범위에 유지되는지 여부)이 모니터링될 수 있다. 이점은 최종 수율 테스트에서 기판의 더 많은 다이들이 사양 내에 있을 것이라는 것이다. 또한, 상관관계 기반 모니터링의 이점은, 예를 들어 수백만 개의 피처들의 철저한 검사 또는 e-테스트 수단(vehicles)에서 다시 최종 수율을 체크할 필요가 없을 수 있다는 것이다.In one example, control involves determining an effect on CD based on selectable etch knobs, eg gas-pressure, power, DC, temperature, etc. as well as correlation. Thereafter, the desired performance (eg, whether a correlation is maintained within a target range) may be monitored. The advantage is that in the final yield test, more dies on the board will be within specification. Also, an advantage of correlation-based monitoring is that it may not be necessary to check the final yield again in e-test vehicles or exhaustive inspection of, for example, millions of features.

도 12는 에칭된 관심 피처와 ADI의 이웃 피처 사이의 (앞서 논의된) 상관관계에 기초하여 에칭 공정과 연계된 에칭 조건 또는 복수의 에칭 조건들을 결정하는 방법(1200)의 흐름도이다. 상기 방법(1200)은 상관관계의 타겟 범위에 기초하여 에칭 공정을 모니터링 및 제어하는 데 사용된다. 일 실시예에서, 상관관계 타겟 범위(예를 들어, 0 내지 0.4)가 정의될 수 있고, 타겟 범위가 에칭 공정 동안 또는 이후에 충족되도록 에칭 조건들이 정의될 수 있다. 타겟 범위는 에칭되는 기판에 걸쳐 일정할 수 있지만, 에칭 조건들은 예를 들어 기판의 중심 및 에지에서 변동할 수 있다. 상기 방법(1200)은 아래에서 더 상세히 논의된다.12 is a flow diagram of a method 1200 for determining an etch condition or plurality of etch conditions associated with an etch process based on a correlation (discussed above) between an etched feature of interest and a neighboring feature of the ADI. The method 1200 is used to monitor and control the etching process based on a target range of correlation. In one embodiment, a correlation target range (eg, 0 to 0.4) may be defined, and etching conditions may be defined such that the target range is met during or after the etching process. The target range may be constant across the substrate being etched, but etching conditions may vary, for example at the center and edge of the substrate. The method 1200 is discussed in more detail below.

일 실시예에서, 에칭 조건은 로딩 효과들과 관련된 (예를 들어, 상관관계를 포함한) 복수의 파라미터들의 범위가 원하는 사양 내에 있도록 결정된다. 예를 들어, 밀도 변동들의 영향과 ADI 이웃들에 의해 설명되는 분산의 분율도 원하는 사양 내에 있다. 예를 들어, 로딩 효과들의 범위: 100 nm 미만; 영향 밀도 범위: 0.3 내지 0.35 nm/nm; 및 예시적인 설명되는 분산의 분율: 0.15 내지 0.17이다.In one embodiment, the etch condition is determined such that a range of a plurality of parameters (eg, including a correlation) associated with loading effects is within a desired specification. For example, the impact of density variations and the fraction of variance accounted for by the ADI neighbors are also within the desired specifications. For example, the range of loading effects: less than 100 nm; Influence density range: 0.3 to 0.35 nm/nm; and an exemplary fraction of the described dispersion: 0.15 to 0.17.

절차 P1201은 에칭 후 이미지(AEI)의 에칭된 관심 피처와 현상 후 이미지(ADI)의 에칭된 관심 피처와 연계된 이웃 피처 사이의 상관관계(1201)를 얻는 단계를 수반한다. 일 실시예에서, 에칭된 피처와 이웃 피처 간의 상관관계를 얻는 단계는 에칭된 피처와 복수의 이웃 피처들 간의 상관관계를 얻는 단계를 포함한다. 일 실시예에서, 상관관계를 얻는 단계는 도 9의 방법에 따른다. 예를 들어, 상관관계를 얻는 단계는 메트롤로지 툴을 통해 (ⅰ) 주어진 위치에서의 이미징된 패턴의 현상 후 이미지(ADI) -이미징된 패턴은 관심 피처 및 관심 피처에 인접한 이웃 피처를 포함함- , 및 (ⅱ) 주어진 위치에서의 이미징된 패턴의 에칭 후 이미지(AEI) -AEI는 ADI의 관심 피처에 대응하는 에칭된 관심 피처를 포함함- 를 얻는 단계; 및 ADI 및 AEI를 사용하여, ADI에서의 관심 피처와 연계된 이웃 피처와 에칭된 피처 간의 상관관계를 결정하는 단계를 수반한다.Procedure P1201 involves obtaining a correlation 1201 between an etched feature of interest in the post-etch image (AEI) and a neighboring feature associated with the etched feature-of-interest in the post-development image (ADI). In one embodiment, obtaining a correlation between the etched feature and a neighboring feature includes obtaining a correlation between the etched feature and a plurality of neighboring features. In one embodiment, the step of obtaining the correlation is according to the method of FIG. 9 . For example, the step of obtaining the correlation can be performed via a metrology tool (i) a post-development image (ADI) of the imaged pattern at a given location - the imaged pattern including the feature of interest and neighboring features adjacent to the feature of interest. , and (ii) obtaining a post-etched image (AEI) of the imaged pattern at a given location, the AEI comprising the etched feature-of-interest corresponding to the feature-of-interest of the ADI; and determining, using the ADI and the AEI, a correlation between the etched feature and a neighboring feature associated with the feature of interest in the ADI.

절차 P1203은 상관관계에 기초하여, 상관관계가 타겟 범위 내에 유지되도록 에칭 공정과 연계된 에칭 조건들(1205)을 결정하는 단계를 수반한다.Procedure P1203 involves determining, based on the correlation, etch conditions 1205 associated with the etch process such that the correlation remains within a target range.

일 실시예에서, 에칭 조건들을 결정하는 단계는 에칭되는 기판의 위치 -위치는 기판의 중심 또는 에지임- ; 에칭 공정의 에칭 사이클; 에칭 공정에서 사용되는 에칭 챔버; 에칭 사이클 및 증착 단계의 순서; 또는 에칭 챔버와 연계된 튜닝 파라미터 -튜닝은 튜닝 파라미터의 변화에 대한 상관관계의 민감도에 기초함- 중 적어도 하나에 의존한다. 일 실시예에서, 튜닝 파라미터는 복수의 튜닝 파라미터들을 포함한다.In one embodiment, determining the etching conditions comprises: a location of the substrate being etched, the location being a center or edge of the substrate; the etching cycle of the etching process; an etching chamber used in the etching process; sequence of etching cycles and deposition steps; or a tuning parameter associated with the etch chamber, wherein the tuning is based on the sensitivity of the correlation to changes in the tuning parameter. In one embodiment, the tuning parameter comprises a plurality of tuning parameters.

일 실시예에서, 에칭 조건들을 결정하는 단계는 기판에 걸친 CDU 또는 상이한 반경에서의 에칭된 관심 피처의 인스턴스들 사이의 CD 차이를 모니터링하는 단계를 수반한다. 예를 들어, 에칭 조건들은 선택가능한 에칭 노브들, 예를 들어 가스-압력, 전력, DC, 온도 등을 변경시키고, 상관관계뿐만 아니라 CD에 대한 영향을 평가함으로써 결정될 수 있다. 상관관계에 기초하여 에칭 조건을 결정하는 이점은 기존 방법들에 비해 최종 수율 테스트에서 기판의 더 많은 다이들이 사양 내에 있을 것이라는 것이다.In one embodiment, determining the etch conditions involves monitoring the CDU across the substrate or CD difference between instances of the etched feature of interest at different radii. For example, etch conditions can be determined by varying selectable etch knobs, eg gas-pressure, power, DC, temperature, etc., and evaluating the effect on CD as well as correlation. The advantage of determining etch conditions based on correlation is that more dies of the substrate will be within specification in the final yield test compared to conventional methods.

일 실시예에서, 에칭 조건 또는 에칭 레시피는 시작 스테이지, 중간 스테이지(들) 및 마지막 스테이지를 갖는 것으로 설명될 수 있다. 에칭의 각 스테이지는 1 이상의 '미니(mini)' 에칭 레시피들로 구성될 수 있으며, 이는 전부 취해지는 경우에 에칭 레시피를 나타낸다. 일 실시예에서, 이러한 '미니' 에칭 레시피들은 에칭 공정의 (예를 들어, 에칭된 관심 피처의 CD 또는 수율을 특징으로 하는) 결과들을 미세 튜닝하는 데 사용된다. 따라서, 상이한 양들의 로딩과 같은(이에 제한되지는 않음) 약간 상이한 거동들을 갖는 상이한 '미니' 에칭 레시피들이 적용되어 원하는 최종 결과(예를 들어, CD 또는 수율)가 달성될 수 있도록 한다. 일 실시예에서, 에칭 레시피들의 이러한 미세 튜닝은 상이한 플라즈마 가스 파라미터들, 전력 설정들, 가스 흐름 설정들 등의 튜닝을 통해 달성된다.In one embodiment, an etch condition or etch recipe may be described as having a starting stage, intermediate stage(s) and a final stage. Each stage of etching may consist of one or more 'mini' etch recipes, which when taken all represent an etch recipe. In one embodiment, these 'mini' etch recipes are used to fine tune the results of the etch process (eg, characterizing the CD or yield of the etched feature of interest). Thus, different 'mini' etch recipes with slightly different behaviors such as, but not limited to, different amounts of loading can be applied to achieve the desired end result (eg CD or yield). In one embodiment, such fine tuning of etch recipes is achieved through tuning of different plasma gas parameters, power settings, gas flow settings, etc.

'미니' 에칭 레시피들이 정의될 수 있지만, 에칭 공정 개발은 통상적으로 에칭 공정의 시작, 중간, 마지막으로서 나타낼 수 있는 조각들이 아닌 전체 에칭 결과에 기초하여 행해진다. 예를 들어, 전체 에칭 공정은 에칭 공정의 시작, 중간 또는 마지막에서 중단 또는 중지 없이 실행될 수 있다.Although 'mini' etch recipes can be defined, etch process development is typically done based on the overall etch result rather than the pieces that can be represented as the start, middle, or end of the etch process. For example, the entire etching process may be run without interruption or interruption at the beginning, middle, or end of the etching process.

일 실시예에서, 에칭되고 있는 기판 상에 다수의 재료들이 있을 때, 단일 챔버에서 이들 모두를 조합된 에칭 공정에서 에칭되게 하는 것이 가능하고, 여기서 제 1 재료에 대한 에칭 레시피는 다수 단계들로 구성되고, 그 후 (기체 등에 대한 변화들도 포함하는) 다수 단계들의 상이한 세트로 구성될 수 있는 제 2 재료에 대한 또 다른 에칭 레시피가 뒤따른다. 다수-재료 에칭들에 대해, 각각의 재료에 대해 상이한 정도의 이방성이 요구될 수 있어, 에칭된 패턴의 프로파일이 한 재료에서 다음 재료로 완벽하게 복사되지 않도록 한다. 이 차이들은 상이한 상관관계 결과들을 유도할 수 있다. 다수-프로파일 에칭의 개별 프로파일을 관찰하기 위해 층들 사이에서 에칭을 중지하는 것이 가능하다(보편적이지는 않음). 일 실시예에서, 에칭 프로파일은 에칭된 피처와 연계된 높이, 각도, 폭과 같은 에칭된 피처의 지오메트리에 의해 특징지어질 수 있다.In one embodiment, when there are multiple materials on the substrate being etched, it is possible to have them etched in a combined etch process in a single chamber, wherein the etch recipe for the first material consists of multiple steps. This is followed by another etch recipe for the second material, which may consist of a different set of multiple steps (including changes to gas, etc.). For multi-material etches, a different degree of anisotropy may be required for each material, such that the profile of the etched pattern is not perfectly copied from one material to the next. These differences can lead to different correlation results. It is possible (but not universally) possible to stop the etch between layers to observe the individual profiles of the multi-profile etch. In one embodiment, the etch profile may be characterized by the geometry of the etched feature, such as height, angle, and width associated with the etched feature.

일 실시예에서, 에칭 조건들을 결정하는 단계는 주어진 이미징된 패턴과 연계된 상관관계가 타겟 범위 내에 유지되도록 주어진 에칭 챔버와 연계된 튜닝 파라미터들의 값들을 조정하는 단계를 수반한다.In one embodiment, determining etch conditions involves adjusting values of tuning parameters associated with a given etch chamber such that the correlation associated with the given imaged pattern remains within a target range.

본 발명에 따른 측정들(예를 들어, ADI CD 및 AEI CD)은 에칭 공정을 이해하는 데 도움이 되며, 이는 수동이더라도 에칭 최적화 프로세스의 속도를 높일 수 있다. 예를 들어, 최적화는 도 3의 방법으로부터 얻어진 상관관계에 기초한다. 제품 구조체들에 대해 측정들이 수행됨에 따라, 결과적인 에칭은 가장 중대한 구조체들에 대해 더 잘 최적화되어 패터닝 공정의 수율을 증가시킨다.Measurements according to the present invention (eg, ADI CD and AEI CD) help to understand the etching process, which can speed up the etch optimization process even if it is manual. For example, the optimization is based on the correlation obtained from the method of FIG. 3 . As measurements are performed on product structures, the resulting etch is better optimized for the most critical structures, increasing the yield of the patterning process.

기계 학습 모델들(예를 들어, 뉴럴 네트워크, CNN, DCNN 등)은 주로 블랙박스들이다. 이러한 블랙 박스 모델들은 (예를 들어, 사람을 통해) 지도 학습을 사용하여 트레이닝되는 경우에도, 패터닝 공정을 개선하기 위해, 예를 들어 공정 파라미터들(예를 들어, 도즈/포커스, 에칭 레시피)을 조정하는 조치를 취하도록 쉽게 해석가능하지 않을 수 있는 예측을 한다. 따라서, 예측에 기초하여 조치를 평가하거나 새로운 모델을 활용할지 여부를 선택할 때, 트레이닝된 모델에 의해 수행되는 예측들의 이유들을 이해하는 것이 바람직하다.Machine learning models (eg, neural networks, CNNs, DCNNs, etc.) are mainly black boxes. Even when these black box models are trained using supervised learning (e.g., via a human), process parameters (e.g., dose/focus, etch recipe) can be used to improve the patterning process. Make predictions that may not be readily interpretable to take corrective action. Therefore, when evaluating actions based on predictions or choosing whether to utilize a new model, it is desirable to understand the reasons for the predictions made by the trained model.

일 실시예에서, 패터닝 공정의 화이트 박스 모델은 패터닝 공정의 블랙 박스 모델들에 비해 더 낮은 정확성을 가질 수 있다. 예를 들어, 화이트 박스 모델은 91.3 %의 정확성으로 예측할 수 있지만, 모델에 의해 수행되는 예측이 설명하기 쉬울 수 있다. 예를 들어, ADI 이미지에서의 피처들의 CD 값에 기초하여 피처들을 결함이 있거나 결함이 없는 것으로 분류하는 모델은 피처들의 CD를 보면 쉽게 이해될 수 있다. 반면에, 블랙 박스 모델(예를 들어, CNN)은 화이트 박스 모델보다 더 높은 정확성(예를 들어, 95.8 %)으로 예측할 수 있다. 하지만, 블랙 박스 모델의 결정들은 설명하기가 어렵다. 예를 들어, 결함이 있는 피처의 예측은 예측된 결과들에 기초하여 쉽게 생각되지 않을 수 있다. 따라서, 일 실시예에서, 사용자들이 해석가능성을 위해 정확성을 희생하도록 선택할 수 있다.In an embodiment, the white box model of the patterning process may have lower accuracy than black box models of the patterning process. For example, a white box model can make predictions with 91.3% accuracy, but the predictions made by the model can be easy to explain. For example, a model that classifies features as defective or non-defective based on their CD values in an ADI image can be easily understood by looking at the CDs of features. On the other hand, a black box model (eg, CNN) can predict with higher accuracy (eg, 95.8%) than a white box model. However, the decisions of the black box model are difficult to explain. For example, the prediction of a defective feature may not be readily conceived based on the predicted results. Thus, in one embodiment, users may choose to sacrifice accuracy for interpretability.

블랙 박스 모델의 예측들의 설명을 개선하기 위한 기준은 입력 변수들과 블랙 박스 모델의 예측들 간의 관계를 제공하는 해석가능성이다. 예를 들어, 관계는 입력 변수들(예를 들어, ADI 이미지의 픽셀 값들)에 기초하여 예측된 결과들(예를 들어, 앞서 언급된 바와 같이 ADI 피처에 결함이 있을지 여부)의 정성적/정량적 이해를 제공한다.A criterion for improving the description of the predictions of the black box model is interpretability, which provides a relationship between the input variables and the predictions of the black box model. For example, the relationship is a qualitative/quantitative relationship of predicted outcomes (eg, whether an ADI feature is defective as mentioned above) based on input variables (eg, pixel values of an ADI image). provide understanding.

본 발명에서, 입력 변수들(예를 들어, ADI의 피처들) 간의 관계는 관심 피처와 연계된 해석 모델을 통해 설명될 수 있다. 일 실시예에서, 해석 모델은 특정 피처의 결함 있음을 설명하는 데 도움이 된다. 예를 들어, 해석 모델은 피처의 결함 있음을 설명하는 ADI 이미지의 부분들을 식별할 수 있다. 일 실시예에서, 해석 모델은 LIME(Local Interpretable Model-agnostic Explanation), 주 성분 분석(PCA), 또는 판별 분석, 예컨대 선형 판별 분석(LDA) 또는 이차 판별 분석(QDA)과 같은 상이한 접근법들을 사용하여 결정될 수 있다. 도 15a는 여하한의 입력 ADI의 결함 있음 분류를 설명하는 ADI의 관련 피처들을 식별하도록 구성되는 해석 모델을 결정하는 예시적인 흐름도를 나타낸다.In the present invention, a relationship between input variables (eg, features of ADI) may be described through an interpretation model associated with a feature of interest. In one embodiment, the analytical model helps account for the defect of a particular feature. For example, the interpretation model may identify portions of the ADI image that describe the feature's defect. In one embodiment, the interpretive model is analyzed using different approaches such as Local Interpretable Model-agnostic Explanation (LIME), principal component analysis (PCA), or discriminant analysis, such as linear discriminant analysis (LDA) or quadratic discriminant analysis (QDA). can be decided. 15A shows an exemplary flow diagram for determining an interpretation model configured to identify relevant features of an ADI that account for a defective classification of any input ADI.

도 15a를 참조하면, 상기 방법(1530)은 다음과 같이 상세히 논의되는 절차들 P1531 및 P1533을 포함한다. 절차 P1531은 메트롤로지 툴을 통해 (ⅰ) 주어진 위치에서의 이미징된 기판의 현상 후 이미지(ADI), 및 (ⅱ) 주어진 위치에서의 이미징된 기판의 에칭 후 이미지(AEI)를 얻는 단계를 포함한다. 절차 P1533은 ADI 및 AEI에 기초하여, 입력 ADI에서 피처의 결함 있음을 설명하는 ADI의 부분들을 식별하도록 구성되는 해석 모델(1510)을 결정하는 단계를 포함한다. 일 실시예에서, 해석 모델은 입력 ADI의 분류를 설명하는 해석 맵을 생성하도록 구성되는 해석 모델로 생성하도록 구성되는 LIME 접근법을 채택함으로써 결정된다. LIME 접근법의 일 예시가 아래에서 도 15b에 관하여 설명된다.Referring to FIG. 15A , the method 1530 includes procedures P1531 and P1533 discussed in detail as follows. Procedure P1531 includes the steps of obtaining via a metrology tool (i) a post-development image (ADI) of the imaged substrate at a given location, and (ii) a post-etch image (AEI) of the imaged substrate at a given location do. Procedure P1533 includes determining, based on the ADI and the AEI, an interpretation model 1510 configured to identify portions of the ADI that describe the defect of the feature in the input ADI. In one embodiment, the interpretation model is determined by employing a LIME approach that is configured to create an interpretation model that is configured to generate an interpretation map that describes the classification of the input ADI. An example of a LIME approach is described with respect to FIG. 15B below.

일 실시예에서, 해석 모델(1510)을 결정하는 단계는 ADI와 AEI 사이의 상관관계 데이터를 결정하는 단계; 및 상관관계 데이터를 사용하여, 고유값들이 지정된 임계치를 초과하는 고유벡터들을 결정하기 위해 주 성분 분석 또는 판별 분석을 수행하는 단계를 포함한다. 또한, 결정하는 단계는 입력 ADI를 고유벡터들에 투영하여 분류 값을 계산하는 단계; 및 분류 값이 지정된 임계치를 초과하는 것에 응답하여, 입력 ADI 내의 피처의 결함 있음을 설명하는 것으로서 입력 ADI의 부분을 식별하는 단계를 포함한다. PCA 방법의 일 예시가 아래에서 더 상세히 논의된다.In one embodiment, determining the interpretation model 1510 includes determining correlation data between the ADI and the AEI; and using the correlation data, performing principal component analysis or discriminant analysis to determine eigenvectors whose eigenvalues exceed a specified threshold. In addition, the determining may include calculating a classification value by projecting the input ADI onto the eigenvectors; and in response to the classification value exceeding the specified threshold, identifying the portion of the input ADI as descriptive of a defect in the feature in the input ADI. One example of a PCA method is discussed in more detail below.

일 실시예에서, PCA(및 유사하게는 LDA 또는 QDA)는 ADI 이미지의 변수들 간의 상관관계에 기초하여 수행될 수 있다. 일 실시예에서, 상관관계는 ADI 이미지의 픽셀 세기들을 사용하여 결정될 수 있다. 예를 들어, PCA(및 유사하게 LDA 또는 QDA)는 다음과 같이 수행될 수 있다. 본 예시에서, ADI 이미지(예를 들어, 도 14a 내지 도 14c의 ADI10, ADI20, 또는 ADI30)에서 픽셀들의 그레이스케일 값들 또는 세기들이 벡터

Figure pct00017
로서 표현될 수 있다. 예를 들어, ADI 이미지는 크기 51x51 픽셀들의 크롭일 수 있으므로, 길이 512=2601의 벡터를 유도한다. 벡터
Figure pct00018
에 기초하여, 다음과 같이 모든 ADI 이미지들(예를 들어, 도 14a 내지 도 14c의 ADI10, ADI20 및 ADI30)로 상관관계 매트릭스
Figure pct00019
가 연산될 수 있다:In one embodiment, PCA (and similarly LDA or QDA) may be performed based on correlations between variables of the ADI image. In one embodiment, the correlation may be determined using pixel intensities of the ADI image. For example, PCA (and similarly LDA or QDA) may be performed as follows. In this example, grayscale values or intensities of pixels in an ADI image (eg, ADI10, ADI20, or ADI30 in FIGS. 14A-14C ) are vector
Figure pct00017
can be expressed as For example, an ADI image may be a crop of size 51x51 pixels, resulting in a vector of length 51 2 =2601. vector
Figure pct00018
Based on the correlation matrix with all ADI images (eg, ADI10, ADI20 and ADI30 in FIGS. 14A-14C ) as follows
Figure pct00019
can be computed:

Figure pct00020
Figure pct00020

앞선 수학식에서, 〈xi〉는 모든 크롭들(예를 들어, ADI10, ADI20, 및 ADI30)에 걸친 벡터 xi의 평균 값들이고, σiσj는 이미지의 두 픽셀들 사이의 공분산이다.In the preceding equation, <x i > is the average values of vector x i over all crops (eg, ADI10, ADI20, and ADI30), and σ i σ j is the covariance between two pixels of the image.

상관관계 매트릭스 Rij 데이터에서, PCA, LDA 또는 QDA가 수행될 수 있다. PCA에서, 매트릭스

Figure pct00021
의 고유값들 및 고유벡터들이 연산된다. 이 고유값들은 1보다 상당히 더 크고, 대략 1이며, 1보다 훨씬 작은 약간의 고유값들일 수 있다. 더 높은 고유값들은 고도로 상관되는 대응하는 변수들의 세트를 나타낸다. 예를 들어, ADI10(도 14a)을 참조하면, 상관관계 매트릭스는 접촉홀(F10)과 관련된 픽셀들(어두운 부분)이 고도로 상관될 수 있음을 나타낼 수 있다. 따라서, 상관관계 매트릭스는 접촉홀이 존재함을 나타낼 수 있다. 반면에, ADI30(도 14b)에 대해, 상관관계 매트릭스는 실패한 접촉홀을 나타낼 수 있는 접촉홀(F30)의 픽셀들 간의 상대적으로 낮은 상관관계를 나타낼 수 있다.On correlation matrix R ij data, PCA, LDA or QDA may be performed. In PCA, matrix
Figure pct00021
Eigenvalues and eigenvectors of are calculated. These eigenvalues can be some eigenvalues significantly greater than one, approximately equal to one, and much less than one. Higher eigenvalues indicate a set of highly correlated corresponding variables. For example, referring to ADI10 ( FIG. 14A ), the correlation matrix may indicate that pixels (dark portions) related to the contact hole F10 can be highly correlated. Accordingly, the correlation matrix may indicate that a contact hole exists. On the other hand, for the ADI30 (FIG. 14B), the correlation matrix may indicate a relatively low correlation between pixels of the contact hole F30, which may indicate a failed contact hole.

(예를 들어, 1보다 큰) 큰 고유값들에 대응하는 고유벡터들은 이 픽셀들의 그레이스케일 값이 함께 변동함을 나타내며, 이는 실패한 접촉홀 또는 프린팅 홀을 나타낼 수 있다. 큰 고유값들에 대응하는 이 고유벡터들은 해석 모델(1510)에서 사용될 수 있다. 예를 들어, 큰 고유값들을 갖는 소수의 고유벡터들에 크롭들의 모든 인스턴스들을 투영하고, 이러한 방향들 중 하나에서 프린팅 및 실패한 홀들의 강한 클러스터링이 있는지를 체크한다. 강한 클러스터링을 갖는 방향이 관찰되는 고유벡터들은 결함 분류를 위한 관련 피처들이다. 이러한 고유벡터들을 다시 51x51 크롭의 형태로 변환함으로써, 실패 또는 프린팅으로서 입력 ADI 내의 관련 피처들의 해석들이 이루어질 수 있다.Eigenvectors corresponding to large eigenvalues (eg, greater than 1) indicate that the grayscale values of these pixels vary together, which may indicate a failed contact hole or printing hole. These eigenvectors corresponding to the large eigenvalues may be used in the interpretation model 1510 . For example, project all instances of crops onto a few eigenvectors with large eigenvalues, and check if there is strong clustering of holes that print and fail in one of these directions. Eigenvectors in which directions with strong clustering are observed are relevant features for defect classification. By converting these eigenvectors back into the form of a 51x51 crop, interpretations of relevant features in the input ADI as failure or printing can be made.

또 다른 예시에서, LDA/QDA는 또한 실패한 홀들과 프린팅을 가장 잘 구별하는 투영 방향을 자동으로 찾을 수 있는 고유벡터들을 식별하였다.In another example, LDA/QDA also identified eigenvectors that could automatically find the projection direction that best distinguishes printing from failed holes.

일 실시예에서, 해석 모델은 LIME 접근법, 예를 들어 도 15b를 참조하여 논의되는 방법(1500)을 사용하여 결정될 수 있다. LIME는 국부적으로 예측 주위에서 해석가능한 모델을 학습함으로써 해석가능한 방식으로 여하한의 분류기의 예측들을 설명하는 설명 기술이다. 해석가능한 모델을 결정하는 일 예시는 아래에서 도 13에서 설명된다.In one embodiment, the interpretation model may be determined using a LIME approach, eg, the method 1500 discussed with reference to FIG. 15B . LIME is a descriptive technique that describes the predictions of any classifier in an interpretable way by learning an interpretable model around the prediction locally. An example of determining an interpretable model is described below in FIG. 13 .

도 13은 예시적인 해석 모델을 트레이닝하는 데 사용되는 의사결정 데이터 세트를 나타낸다. 의사결정 데이터 세트는 트레이닝된 모델(예를 들어, 도 4a의 CNN 모델)로부터 얻어질 수 있다. 예를 들어, 트레이닝된 모델(403)은 복수의 피처들을 포함하는 ADI 이미지를 사용하여 에칭 후 복수의 피처들의 결함 있음을 예측한다. 예를 들어, ADI 피처가 특정 에칭 레시피를 사용한 에칭 후 결함 없이 프린트될지 결함으로 프린트될지를 예측한다.13 depicts a decision data set used to train an exemplary interpretive model. The decision data set may be obtained from a trained model (eg, the CNN model of FIG. 4A ). For example, the trained model 403 predicts the presence of defects in a plurality of features after etching using an ADI image including the plurality of features. For example, predict whether an ADI feature will print defect-free or defect-free after etching using a particular etch recipe.

도 13에서, 트레이닝된 기계 학습 모델의 복잡한 의사결정 기능(해석 모델에 알려지지 않음)이 예측 구역들(R1 및 R2)에 의해 나타내어진다. 일 실시예에서, 의사결정은 트레이닝된 모델(403)에 의한 예측들을 지칭한다. 따라서, 예측 구역들(R1 및 R2)은 주어진 ADI 이미지의 피처들이 에칭 후에 결함이 있을지 결함이 없을지에 대응한다. 일 실시예에서, 이러한 예측 구역들(R1 및 R2)은 단일 선형 모델에 의해 잘 근사될 수 없는 비-선형 경계에 의해 분리된다. 따라서, 모델의 세트가 정의될 수 있으며, 여기서 각각의 모델은 특정 예측이 이루어진 이유를 국부적으로 (예를 들어, 선택된 지점 주위에서) 설명할 수 있다.In FIG. 13 , the complex decision-making function of the trained machine learning model (not known to the analytical model) is represented by prediction regions R1 and R2. In one embodiment, decision making refers to predictions by the trained model 403 . Thus, the prediction regions R1 and R2 correspond to whether the features of a given ADI image will be defective or not defective after etching. In one embodiment, these prediction regions R1 and R2 are separated by a non-linear boundary that cannot be well approximated by a single linear model. Accordingly, a set of models may be defined, wherein each model may locally (eg, around a selected point) explain why a particular prediction was made.

일 실시예에서, 지점 P0(굵은 십자)은 해석 모델에 의해 설명될 인스턴스이다. 예를 들어, 지점 P0은 ADI 이미지에서의 관심 피처를 나타낸다. 일 실시예에 따르면, 지점 P0과 연계된 예측을 설명하는 해석 모델이 피팅된 라인을 사용하여 설명되며, 여기서 피팅은 지점 P0 부근의 데이터에 기초한다. 지점 P0 부근의 데이터는 구역 R1 및 R2에 의해 나타내어지는 두 가지 타입의 카테고리를 포함한다. 예를 들어, 지점들의 제 1 세트(P1, P2, P3, P4, P6)가 에칭 후 피처들에 결함이 있을 것이라는 트레이닝된 기계 학습 모델의 의사결정을 나타낸다. 한편, 지점들의 제 2 세트(P10, P11, P12, P13, …, P20)는 에칭 후 피처들에 결함이 없을 것이라는 트레이닝된 기계 학습 모델의 의사결정을 나타낸다.In one embodiment, point P0 (bold cross) is the instance to be described by the analytical model. For example, point P0 represents a feature of interest in the ADI image. According to one embodiment, an interpretive model describing predictions associated with point P0 is described using fitted lines, wherein the fitting is based on data near point P0. The data near point P0 includes two types of categories represented by zones R1 and R2. For example, the first set of points P1 , P2 , P3 , P4 , P6 represents the decision of the trained machine learning model that the features will be defective after etching. On the other hand, the second set of points P10, P11, P12, P13, ..., P20 represents the decision of the trained machine learning model that the features will be defect-free after etching.

일 실시예에서, 해석 모델을 결정하는 데 사용되는 방법은 인스턴스들(예를 들어, P1 내지 P20)을 샘플링하는 단계, 트레이닝된 기계 학습 모델(예를 들어, 403)을 사용하여 예측들을 얻는 단계, 및 설명되는 인스턴스 P0(예를 들어, 관심 피처)에 대한 샘플의 근접에 의해 예측들을 가중하는 단계(예를 들어, 여기에서 지점 P1 내지 P20의 크기로 표시됨)를 포함한다. 그 후, 피팅 방법을 채택함으로써 가중된 예측들에 기초하여 모델이 피팅된다. 예를 들어, 최소 제곱 오차 기반 피팅 방법이 사용될 수 있다. 일 실시예에 따르면, 피팅된 모델은 트레이닝된 해석 모델이라고 칭해진다.In one embodiment, a method used to determine an interpretation model includes sampling instances (eg, P1 - P20), obtaining predictions using a trained machine learning model (eg, 403); , and weighting the predictions by the proximity of the sample to the described instance P0 (eg, the feature of interest) (eg, denoted herein as the magnitude of points P1 - P20). Then, the model is fitted based on the weighted predictions by adopting a fitting method. For example, a least squares error based fitting method may be used. According to one embodiment, the fitted model is referred to as a trained analytical model.

일 실시예에서, 점선(M1)은 지점 P0에 대한 설명을 국부적으로(전역적으로는 아님) 제공하는 트레이닝된 해석 모델을 나타낸다. 예를 들어, 국부적은 설명되고 있는 인스턴스 부근의 지점들을 지칭한다. 또한, 점선(M1)은 트레이닝된 해석 모델(M1)이라고 칭해질 수도 있다. 다시 말해서, 트레이닝된 해석 모델(M1)은 비-선형 경계(B1) 및 지점 P0 부근의 데이터 지점들에 기초하여 라인(M1) 주위의 비-선형 경계의 선형 근사를 제공한다. 일 실시예에서, 해석 모델(M1)은 비용 함수, 예를 들어 해석 모델(M1)의 출력과 지점 P0 부근의 예측들 간의 차이의 함수가 감소(예를 들어, 최소화)되도록 트레이닝될 수 있다. 본 발명은 특정 피팅 방법에 제한되지 않는다. 최소 제곱법, 가우시안 피팅, 최소 편차 등과 같은 다른 데이터 피팅 방법들이 채택될 수 있다.In one embodiment, dashed line M1 represents a trained interpretation model that provides a description of point P0 locally (but not globally). For example, local refers to points in the vicinity of the instance being described. Also, the dotted line M1 may be referred to as a trained analysis model M1. In other words, the trained analytical model M1 provides a linear approximation of the non-linear boundary around the line M1 based on the non-linear boundary B1 and the data points near the point P0. In one embodiment, the interpretation model M1 may be trained such that a cost function, eg, a function of the difference between the output of the interpretation model M1 and the predictions near the point P0, is reduced (eg, minimized). The present invention is not limited to a particular fitting method. Other data fitting methods may be employed, such as least squares method, Gaussian fitting, least deviation, and the like.

도 13의 예시는 개념들을 설명하기 위한 이진 의사결정을 나타낸다. 하지만, 의사결정은 이진 카테고리화일 수 있거나, 다수 카테고리들을 포함할 수 있다(예를 들어, 확률 기반, 확률의 다수 범위들이 다수 카테고리들에 대응함). 본 발명의 범위는 이진 의사결정에 제한되지 않는다.The example of FIG. 13 represents binary decision making to illustrate concepts. However, the decision may be binary categorization, or may include multiple categories (eg, probability based, multiple ranges of probability corresponding to multiple categories). The scope of the present invention is not limited to binary decision making.

도 14a 내지 도 14c는 관심 피처를 포함한 ADI 이미지들에 해석 모델(예를 들어, M1)을 적용한 예시적인 결과를 나타낸다. 본 예시에서, ADI 이미지들(ADI10, ADI20, 및 ADI30)은 각각 관심 피처들(F10, F20, 및 F30)을 포함한다. 일 실시예에서, 공정(예를 들어, 에칭 공정)과 연계된 트레이닝된 모델(예를 들어, 403)은 ADI 내의 특정 피처가 에칭 후에 결함 없이 프린트될지 결함으로 프린트될지를 예측한다.14A to 14C show exemplary results of applying an interpretation model (eg, M1) to ADI images including a feature of interest. In this example, the ADI images ADI10 , ADI20 , and ADI30 include features of interest F10 , F20 , and F30 , respectively. In one embodiment, a trained model (eg, 403) associated with a process (eg, an etch process) predicts whether a particular feature within the ADI will print defect-free or defect-free after etching.

도 14a 및 도 14b는 각각 결함 없이 프린트되는 것으로 예측되는 ADI 이미지들(ADI10 및 ADI20)의 피처들(F10 및 F20)의 예시들을 나타낸다. 예를 들어, 트레이닝된 모델[예를 들어, 도 4a의 방법에 따라 트레이닝된 모델(403)]은 이미지들(ADI10 및 ADI20)의 피처들이 결함 없이 프린트될 것이라고 예측한다. 도 14c는 결함으로 프린트되는 것으로 예측되는 ADI 이미지(ADI30)의 피처(F30)의 일 예시를 나타낸다. 예를 들어, 트레이닝된 모델[예를 들어, 도 4a의 방법에 따라 트레이닝된 모델(403)]은 이미지들(ADI10 및 ADI20)의 피처들이 결함 없이 프린트될 것이라고 예측한다.14A and 14B show examples of features F10 and F20 of ADI images ADI10 and ADI20 that are predicted to be printed without defects, respectively. For example, the trained model (eg, model 403 trained according to the method of FIG. 4A ) predicts that features of images ADI10 and ADI20 will print flawlessly. 14C shows an example of a feature F30 of an ADI image ADI30 predicted to be printed with a defect. For example, the trained model (eg, model 403 trained according to the method of FIG. 4A ) predicts that features of images ADI10 and ADI20 will print flawlessly.

하지만, 앞서 논의된 바와 같이, 트레이닝된 모델(403)은 가중되고, 다수 층들에 걸쳐 분포되고, 서로 연결되는 뉴런들의 네트워크를 포함하는 기계 학습 모델(예를 들어, CNN 또는 DNN)일 수 있다. 따라서, 예측의 합리적 근거가 이용가능하지 않다.However, as discussed above, the trained model 403 may be a machine learning model (eg, CNN or DNN) comprising a network of neurons that are weighted, distributed over multiple layers, and connected to each other. Therefore, a rational basis for the prediction is not available.

이러한 예측의 합리적 근거 또는 설명은 트레이닝된 해석 모델(해석 모델이라고도 함)을 통해 얻어질 수 있다. 예를 들어, 각각의 관심 피처에 대해, 해석 모델이 도 13에 따라 트레이닝될 수 있다. 예를 들어, 제 1 해석 모델(M10)은 ADI10의 관심 피처(F10)와 관련된 예측을 설명하도록 트레이닝된다. 유사하게, 제 2 해석 모델(M20)은 ADI20의 관심 피처와 관련된 예측을 설명하도록 트레이닝되고, 제 3 해석 모델(M30)은 ADI30의 관심 피처와 관련된 예측을 설명하도록 트레이닝된다.A rational basis or explanation for these predictions can be obtained through a trained interpretive model (also called an interpretive model). For example, for each feature of interest, an interpretation model may be trained according to FIG. 13 . For example, the first interpretation model M10 is trained to account for predictions related to the feature of interest F10 of ADI10. Similarly, the second interpretive model M20 is trained to describe predictions related to the feature of interest of ADI20, and the third interpretive model M30 is trained to describe the predictions related to the feature of interest of ADI30.

일 실시예에서, 해석 모델들(M10, M20, 및 M30)은 도 14a 내지 도 14c에 나타낸 바와 같은 해석 맵들(MAP10, MAP20, 및 MAP30)을 각각 생성한다. 해석 맵(예를 들어, MAP10, MAP20, 및 MAP30)은 관심 피처와 관련된 예측(예를 들어, 결함 있음 또는 결함 없음)에 대한 관심 피처(예를 들어, F10, F20, 및 F30) 및 그 주위의 각 픽셀의 기여를 설명하는 패치들을 나타낸다. 일 실시예에서, 패치들은 관심 피처가 에칭 후에 결함이 있거나 결함이 없을 것이라는 의사결정에 대한 이웃 피처들(예를 들어, 도 13의 지점 P1 내지 P20에 대응함)의 영향을 나타내는 세기 값들을 가질 수 있다.In one embodiment, the interpretive models M10, M20, and M30 generate interpretive maps MAP10, MAP20, and MAP30, respectively, as shown in FIGS. 14A-14C . Interpretation maps (e.g., MAP10, MAP20, and MAP30) compare features of interest (e.g., F10, F20, and F30) and surrounding features of interest (e.g., F10, F20, and F30) to predictions (e.g., defective or non-defective) associated with the feature of interest. Shows the patches that describe the contribution of each pixel of . In one embodiment, the patches may have intensity values representing the influence of neighboring features (eg, corresponding to points P1 - P20 in FIG. 13 ) on the decision that the feature of interest is defective or not defective after etching. there is.

예를 들어, 해석 맵 MAP10에서, 패치 E1(예를 들어, 양의 픽셀 값들)은 (ADI10의) 피처 F10이 에칭 후 결함 없을 것이라는 결정을 내리는 데 기여하는 한편, 패치 E2(예를 들어, 음의 픽셀 값들)는 (ADI10의) 피처 F10이 에칭 후 결함 있을 것이라는 결정을 내리는 데 기여한다. 유사하게, 해석 맵 MAP20에서, 패치 E3(예를 들어, 양의 픽셀 값들)은 (ADI20의) 피처 F20이 에칭 후 결함 없을 것이라는 결정을 내리는 데 기여한다. 마지막으로, 해석 맵 MAP30에서, 패치 E4는 (ADI30의) 피처 F30이 에칭 후 결함 없을 것이라는 결정을 내리는 데 기여하는 한편, 패치 E5(예를 들어, 음의 픽셀 값들)는 (ADI30의) 피처 F30이 에칭 후 결함 있을 것이라는 결정을 내리는 데 기여한다. 해석 맵 또는 그 안의 픽셀 값들은 패터닝 공정의 수율을 개선하기 위해 패터닝 공정 레시피(예를 들어, 에칭 레시피)를 조정하는 것과 같은 조치를 취하는 데 더 사용될 수 있다.For example, in the interpretation map MAP10, patch E1 (eg, positive pixel values) contributes to the decision that feature F10 (of ADI10) will be defect-free after etching, while patch E2 (eg, negative The pixel values of ) contribute to the decision that feature F10 (of ADI10) will be defective after etching. Similarly, in the interpretation map MAP20, patch E3 (eg, positive pixel values) contributes to the determination that feature F20 (of ADI20) will be defect free after etching. Finally, in the interpretation map MAP30, patch E4 contributes to the decision that feature F30 (of ADI30) will be defect-free after etching, while patch E5 (eg negative pixel values) has feature F30 (of ADI30) This contributes to the decision to be defective after etching. The interpretation map or pixel values therein may be further used to take action, such as adjusting a patterning process recipe (eg, an etch recipe) to improve the yield of the patterning process.

일 실시예에서, 선택적으로, ADI 이미지 및 대응하는 해석 맵은 중첩되어 중첩된 이미지를 생성할 수 있다. 예를 들어, ADI10 및 MAP10이 중첩되어 중첩된 이미지 S10을 생성할 수 있다. 유사하게, ADI20 및 MAP20, 및 ADI30 및 MAP30이 중첩되어, 각각 중첩된 이미지 S20 및 S30을 생성할 수 있다. 일 실시예에서, 중첩된 이미지 또는 그 안의 픽셀 값들은 이미징된 기판의 소정 부분들에 대한 레시피들을 결정하는 것과 같은 조치를 취하는 데 더 사용될 수 있다.In one embodiment, optionally, the ADI image and the corresponding interpretation map may be overlaid to create an overlaid image. For example, ADI10 and MAP10 may be overlapped to generate an overlapped image S10. Similarly, ADI20 and MAP20, and ADI30 and MAP30 may be superimposed to create superimposed images S20 and S30, respectively. In one embodiment, the superimposed image or pixel values therein may be further used to take an action, such as determining recipes for certain portions of the imaged substrate.

도 15b는 관심 피처와 연계된 해석 모델을 결정하는 방법(1500)의 흐름도이다. 해석 모델은 관심 피처와 관련된 예측을 설명하도록 구성된다. 예를 들어, N 개의 관심 피처가 있는 경우, N 개의 해석 모델들이 결정될 수 있다 - 각각의 관심 피처에 대해 하나씩이다. 도 13 및 도 14a 내지 도 14c에서 논의된 바와 같이, 해석 모델은 관심 피처에 대한 해석 맵을 생성하여, 해석 맵이 관심 피처와 연계된 예측에 대한 관심 피처 부근의 기여들을 설명할 수 있도록 할 수 있다. 또한, 해석 맵에 기초하여, 패터닝 공정(예를 들어, 에칭 공정)을 개선하는 데 관련된 조치가 취해질 수 있다. 예를 들어, 해석 맵이 피처에 결함이 있을 것이라는 예측을 하는 데 상대적으로 높은 기여를 갖는 패치를 포함하는 경우, 에칭 레시피가 그 특정 패치에 대해 조정될 수 있다.15B is a flow diagram of a method 1500 for determining an analytical model associated with a feature of interest. The interpretive model is configured to account for predictions related to the feature of interest. For example, if there are N features of interest, N interpretation models may be determined - one for each feature of interest. As discussed in FIGS. 13 and 14A-14C , the interpretive model can generate an interpretive map for the feature of interest, such that the interpretive map can account for the contributions of the vicinity of the feature of interest to the prediction associated with the feature of interest. there is. Further, based on the analysis map, actions related to improving the patterning process (eg, etching process) may be taken. For example, if the analysis map includes patches that have a relatively high contribution to making the prediction that the feature will be defective, the etch recipe may be adjusted for that particular patch.

본 발명에 따르면, 상기 방법(1500)은 패터닝 공정과 관련된 모델(예를 들어, 403)이 예를 들어 현상 후 이미지에서의 여하한의 피처의 향후 특성을 예측하도록 트레이닝된 후에 수행될 수 있다. 향후 특성(예측이라고도 함)은, 예를 들어 피처들의 CD 또는 결함 있음일 수 있다. 예를 들어, 트레이닝된 모델(403)은 ADI 이미지의 피처들이 에칭 레시피를 사용한 에칭 후에 결함 없이 프린트될지 결함으로 프린트될지를 예측할 수 있다. 본 방법(1500)은 피처와 연계된 특정 예측 또는 분류에 제한되지 않는다. 상기 방법(1500)의 다음 절차들에서, 예측의 일 예시는 피처의 결함 있음이다. 앞서 논의된 바와 같이, 결함 있음은 에칭 후 피처의 실패 확률을 나타낼 수 있다. 일 예시에서, 본 방법의 개념들을 설명하기 위해, 결함 있음은 이진으로, 예를 들어 결함이 있거나 결함이 없는 것으로 시각화될 수 있다.In accordance with the present invention, the method 1500 may be performed after a model (eg, 403 ) associated with the patterning process is trained to predict future characteristics of any feature in the image after development, for example. Future characteristics (also called predictive) may be, for example, CD or defectivity of features. For example, the trained model 403 can predict whether features in an ADI image will print defect-free or defect-free after etching using an etch recipe. The method 1500 is not limited to a particular prediction or classification associated with a feature. In the following procedures of the method 1500 , an example of prediction is the defect of a feature. As previously discussed, the presence of defects can indicate the probability of a feature's failure after etching. In one example, to illustrate the concepts of the method, defective can be visualized in binary, for example as defective or free of defects.

절차 P1501은, 예를 들어 패터닝 공정(예를 들어, 에칭 공정)과 연계된 트레이닝된 모델(403)의 실행을 통해, 트레이닝 데이터 세트를 얻는 단계를 포함한다. 일 실시예에서, 트레이닝 데이터 세트는 현상 후 이미지(ADI)에서의 관심 피처(1501) 부근의 복수의 피처들과 연계된 복수의 예측들(1502)을 포함하고, 복수의 예측들의 각 예측은 트레이닝된 모델(403)에 의해 수행된다. 일 실시예에서, 트레이닝을 위해, 관심 피처의 부근은 관심 피처(1501) 주위의 피처들의 위치를 지칭한다. 예를 들어, 도 13을 참조하면, 지점 P1 내지 P20은 관심 지점(P0) 부근에 있다.Procedure P1501 includes obtaining a training data set, eg, via execution of the trained model 403 associated with a patterning process (eg, an etching process). In one embodiment, the training data set includes a plurality of predictions 1502 associated with a plurality of features near a feature of interest 1501 in a post-development image (ADI), each prediction of the plurality of predictions comprising a training is performed by the model 403 . In one embodiment, for training purposes, the vicinity of the feature of interest refers to the location of features around the feature of interest 1501 . For example, referring to FIG. 13 , points P1 to P20 are in the vicinity of a point of interest P0.

일 실시예에서, 복수의 예측들(1502)을 얻는 단계는 관심 피처(1501) 부근의 복수의 피처들의 각 피처의 특성을 예측하기 위해 트레이닝된 모델을 실행하는 단계를 포함한다. 일 실시예에서, 앞서 논의된 절차 P403과 유사하게, 현상 후 이미지를 얻는 단계는 패터닝 장치를 통해 기판 상에 마스크 패턴을 이미징하는 단계; 이미징된 기판의 현상된 기판(예를 들어, 레지스트 현상)을 얻는 단계; 주어진 위치(예를 들어, 관심 피처의 위치)에서 현상된 기판에 메트롤로지 툴(예를 들어, 도 28 및 도 29의 SEM)을 정렬하는 단계; 및 현상된 기판의 이미지를 캡처하는 단계를 수반한다. 일 실시예에서, 현상 후 이미지는 기판의 메트롤로지 데이터(예를 들어, SEM 이미지들)를 저장하는 (예를 들어, 도 30의 컴퓨터 시스템의) 데이터베이스로부터 얻어질 수 있다.In one embodiment, obtaining the plurality of predictions 1502 includes running the trained model to predict a characteristic of each feature of the plurality of features in the vicinity of the feature of interest 1501 . In one embodiment, similar to procedure P403 discussed above, obtaining an image after development includes imaging a mask pattern on the substrate via a patterning device; obtaining a developed substrate (eg, resist development) of the imaged substrate; aligning the metrology tool (eg, the SEM of FIGS. 28 and 29 ) to the developed substrate at a given location (eg, the location of the feature of interest); and capturing an image of the developed substrate. In one embodiment, the post-development image may be obtained from a database (eg, in the computer system of FIG. 30 ) that stores metrology data (eg, SEM images) of the substrate.

일 실시예에서, 복수의 피처들을 포함하는 ADI 이미지는 트레이닝된 모델(403)에 대한 입력으로서 제공된다. 그 후, 트레이닝된 모델은 예를 들어 복수의 피처들의 결함 있음을 예측한다. 일 실시예에서, 예측(1502)은 ADI의 피처의 결함 있음이고, 여기서 결함 있음은 피처가 에칭 후에 결함이 있을 확률을 나타낸다. 일 실시예에서, 예측(1502)은 ADI의 관심 피처가 에칭 후 결함 없이 프린트될지 결함으로 프린트될지 여부이다.In one embodiment, an ADI image comprising a plurality of features is provided as input to a trained model 403 . Then, the trained model predicts, for example, that a plurality of features are defective. In one embodiment, the prediction 1502 is that the feature in the ADI is defective, where defective indicates the probability that the feature will be defective after etching. In one embodiment, the prediction 1502 is whether the feature of interest in the ADI will print defect-free or defect-free after etching.

절차 P1503은 복수의 피처들의 각 위치와 관심 피처 사이의 거리들(1503)을 결정하는 단계를 포함한다. 일 실시예에서, 거리(1503)는 두 위치들, 특히 관심 피처(1501)의 위치(L1)와 이웃 피처의 위치(L2) 사이의 선형 거리이다. 예를 들어, 도 13을 참조하면, P0과 P1 사이의 거리 D1(표시되지 않음), P0과 P2 사이의 거리 D2, 등이다.Procedure P1503 includes determining distances 1503 between each location of the plurality of features and the feature of interest. In one embodiment, the distance 1503 is a linear distance between two locations, in particular the location L1 of the feature of interest 1501 and the location L2 of the neighboring feature. For example, referring to FIG. 13 , the distance D1 between P0 and P1 (not shown), the distance D2 between P0 and P2, and the like.

다시 도 15b를 참조하면, 절차 P1505는 거리들(1503)에 기초하여, 복수의 예측들의 각 예측에 가중치들을 할당하는 단계를 포함한다. 일 실시예에서, 각 예측에 가중치들을 할당하는 단계는 연계된 거리가 상대적으로 작은 경우에 복수의 예측들의 예측에 상대적으로 더 높은 가중치를 할당하는 단계를 포함한다. 일 실시예에서, 가중치들은 가중치들의 합이 1이 되도록 0과 1 사이의 정규화된 값들 또는 정수 값들일 수 있다.Referring again to FIG. 15B , procedure P1505 includes assigning weights to each prediction of the plurality of predictions, based on distances 1503 . In one embodiment, assigning weights to each prediction comprises assigning a relatively higher weight to the prediction of the plurality of predictions when the associated distance is relatively small. In one embodiment, the weights may be integer values or normalized values between 0 and 1 such that the sum of the weights is 1.

예를 들어, 도 13을 참조하면, 지점들 P4, P5, P14, P15 및 P16에 비해 지점들 P1, P2, P3, P10, P11, P12 및 P13에 더 높은 가중치들이 할당된다. 다시 말해서, 관심 지점 P0 부근의 지점들은 관심 지점 P0과 관련된 특정 예측을 수행하는 데 더 많이 기여하는 것으로 간주된다. 예를 들어, 지점들 P1, P2, P3, P10, P11 및 P12에 대응하는 피처들에는 0.9의 가중치가 할당되는 한편, 지점들 P4, P5, P15 및 P16에 대응하는 피처들에는 0.1의 가중치가 할당될 수 있다. 따라서, 관심 피처(1501)로부터 더 멀리 떨어진 피처들에 비해 관심 피처(1501)에 가까운 위치들의 피처들과 연계된 예측들에 더 높은 가중치들이 할당된다. 일 실시예에서, 가중치들은 지수 함수, 예를 들어 e f(D) 에 따라 할당될 수 있으며, 여기서 f(D)는 거리(1503)의 함수이다.For example, referring to FIG. 13 , higher weights are assigned to points P1, P2, P3, P10, P11, P12 and P13 compared to points P4, P5, P14, P15 and P16. In other words, points near the point of interest P0 are considered to contribute more to performing a specific prediction related to the point of interest P0. For example, features corresponding to points P1, P2, P3, P10, P11 and P12 are assigned a weight of 0.9, while features corresponding to points P4, P5, P15 and P16 have a weight of 0.1 can be assigned. Accordingly, higher weights are assigned to predictions associated with features in locations close to the feature of interest 1501 compared to features further away from the feature of interest 1501 . In one embodiment, the weights may be assigned according to an exponential function, eg, e f(D) , where f(D) is a function of distance 1503 .

다시 도 15b를 참조하면, 절차 P1507은 가중된 예측들(1505)에 기초한 피팅을 통해, 해석 모델(1510)의 출력과 가중된 예측들(1505) 간의 차이가 감소되도록 해석 모델(1510)의 모델 파라미터 값들을 결정하는 단계를 포함한다. 일 실시예에서, 모델 파라미터 값들은 관심 피처와 관련된 예측에 대한 ADI의 각 픽셀의 기여들을 설명한다.Referring again to FIG. 15B , procedure P1507 is a model of the interpretation model 1510 such that, through fitting based on the weighted predictions 1505 , the difference between the output of the interpretation model 1510 and the weighted predictions 1505 is reduced. determining parameter values. In one embodiment, the model parameter values describe the contributions of each pixel of the ADI to the prediction related to the feature of interest.

일 실시예에서, 해석 모델의 모델 파라미터 값들을 결정하는 단계는 초기 모델 파라미터 값들 및 가중된 예측들을 얻는 단계; 초기 출력을 생성하기 위해 초기 모델 파라미터 값들을 사용하여 해석 모델을 실행하는 단계; 가중된 예측들과 초기 출력 간의 차이를 결정하는 단계; 및 차이에 기초하여, 차이가 최소화되도록 초기 모델 파라미터 값들을 조정하는 단계를 포함하는 반복 프로세스이다.In one embodiment, determining model parameter values of the interpretation model comprises: obtaining initial model parameter values and weighted predictions; running the analytical model using the initial model parameter values to produce an initial output; determining a difference between the weighted predictions and the initial output; and based on the difference, adjusting the initial model parameter values such that the difference is minimized.

일 실시예에서, 해석 모델(1510)은 입력으로서 관심 피처(1501)를 포함하는 ADI를 수신하고 출력으로서 해석 맵(1520)을 생성한다. 일 실시예에서, 해석 맵(1520)은 관심 피처(1501)와 연계된 예측에 대한 관심 피처(1501) 부근의 기여들을 나타낸다.In one embodiment, the interpretive model 1510 receives an ADI including the feature of interest 1501 as input and generates an interpretive map 1520 as an output. In one embodiment, the interpretive map 1520 represents contributions in the vicinity of the feature of interest 1501 to the prediction associated with the feature of interest 1501 .

일 실시예에서, 해석 모델(1510)은 ADI의 관심 피처와 연계된 선형 모델이다. 일 실시예에서, 선형 모델은 최소 제곱 오차를 채택하는 선형 회귀를 사용하여 복수의 예측들에 피팅된다. 도 13은 해석 모델(M1)의 예시를 나타낸다.In one embodiment, the interpretive model 1510 is a linear model associated with the feature of interest in the ADI. In one embodiment, a linear model is fitted to a plurality of predictions using linear regression employing least squares error. 13 shows an example of the analysis model M1.

일 실시예에서, 해석 맵(1520)은 픽셀화된 이미지(예를 들어, 도 14a 내지 도 14c에서의 MAP10, MAP20, 및 MAP30)이고, 모델 파라미터 값들은 픽셀화된 이미지의 각 픽셀에 할당된 가중치들 또는 값들이다. 일 실시예에서, 해석 맵은 각 픽셀에 0 또는 1의 값이 할당되는 이진 맵이다. 일 실시예에서, 이진 맵은 임계값을 넘는 픽셀 값에 기초하여 각 픽셀에 0 또는 1의 값을 할당함으로써 생성되며, 여기서 0은 관심 피처가 에칭 후 결함으로 프린트될 것을 나타내고, 1은 관심 피처가 에칭 후 결함 없이 프린트될 것을 나타낸다. 일 실시예에서, 임계값은 이 위에서 기여가 양인 것으로 또는 예측에 유리한 것으로 간주되는 값이며, 그 반대의 경우도 마찬가지이다.In one embodiment, interpretation map 1520 is a pixelated image (eg, MAP10, MAP20, and MAP30 in FIGS. 14A-14C ), and model parameter values are assigned to each pixel of the pixelated image. weights or values. In one embodiment, the interpretation map is a binary map in which each pixel is assigned a value of 0 or 1. In one embodiment, the binary map is generated by assigning a value of 0 or 1 to each pixel based on the pixel value above a threshold, where 0 indicates that the feature of interest will be printed as a defect after etching, and 1 indicates that the feature of interest will be printed as a defect. indicates that it will print without defects after etching. In one embodiment, the threshold is a value above which the contribution is considered positive or favorable for prediction, and vice versa.

일 실시예에서, 해석 맵(1520)은 모델 파라미터 값들에 기초하여 특정 컬러(예를 들어, RGB 값들)가 할당되는 컬러 이미지이다.In one embodiment, the interpretation map 1520 is a color image to which a particular color (eg, RGB values) is assigned based on model parameter values.

해석 모델(1510)을 트레이닝한 후, 이는 관심 피처와 연계된 예측들을 이해하는 데 사용될 수 있다. 예를 들어, 도 14a에 나타낸 바와 같이, 관심 피처(F10)를 포함한 ADI 이미지(ADI10)가 해석 모델(1510)에 입력될 수 있다. 그 후, 해석 모델은 해석 맵, 예를 들어 MAP10을 생성한다. 해석 맵(MAP10)은 피처(F10) 주위의 구역의 어느 부분들이 에칭 후 피처(F10)가 결함 없이 프린트될 것이라는 예측에 기여하는지를 시각적으로 설명하는 패치들(E1 및 E2)을 포함한다. 예를 들어, 패치 E1의 영역이 E2보다 실질적으로 더 크며, 이에 따라 E1이 더 높은 기여를 갖는다는 해석이 이루어질 수 있다.After training the interpretation model 1510, it can be used to understand predictions associated with the feature of interest. For example, as shown in FIG. 14A , the ADI image ADI10 including the feature of interest F10 may be input to the analysis model 1510 . Then, the analysis model generates an analysis map, for example, MAP10. Interpretation map MAP10 includes patches E1 and E2 that visually describe which portions of the region around feature F10 contribute to the prediction that after etching feature F10 will print defect-free. For example, an interpretation may be made that the area of patch E1 is substantially larger than E2, and thus E1 has a higher contribution.

일 실시예에서, 해석 모델은 예를 들어 메트롤로지 설정을 수정하고 결함 있음 분류의 품질을 가장 잘 설명하는 ADI 내의 부분들을 결정함으로써 최적화될 수 있다. 예를 들어, 초기 고유벡터는 92 % 분류 정확성을 제공하는 한편, 최적화 이후 분류 정확성을 94 %로 개선하는 2 개의 고유벡터들이 식별될 수 있거나, 최적화 이후 6 개의 고유벡터들이 분류 정확성을 99 %로 개선할 수 있다. 최적 파라미터들(예를 들어, 관련 고유벡터들)을 결정하기 위한 예시적인 최적화 프로세스가 다음과 같이 논의된다. 일 실시예에서, 최적화 프로세스 동안, 메트롤로지 툴 설정들, 고려할 다수의 고유벡터들, 또는 다른 설정들에 대한 변화들이 이루어질 수 있다. 최적화된 파라미터들(예를 들어, 고유벡터들)은 여하한의 입력 ADI에 적용될 수 있는 설명가능한 분류이다.In one embodiment, the interpretation model may be optimized, for example, by modifying metrology settings and determining the portions within the ADI that best describe the quality of the defective classification. For example, two eigenvectors can be identified that improve the classification accuracy to 94% after optimization, while the initial eigenvector provides 92% classification accuracy, or 6 eigenvectors after optimization improve the classification accuracy to 99%. can be improved An exemplary optimization process for determining optimal parameters (eg, relevant eigenvectors) is discussed as follows. In one embodiment, changes may be made to metrology tool settings, multiple eigenvectors to consider, or other settings during the optimization process. Optimized parameters (eg, eigenvectors) are descriptive classifications that can be applied to any input ADI.

일 실시예에서, 해석 모델(1510)을 적용하는 방법이 도 16에 관하여 논의된다. 도 16은 관심 피처의 결함 있음을 분류하는 것에 대한 관심 피처 부근의 기여들을 식별하는 방법(1600)의 흐름도이다. 상기 방법(1600)은 아래에서 논의되는 바와 같은 절차들을 포함한다.In one embodiment, a method of applying the analytical model 1510 is discussed with respect to FIG. 16 . 16 is a flow diagram of a method 1600 of identifying contributions in the vicinity of a feature of interest to classifying the feature of interest as defective. The method 1600 includes procedures as discussed below.

절차 P1601은 관심 피처(예를 들어, 피처들 F10, F20, 및 F30)를 포함한 현상 후 이미지(1601)(예를 들어, 도 14a 내지 도 14c의 ADI10, ADI20, ADI30), 및 관심 피처와 연계된 해석 모델(예를 들어, 도 15b의 1510)을 얻는 단계를 포함한다. 절차 P1603은 ADI(1601)에 해석 모델(1510)을 적용하여 해석 맵(1610)을 생성하는 단계를 포함한다. 일 실시예에서, 해석 맵(1610)은 관심 피처의 결함 있음을 분류하는 것에 대한 ADI(1601)의 각 픽셀의 기여들을 정량화하는 픽셀 값들을 포함한다.Procedure P1601 involves post-development image 1601 (eg, ADI10, ADI20, ADI30 in FIGS. 14A-14C ), including a feature of interest (eg, features F10, F20, and F30), and associates with a feature of interest. and obtaining the analyzed analysis model (eg, 1510 in FIG. 15B ). Procedure P1603 includes generating an interpretation map 1610 by applying the analysis model 1510 to the ADI 1601 . In one embodiment, the interpretation map 1610 includes pixel values that quantify the contributions of each pixel of the ADI 1601 to classifying the feature of interest as defective.

본 명세서에 언급된 바와 같이, 일 실시예에서, 해석 모델(1510)은 ADI(1601)의 관심 피처와 연계된 선형 모델이다. 일 실시예에서, 해석 맵(1610)은 픽셀화된 이미지이고, 여기서 각각의 픽셀은 관심 피처의 결함 있음을 분류하는 것에 대한 각 픽셀의 기여의 양을 나타내는 가중치를 갖는다. 일 실시예에서, 해석 맵(1610)은 각각의 픽셀에 0 또는 1의 값이 할당되는 이진 맵일 수 있다.As noted herein, in one embodiment, the analytical model 1510 is a linear model associated with the feature of interest of the ADI 1601 . In one embodiment, interpretation map 1610 is a pixelated image, wherein each pixel has a weight indicating the amount of each pixel's contribution to classifying the feature of interest as defective. In one embodiment, interpretation map 1610 may be a binary map in which each pixel is assigned a value of 0 or 1.

앞선 방법에서, 현상 후 이미지 및 에칭 후 이미지는 본 발명의 개념들을 설명하기 위한 예시들로서 사용된다. 하지만, 본 명세서에서 논의되는 방법들은 이러한 ADI 이미지 및 AEI 이미지에 제한되지 않는다. 당업자라면, 특정 공정(예를 들어, OPC, 광학적 공정, 레지스트 공정, 에칭, 화학 기계적 연마 등) 또는 패터닝 공정과 관련된 공정들의 조합 전후에 얻어진 여하한의 이미지들을 사용하여 앞선 방법들을 수행할 수 있다. 그 후, 모델은 공정이 수행된 후 실패 확률에 대한 공정 레시피(예를 들어, 광학적 공정 레시피, 레지스트 공정 레시피, 에칭 레시피 등)의 기여를 결정하기 위해 이러한 이미지들 간의 관계를 확립한다.In the preceding method, the image after development and the image after etching are used as examples for explaining the concepts of the present invention. However, the methods discussed herein are not limited to such ADI images and AEI images. A person skilled in the art can perform the preceding methods using any images obtained before and after a particular process (eg, OPC, optical process, resist process, etching, chemical mechanical polishing, etc.) or a combination of processes related to a patterning process. . The model then establishes a relationship between these images to determine the contribution of the process recipe (eg, optical process recipe, resist process recipe, etch recipe, etc.) to the probability of failure after the process is performed.

앞서 언급된 바와 같이, SEM 이미지들에 기초하여 현상 후 접촉홀들의 실패들을 분류하는 다수의 알고리즘들이 존재한다. 오차 분류를 위한 기준은 SEM 이미지들을 해석함에 있어서 상식에 기초할 수 있다. 예를 들어, 오차 기준은 낮은 SEM 콘트라스트 또는 작은 임계 치수(CD)일 수 있다. 또한, 소정 기준에 기초하여 접촉홀들의 CD 분포로부터 실패율을 추산하려는 시도가 있었다. 예를 들어, 기준은 (ⅰ) 소정 포커스-의존적 임계 CD 미만의 접촉홀 실패, (ⅱ) 평균 CD에서 CD의 3 표준 편차, 또는 왜도 및 첨도를 포함한 이의 변형들 -꼬리 CD라고도 함- 을 뺀 함수인 실패들의 분율일 수 있다. 꼬리 CD에 의한 예측은 경험적일 수 있으며, 이는 패터닝에 사용되는 공정에 의존할 수 있다. 또한, 예측들로부터의 편차들은 포커스에 의존할 수 있다.As mentioned above, there are a number of algorithms that classify failures of contact holes after development based on SEM images. Criteria for error classification may be based on common sense in interpreting SEM images. For example, the error criterion may be low SEM contrast or small critical dimension (CD). Also, an attempt has been made to estimate the failure rate from the CD distribution of the contact holes based on a predetermined criterion. For example, the criteria may include (i) contact hole failure below a certain focus-dependent critical CD, (ii) 3 standard deviations of CD from mean CD, or variations thereof, including skewness and kurtosis, also called tail CD. It can be the fraction of failures that is a function of subtraction. Prediction by tail CD can be empirical, which can depend on the process used for patterning. Also, deviations from predictions may be focus dependent.

본 발명에서, AEI 실패 및 실패-아닌 접촉홀들은 ADI 측정들에서 상이한 특성들을 갖는 것으로 간주된다. 따라서, 일 예시에서 논의된 바와 같이, ADI CD 분포는 AEI 측정된 실패 및 실패-아닌 접촉홀들을 설명하는 2 개의 독립적인 분포들로 분해될 수 있다. 이러한 ADI CD 분포들의 상대적인 기여가 에칭 후 실패한 홀들의 분율을 결정한다.In the present invention, AEI failure and non-failure contact holes are considered to have different properties in ADI measurements. Thus, as discussed in one example, the ADI CD distribution can be decomposed into two independent distributions that account for AEI measured failure and non-failure contact holes. The relative contribution of these ADI CD distributions determines the fraction of failed holes after etching.

일 실시예에서, 에칭 후 실패 및 실패-아닌 홀들의 ADI CD 분포는 상이하지만, 이 2 개의 CD 분포들은 겹칠 수 있다. 실패들은 FEM-의존적 CD 임계치에 의해 분류되지 않을 수 있다. 하지만, 소정 FEM 조건에서의 모든 접촉홀들의 CD 분포가 얻어지는 경우, 이는 두 분포들의 합으로서 피팅될 수 있으며, 이 분포들의 상대적인 기여가 에칭 후 실패한 홀들의 분율을 예측한다.In one embodiment, the ADI CD distributions of failed and non-failed holes after etching are different, but these two CD distributions may overlap. Failures may not be classified by the FEM-dependent CD threshold. However, if the CD distribution of all contact holes in a given FEM condition is obtained, it can be fitted as the sum of the two distributions, and the relative contribution of these distributions predicts the fraction of failed holes after etching.

도 17은 제 1 부분(예를 들어, 제 1 CD 분포) 및 제 2 부분(예를 들어, 제 2 CD 분포)으로 구성된 모델에 기초하여 ADI 측정들로부터 에칭 후 피처 실패들의 분율을 예측하는 방법(1700)의 흐름도이다. 상기 방법(1700)을 사용하여 생성된 모델은 패터닝 공정을 개선하기 위해 적용될 수 있다. 예를 들어, 모델은 ADI 측정으로부터 채워진 접촉홀들의 분율을 추산하는 데 사용될 수 있다. 추산되는 채워진 홀들은 예를 들어 다음과 같이 사용될 수 있다. 예시적인 적용에서, 추산되는 채워진 홀들은 리소그래피 공정의 램프업(ramp-up) 동안 사용될 수 있다. 예를 들어, 리소그래피 장치는 채워진 접촉홀들의 수를 감소시키도록 튜닝될 수 있다. 개선들의 예시들은 스캐너의 도즈 및 포커스의 튜닝 또는 레지스트에 대한 추가 필터링 단계들이다. 또 다른 예시적인 적용에서, 램프업 동안, 채워진 접촉홀들의 분율은 채워진 접촉홀들의 영향을 감소시키기 위해 에칭에 앞서 추가 디스큠(descumming) 또는 펀치-스루(punch-through)가 사용되어야 하는지 여부를 평가하는 데 사용될 수 있다. 또 다른 예시적인 적용에서, HVM 동안, ADI CD 분포는 기계가 여전히 사양 내에 있는지 여부를 확인하도록 검사될 수 있다. 이는 웨이퍼 당 엄청나게 많은 양의 접촉홀들을 필요로 한다는 것을 유의한다. 하지만, 소정 시간 프레임(예를 들어, 1 일)의 모든 데이터가 조합될 때, 이러한 피팅이 실현가능할 수 있다. 모델을 생성하고, 실패할 수 있는 피처들의 분율을 예측하는 방법(1700)이 다음과 같이 상세하게 논의된다.17 is a method of predicting the fraction of post-etch feature failures from ADI measurements based on a model composed of a first portion (eg, first CD distribution) and a second portion (eg, second CD distribution). (1700) is a flowchart. Models generated using the method 1700 can be applied to improve the patterning process. For example, the model can be used to estimate the fraction of filled contact holes from ADI measurements. The estimated filled holes can be used, for example, as follows. In an exemplary application, the estimated filled holes may be used during ramp-up of a lithographic process. For example, the lithographic apparatus can be tuned to reduce the number of filled contact holes. Examples of improvements are tuning of the scanner's dose and focus or additional filtering steps to the resist. In another exemplary application, during ramp-up, the fraction of filled contact holes determines whether additional descumming or punch-through should be used prior to etching to reduce the effect of filled contact holes. can be used to evaluate In another example application, during HVM, the ADI CD distribution may be checked to see if the machine is still within specification. Note that this requires a huge amount of contact holes per wafer. However, when all data in a given time frame (eg, 1 day) are combined, such fitting may be feasible. A method 1700 for generating a model and predicting the fraction of features that may fail is discussed in detail as follows.

절차 P1701은 기판의 현상 후 이미지(ADI)(1702)를 얻는 단계를 포함하며, ADI 이미지(1702)는 복수의 피처들을 포함한다. 일 실시예에서, ADI는 메트롤로지 툴을 통해 또는 프린트된 기판의 이미지들을 저장하는 데이터베이스로부터 얻어진 프린트된 기판의 이미지이다. 일 실시예에서, ADI 이미지의 복수의 피처들은 복수의 홀들, 복수의 필라(pillar)들, 복수의 라인들, 또는 이들의 조합을 포함한다. 따라서, 일 실시예에서, 에칭 후에 결함 있는 것으로 분류되는 ADI 이미지의 피처들의 일부는: 홀의 현상을 차단하는 레지스트로 인해 에칭 후 폐쇄된 홀 또는 사라진 홀; 에칭 후 병합된 홀; 복수의 라인들 중 한 라인의 네킹(necking); 브리징(bridging) 라인들, 또는 이들의 조합 중 적어도 하나를 포함한다.Procedure P1701 includes obtaining a post-developed image (ADI) 1702 of the substrate, wherein the ADI image 1702 includes a plurality of features. In one embodiment, the ADI is an image of a printed board obtained through a metrology tool or from a database that stores images of the printed board. In one embodiment, the plurality of features of the ADI image includes a plurality of holes, a plurality of pillars, a plurality of lines, or a combination thereof. Thus, in one embodiment, some of the features of the ADI image that are classified as defective after etching are: holes that are closed or disappeared after etching due to resist blocking the development of the holes; merged holes after etching; necking of one of the plurality of lines; at least one of bridging lines, or a combination thereof.

일 실시예에서, 물리적 특성은 ADI 이미지 내의 피처의 임계 치수(CD)일 수 있고, 피처와 연계된 물리적 특성 임계값은 CD 임계값일 수 있다. 예를 들어, 접촉홀의 CD는 윤곽형성 알고리즘으로부터 발생한 윤곽에 의해 에워싸인 표면적을 계산함으로써 결정되고(예를 들어, 각각의 관심 피처에 대한 다수 메트롤로지 툴 임계치들에서 얻어진 CD), 그 후 원의 직경이 동일한 표면적으로 결정될 수 있다. 일 실시예에서, 물리적 특성은: 피처의 CD들의 기하학적 평균 -CD들은 ADI 이미지에서 제 1 방향(예를 들어, x-방향) 또는 제 2 방향(예를 들어, y-방향)을 따라 측정될 수 있음- ; ADI 이미지 내의 관심 피처의 방향성 CD(directional CD); ADI 이미지 내의 관심 피처의 곡률 분산; 또는 각각의 관심 피처에 대한 다수 메트롤로지 툴 임계치들에서 얻어진 CD 중 적어도 하나일 수 있다. 일 실시예에서, 방향성 CD는: x-방향을 따라 측정된 CD; y-방향을 따라 측정된 CD; 또는 원하는 각도를 따라 측정된 CD 중 적어도 하나이다. 물리적 특성 값들(예를 들어, CD 값들) 또는 그 서브세트는 아래에서 논의되는 바와 같이 모델을 생성하는 데 사용될 수 있다. 일 실시예에서, 물리적 특성은 물리적 특성들 중 1 이상의 함수일 수 있다. 예를 들어, 물리적 특성은 CD 값들의 제곱일 수 있다. 본 발명은 특정 물리적 특성에 제한되지 않는다. 당업자라면, 피처의 실패를 특징짓는 데 사용될 수 있는 여하한의 물리적 특성이 여기에서 사용될 수 있음을 이해할 수 있다.In one embodiment, the physical property may be a critical dimension (CD) of a feature in the ADI image, and the physical property threshold associated with the feature may be a CD threshold. For example, the CD of the contact hole is determined by calculating the surface area enclosed by the contour resulting from the contouring algorithm (e.g., the CD obtained from multiple metrology tool thresholds for each feature of interest), then the circle The diameter of can be determined with the same surface area. In one embodiment, the physical property is: the geometric mean of the CDs of the feature - the CDs to be measured along a first direction (eg, x-direction) or a second direction (eg, y-direction) in the ADI image. can- ; directional CD of the feature of interest in the ADI image; Dispersion of curvature of features of interest within ADI images; or CD obtained from multiple metrology tool thresholds for each feature of interest. In one embodiment, the directional CD includes: a CD measured along the x-direction; CD measured along the y-direction; or CD measured along the desired angle. The physical property values (eg, CD values) or a subset thereof may be used to create a model as discussed below. In one embodiment, the physical property may be a function of one or more of the physical properties. For example, the physical property may be the square of the CD values. The present invention is not limited to specific physical properties. One of ordinary skill in the art would appreciate that any physical property that could be used to characterize the failure of a feature could be used herein.

절차 P1703은 ADI 이미지(1702)의 피처들의 서브세트(SET1)와 관련된 물리적 특성 값들(예를 들어, CD, EPE)에 기초하여 모델(1710)의 제 1 부분[예를 들어, 제 1 확률 분포 함수(PDF1)]을 생성하는 단계를 포함한다. 절차 P1705는 모델의 제 1 부분, 및 ADI 이미지(1702)의 복수의 피처들의 모든 피처들과 관련된 물리적 특성 값들(예를 들어, CD)에 기초하여 모델의 제 2 부분[예를 들어, 제 2 확률 분포 함수(PDF2)]을 생성하는 단계를 포함한다. 일 실시예에서, ADI 이미지의 피처들의 서브세트(SET1)는 ADI 이미지(1702)의 다른 피처들과 구별된다. 예를 들어, 서브세트(SET1)는 지정된 임계치보다 큰 CD 값들을 갖는 피처들일 수 있다. 일 실시예에서, 서브세트(SET1)는 절단 PDF를 사용하여 피팅된다. 일 실시예에서, 절단 PDF를 사용하는 것은 임계치(예를 들어, CD u ) 및 피팅 파라미터들에 기초하여 PDF의 정규화를 변화시킨다.Procedure P1703 performs a first portion (eg, a first probability distribution) of model 1710 based on physical property values (eg, CD, EPE) associated with a subset of features SET1 of the ADI image 1702 . function (PDF1)]. Procedure P1705 includes a first portion of the model and a second portion of the model (eg, a second Probability distribution function (PDF2)]. In one embodiment, the subset of features SET1 of the ADI image is distinct from other features of the ADI image 1702 . For example, subset SET1 may be features with CD values greater than a specified threshold. In one embodiment, the subset SET1 is fitted using a truncated PDF. In one embodiment, using the truncated PDF changes the normalization of the PDF based on a threshold (eg, CD u ) and fitting parameters.

일 실시예에서, 모델의 제 1 부분 및 모델의 제 2 부분의 생성은 모델(1710)의 로그-우도 메트릭을 최대화함으로써 각각 제 1 확률 분포 함수(PDF1) 및 제 2 확률 분포 함수(PDF2)의 피팅을 포함한다. 일 실시예에서, 모델(1710)은 제 1 확률 분포 함수(PDF1) 및 제 2 확률 분포 함수(PDF2)의 조합이다. 일 실시예에서, 제 1 확률 분포 함수(PDF1)는 실패-아닌 피처들(예를 들어, 실패-아닌 홀들)에 대한 물리적 특성 값들(예를 들어, CD)의 분포를 추산하도록 구성된다. 일 실시예에서, 실패-아닌 홀들은 매우 낮은 실패 확률을 갖는 것을 나타낼 수 있다. 예를 들어, 주어진 범위(예를 들어, 0 내지 0.1)의 실패율이다. 일 실시예에서, 제 2 확률 분포 함수(PDF2)는 ADI 이미지의 모든 복수의 피처들의 물리적 특성 값들에 기초하여 실패율들을 결정하도록 구성된다.In one embodiment, the generation of the first part of the model and the second part of the model is performed by maximizing the log-likelihood metric of the model 1710, respectively, of the first probability distribution function (PDF1) and the second probability distribution function (PDF2). Includes fittings. In one embodiment, model 1710 is a combination of a first probability distribution function (PDF1) and a second probability distribution function (PDF2). In one embodiment, the first probability distribution function PDF1 is configured to estimate the distribution of physical property values (eg CD) for non-failure features (eg non-failure holes). In one embodiment, non-failure holes may indicate having a very low probability of failure. For example, a failure rate in a given range (eg, 0 to 0.1). In an embodiment, the second probability distribution function PDF2 is configured to determine failure rates based on physical property values of all the plurality of features of the ADI image.

일 실시예에서, 모델(1710)은 제 1 확률 분포 함수 및 제 2 확률 분포 함수의 가중 합이다. 예를 들어, 모델은 각각 실패 및 실패-아닌 것에 대한 제 1 함수(PDF1) 및 제 2 함수(PDF2)의 가중 합으로서 연산된 총 분포이다.In one embodiment, model 1710 is a weighted sum of a first probability distribution function and a second probability distribution function. For example, the model is the total distribution computed as the weighted sum of the first function PDF1 and the second function PDF2 for failure and non-failure, respectively.

일 실시예에서, 제 1 확률 분포 함수는 물리적 특성과 관련된 절단 값(예를 들어, CD u ), 정규 분포의 시프트(예를 들어, 평균)를 설명하는 제 1 위치 파라미터 및 정규 분포의 산포(spread)를 설명하는 제 1 스케일 파라미터(예를 들어, 시그마)를 특징으로 하는 정규 분포(또는 절단 정규 분포)이다. 일 실시예에서, 수학식 1에서와 같이, CD의 제곱은 정규 분포에 적합하지만, CD 자체는 또 다른(예를 들어, GEV) 분포에 적합할 수 있다.In one embodiment, the first probability distribution function includes a truncated value (eg, CD u ) associated with a physical property, a first positional parameter describing the shift (eg, mean) of the normal distribution, and the distribution of the normal distribution ( spread), a normal distribution (or truncated normal distribution) characterized by a first scale parameter (eg, sigma). In one embodiment, as in equation (1), the square of the CD fits a normal distribution, but the CD itself may fit another (eg, GEV) distribution.

일 실시예에서, 제 2 확률 분포 함수는 GEV 분포에서의 시프트를 설명하는 제 2 위치 파라미터(μ), GEV 분포의 산포를 설명하는 제 2 스케일 파라미터(σ), 및 GEV 분포의 형상을 설명하는 형상 파라미터(ξ)를 특징으로 하는 일반화된 극단값(GEV) 분포이다.In one embodiment, the second probability distribution function includes a second position parameter (μ) that describes the shift in the GEV distribution, a second scale parameter (σ) that describes the spread of the GEV distribution, and a shape of the GEV distribution A generalized extreme value (GEV) distribution characterized by a shape parameter (ξ).

본 기재내용의 예시에서, 모델(1710) 또는 피팅되는 전체 분포는 ADI CD들의 제곱의 정규 분포 및 일반화된 극단값(GEV) 분포의 합이다. 예를 들어, 전체 확률 분포 함수(PDF)는 다음과 같이 주어질 수 있다:In the example of this disclosure, the model 1710 or the fitted overall distribution is the sum of the normalized distribution of squares of the ADI CDs and the generalized extreme value (GEV) distribution. For example, the overall probability distribution function (PDF) can be given as:

Figure pct00022
Figure pct00022

앞선 수학식에서, 변수 x는 ADI의 피처들의 물리적 특성, 예를 들어 CD를 나타내고, p GEV 는 GEV 분포의 꼬리의 누적 확률을 나타내며, Θ N 은 정규 분포 또는 절단 정규 분포의 파라미터들을 나타내고, Θ GEV 는 GEV 분포의 파라미터들을 나타낸다.In the preceding equation, the variable x represents the physical properties of features of ADI, for example CD, p GEV represents the cumulative probability of the tail of the GEV distribution, Θ N represents the parameters of the normal distribution or the truncated normal distribution, and Θ GEV denotes the parameters of the GEV distribution.

일 실시예에서, 앞선 PDF의 로그-우도는 다음 수학식에 의해 연산될 수 있다:In one embodiment, the log-likelihood of the preceding PDF can be calculated by the following equation:

Figure pct00023
Figure pct00023

정규 분포 및 GEV 분포의 앞선 예시를 사용하여, 본 방법은 아래에서 논의되는 두 단계들에서 모델을 생성한다.Using the previous examples of the normal distribution and the GEV distribution, the method creates a model in two steps discussed below.

일 실시예에서, l(p GEV ,Θ N ,Θ rGEV )의 비제약 수치 최대화가 사용되는 경우, GEV는 분포의 꼬리를 피팅하기보다는 실패-아닌 분포에 잡음을 피팅할 수 있다. 그러므로, p GEV 가 작은 것으로 가정된다. 이를 위해, 2-단계 절차(예를 들어, P1703 및 P1705를 포함함)가 채택되어 다음과 같이 모델(예를 들어, 1710)을 생성한다.In one embodiment, when an unconstrained numerical maximization of l ( p GEV , Θ N , Θ rGEV ) is used, the GEV may fit the noise to a non-failure distribution rather than fitting the tail of the distribution. Therefore, p GEV is assumed to be small. To this end, a two-step procedure (eg, including P1703 and P1705) is employed to create a model (eg, 1710) as follows.

먼저, 아래로부터 절단되는 절단 정규 분포와 소정

Figure pct00024
이상의 CD 2 를 피팅한다. 예를 들어, 도 18은 절단 정규 분포(1810)의 일 예시를 나타내며, 여기서 CD u 는 15 nm이다. 다시 말해서, 15 nm 이상의 CD 값들이 정규 분포에 피팅하는 데 사용된다.First, the truncated normal distribution truncated from the bottom and the predetermined
Figure pct00024
Fit the above CD 2 . For example, FIG. 18 shows an example of a truncated normal distribution 1810 , where CD u is 15 nm. In other words, CD values above 15 nm are used to fit a normal distribution.

Figure pct00025
Figure pct00025

앞선 수학식에서, ν N ρ N 은 로그-우도의 최대화에서 따를 수 있는 정규 분포의 관련 평균 및 표준 편차이다. 예를 들어,

Figure pct00026
Figure pct00027
이다. 일 실시예에서, ν N ρ N 의 값들은 앞선 PDF(CD)의 로그-우도가 최대화될 때까지 반복적으로 해결될 수 있다. 또한, 앞선 수학식에서, PDF N 는 정규 분포의 확률 분포 함수를 지칭하고, CDF N 는 정규 분포 함수의 누적 분포 함수를 지칭한다. 일 실시예에서,
Figure pct00028
는 초기에 ν N - N 가 되도록 선택될 수 있다. 이는 반복적으로 달성될 수 있다.In the preceding equation, ν N and ρ N are the relevant mean and standard deviation of the normal distribution that can be followed in the log-likelihood maximization. for example,
Figure pct00026
and
Figure pct00027
am. In an embodiment, the values of ν N and ρ N may be iteratively solved until the log-likelihood of the preceding PDF (CD) is maximized. In addition, in the above equation, PDF N refers to the probability distribution function of the normal distribution, and CDF N refers to the cumulative distribution function of the normal distribution function. In one embodiment,
Figure pct00028
can be initially chosen to be ν N - N . This can be achieved iteratively.

또한, (GEV와 관련하여 아래에서 논의되는) 제 2 단계에서의 피팅 후, CD ≥ CD u 에 대한 실패한 홀들의 예측된 분율이 소정 임계치 미만(예를 들어, 1 % 미만)인지 여부가 체크될 수 있다. 그렇지 않은 경우, 절차(예를 들어, P1703 및 P1705)는 (예를 들어, 15 nm보다 큰) 더 큰 값의 CD u 로 반복될 수 있다.Also, after fitting in the second step (discussed below in relation to GEV), it is to be checked whether the predicted fraction of failed holes for CD > CD u is below a certain threshold (eg, less than 1%). can Otherwise, the procedure (eg, P1703 and P1705) may be repeated with a larger value of CD u (eg, greater than 15 nm).

일 실시예에서, 전체 CD 분포는 ν N ρ N 을 이전에 얻어진 값들에 고정된 채로 유지하면서 수학식(1)에서 제안된 분포와 피팅된다. 로그-우도를 최대화함으로써 p GEV ,ξ,σ GEV ,μ GEV 가 결정될 수 있다. 이러한 피팅 프로세스 및 그 안에서 사용된 수학식들은 여하한의 비선형 프로그래밍 솔버를 사용하여 구현될 수 있다. 비선형 프로그래밍 솔버는 지정되는 비제약 다변수 함수의 최소값을 찾을 수 있다. 일 실시예에서, ξ = 0이 선택되어 견고성을 개선할 수 있다.In one embodiment, the overall CD distribution is fitted with the distribution proposed in equation (1) while keeping v N and p N fixed to the previously obtained values. By maximizing the log-likelihood, p GEV , ξ , σ GEV , μ GEV can be determined. This fitting process and the equations used therein may be implemented using any non-linear programming solver. A nonlinear programming solver can find the minimum of a given unconstrained multivariate function. In one embodiment, ξ = 0 may be chosen to improve robustness.

앞선 예시와 관련하여 논의된 바와 같이, 모델의 생성은 제 1 확률 분포 함수와 관련된 제 1 로그-우도 메트릭을 최대화함으로써 피처들의 서브세트의 물리적 특성의 제곱(예를 들어, CD 2 ) 값들에 기초하여 제 1 확률 분포 함수(예를 들어, 정규 분포)를 피팅하는 단계를 수반한다. 일 실시예에서, 피처들의 서브세트(SET1)는 물리적 특성 임계값 이상의 물리적 특성 값들을 갖는다. 그 후, 피팅된 제 1 확률 분포 함수는 제 2 확률 분포 함수와 조합될 수 있다. 조합된 분포에 기초하여, 제 2 확률 분포 함수는 조합된 분포와 관련된 제 2 로그-우도 메트릭이 최대화되도록 복수의 피처들의 모든 피처들의 물리적 특성 값들에 기초하여 피팅될 수 있다. 일 실시예에서, 제 2 분포의 상대 가중치가 피팅 프로세스에서 결정된다.As discussed in connection with the preceding example, the generation of the model is based on squared (eg, CD 2 ) values of a physical property of a subset of features by maximizing a first log-likelihood metric associated with a first probability distribution function. to fit a first probability distribution function (eg, a normal distribution). In one embodiment, the subset of features SET1 has physical property values above the physical property threshold. Then, the fitted first probability distribution function may be combined with the second probability distribution function. Based on the combined distribution, a second probability distribution function may be fitted based on the physical property values of all features of the plurality of features such that a second log-likelihood metric associated with the combined distribution is maximized. In one embodiment, the relative weights of the second distribution are determined in a fitting process.

도 18a는 ADI 이미지에서의 피처들의 CD 값들에 기초한 2 개의 확률 분포 함수들의 예시적인 피팅이다. 점(dot)들은 (예를 들어, 에칭 데이터 분석에 기초하여 결정된) 실패-아닌 홀들을 나타내고, 가위표(cross)들은 (예를 들어, 원하는 값보다 작은 에칭 데이터 및 CD에 기초한) 실패한 홀들을 나타낸다. 절단 정규 분포(1810)(제 1 확률 분포 함수의 일 예시)가 실패-아닌 홀들의 CD 값들을 사용하여 피팅될 수 있으며, 여기서 CD 임계치(예를 들어, 15 nm) 이상의 CD 값들을 갖는 홀들이 실패-아닌 홀들로 간주된다. 또한, GEV 분포(1820)가 꼬리들(예를 들어, 15 nm 미만의 CD)에 사용될 수 있고, 예를 들어 앞선 수학식(1) 및 모든 ADI CD 값들을 사용하여 전체 분포(1801)가 피팅될 수 있다. 일 실시예에서, 겹치는 구역(예를 들어, 약 15 nm)에 대해, 정규 분포 및 GEV 분포는 둘 다 유사한 가중치들을 가질 수 있다[예를 들어, 수학식(1)에서 p GEV 에 의해 표현됨]. 일 예시에서, p GEV 는 0에 가까울 수 있지만, p GEV GEV(x;Θ GEV )의 값은 작은 CD 및 최적 피팅 파라미터들에 대해 (1 - p GEV )2·CD·N(CD 2 N )보다 훨씬 더 크다. 일 실시예에서, GEV 분포와 연계된 가중치는 CD 값들이 점진적으로 감소함에 따라 점진적으로 증가한다.18A is an example fitting of two probability distribution functions based on CD values of features in an ADI image. Dots indicate non-failure holes (eg, determined based on etch data analysis) and crosses indicate failed holes (eg, based on etch data and CD less than desired values) . A truncated normal distribution 1810 (an example of a first probability distribution function) can be fitted using CD values of non-failure holes, where holes with CD values above a CD threshold (eg, 15 nm) are They are considered non-failure holes. Also, the GEV distribution 1820 can be used for tails (eg, CD less than 15 nm), for example the overall distribution 1801 is fitted using Equation (1) above and all ADI CD values. can be In one embodiment, for an overlapping region (eg, about 15 nm), both the normal distribution and the GEV distribution may have similar weights (eg, represented by p GEV in equation (1)). . In one example, p GEV can be close to zero, but the value of p GEV GEV ( x;Θ GEV ) is ( 1 - p GEV ) 2 CD N ( CD 2 GEV ) for small CD and best fitting parameters. N ) is much larger than that. In one embodiment, the weight associated with the GEV distribution progressively increases as CD values progressively decrease.

일 실시예에서, 제 1 확률 분포 함수의 피팅은 반복 프로세스이다. 반복 프로세스는 (a) 제 1 확률 분포 함수의 파라미터들의 주어진 값들을 사용하여 제 1 로그-우도 메트릭을 결정하는 단계; (b) 제 1 로그-우도 메트릭이 최대화되는지 여부를 결정하는 단계; (c) 최대화되지 않음에 응답하여, 기울기에 기초하여, 제 1 확률 분포 함수의 파라미터들의 값들을 조정하는 단계, 및 단계들 (a) 내지 (c)를 수행하는 단계를 포함한다. 일 실시예에서, 기울기는 제 1 확률 분포 함수의 파라미터들에 대한 제 1 로그-우도 메트릭의 1차 미분(first derivative)이다.In one embodiment, fitting of the first probability distribution function is an iterative process. The iterative process includes (a) determining a first log-likelihood metric using given values of parameters of the first probability distribution function; (b) determining whether the first log-likelihood metric is maximized; (c) in response to not being maximized, adjusting values of parameters of the first probability distribution function based on the slope, and performing steps (a) to (c). In one embodiment, the slope is the first derivative of the first log-likelihood metric with respect to the parameters of the first probability distribution function.

일 실시예에서, 제 2 확률 분포 함수의 피팅은 제 2 로그-우도 메트릭의 최대화에 기초하여, 제 1 확률 분포 함수의 파라미터들의 값들을 수정하지 않고 제 2 확률 분포 함수의 파라미터들의 값들 및 그 가중치를 결정하는 단계를 수반한다.In one embodiment, the fitting of the second probability distribution function is based on the maximization of the second log-likelihood metric, without modifying the values of the parameters of the first probability distribution function, the values of the parameters of the second probability distribution function and their weight It involves the steps of determining

일 실시예에서, 제 2 확률 분포 함수(예를 들어, 1820)의 피팅은 반복 프로세스이다. 반복 프로세스는 (a) 피팅된 제 1 확률 분포 함수 및 제 2 확률 분포 함수의 조합된 분포를 얻는 단계; (b) 조합된 분포(예를 들어, 1801)에 기초하고 피팅된 제 1 분포의 파라미터들의 값들을 고정된 채로 유지하여, 제 2 확률 분포 함수의 파라미터들의 주어진 값들을 사용하여 제 2 로그-우도 메트릭을 결정하는 단계; (c) 제 2 로그-우도 메트릭이 최대화되는지 여부를 결정하는 단계; (d) 최대화되지 않음에 응답하여, 기울기에 기초하여, 제 2 확률 분포 함수의 파라미터들의 값들을 조정하는 단계, 및 단계들 (b) 내지 (d)를 수행하는 단계를 수반한다. 일 실시예에서, 기울기는 제 2 확률 분포 함수의 파라미터들에 대한 제 2 로그-우도 메트릭의 1차 미분이다. 일 실시예에서, 조합된 확률 분포 함수(예를 들어, 1801)는 ADI 피처들의 실패들 또는 실패율들을 예측하기 위한 모델(1710)로서 채택될 수 있다.In one embodiment, fitting the second probability distribution function (eg, 1820 ) is an iterative process. The iterative process includes (a) obtaining a combined distribution of a fitted first probability distribution function and a second probability distribution function; (b) a second log-likelihood based on the combined distribution (eg, 1801 ) and keeping the values of the parameters of the fitted first distribution fixed, using the given values of the parameters of the second probability distribution function. determining a metric; (c) determining whether a second log-likelihood metric is maximized; (d) in response to not being maximized, adjusting values of parameters of the second probability distribution function based on the slope, and performing steps (b) to (d). In one embodiment, the slope is the first derivative of the second log-likelihood metric with respect to the parameters of the second probability distribution function. In one embodiment, the combined probability distribution function (eg, 1801 ) may be employed as the model 1710 for predicting failure rates or failure rates of ADI features.

도 18b를 참조하면, ADI LCDU가 실패-아닌 피처들 AEI를 유도하는 ADI 홀들로 플롯 구성되는 제 1 포커스-노광 매트릭스(PW1)(x-축의 포커스, y-축의 도즈), 및 에칭 후에 실패 및 실패-아닌 것을 둘 다 포함할 수 있는 ADI와 연계된 또 다른 포커스-노광 매트릭스(PW2)를 나타낸다. 또한, 도 18b는 패턴의 LCDU가 실패 및 실패-아닌 AEI에 대해 도즈에 따라 어떻게 변하는지를 나타낸다. 실패-아닌 피처들에 대해, 및 모든 홀들에 대해 도즈의 함수로서 LCDU 사이에 분명한 차이가 존재한다. 예를 들어, 곡선 1851은 모든 홀들에 대해 도즈의 함수로서 LCDU를 나타내고, 곡선 1853은 AEI에서의 실패-아닌 홀들에 대해 도즈의 함수로서 LCDU를 나타낸다. 일 예시에서, 에칭 후 병합된 홀들이 존재하는 경우, LCDU(곡선 1851)는 더 높은 도즈에서 증가한다. 반면에, 에칭 후 실패-아닌 홀들이 존재하는 경우, LCDU(곡선 1853)는 더 높은 도즈에서 감소한다. 이 관계는 실패 및 실패-아닌 피처들(예를 들어, 접촉홀들)과 연계된, 예를 들어 CD 분포의 피팅 파라미터들이 상이할 것을 나타낸다. 피팅된 CD 분포는, 예를 들어 공정 윈도우를 결정하는 데 사용될 수 있다. 본 실시예에서, 모델(1710)이 앞서 논의된 바와 같이 제 1 분포 및 제 2 분포의 조합된 분포이기 때문에, 모델(1710)은 LCDU와 도즈 사이의 관계를 더 정확하게 포착한다. 따라서, 피팅된 분포(1710)의 통계적 파라미터들 또는 특성들이 사용되어, 예를 들어 패터닝 공정의 공정 윈도우를 더 정확하게 결정할 수 있다.Referring to FIG. 18B , a first focus-exposure matrix PW1 (focus in x-axis, dose in y-axis), consisting of ADI holes plotting ADI LCDU leading to non-failure features AEI, and failure after etching and It represents another focus-exposure matrix PW2 associated with ADI which may contain both non-failures. 18B also shows how the LCDU of the pattern changes with dose for fail and non-fail AEIs. There is a clear difference between LCDUs as a function of dose for all holes and for non-fail features. For example, curve 1851 shows LCDU as a function of dose for all holes and curve 1853 shows LCDU as a function of dose for non-failure holes in AEI. In one example, if there are merged holes after etching, the LCDU (curve 1851) increases at a higher dose. On the other hand, if there are non-failure holes after etching, the LCDU (curve 1853) decreases at higher doses. This relationship indicates that the fitting parameters of eg CD distribution associated with failure and non-failure features (eg contact holes) will be different. The fitted CD distribution can be used, for example, to determine a process window. In this embodiment, model 1710 captures the relationship between LCDU and dose more accurately because model 1710 is a combined distribution of the first and second distributions as discussed above. Accordingly, statistical parameters or properties of the fitted distribution 1710 may be used, for example, to more accurately determine the process window of a patterning process.

일 실시예에서, 상기 방법(1700)은 공정 윈도우(PW)를 결정하도록 구성되는 절차들(P1711 및 P1713)을 더 포함할 수 있다. 일 실시예에서, P1711은 실패-아닌 피처들과 관련되는 피팅된 확률 분포(1710)(예를 들어, 도 17의 PDF1)의 통계적 특성들을 추출하는 단계를 포함한다. 예를 들어, 통계적 특성들은 평균, 표준 편차, 왜도, 또는 기판에 프린트되는 접촉홀들과 관련된 다른 통계일 수 있다.In an embodiment, the method 1700 may further include procedures P1711 and P1713 configured to determine the process window PW. In one embodiment, P1711 includes extracting statistical properties of the fitted probability distribution 1710 (eg, PDF1 of FIG. 17 ) associated with non-failure features. For example, the statistical characteristics may be mean, standard deviation, skewness, or other statistics related to contact holes printed on the substrate.

일 실시예에서, 절차 P1713에서, 피팅된 분포(1710)의 추출된 통계적 특성들은 공정 윈도우를 결정하기 위해 채택된다. 예를 들어, 공정 윈도우는 결함이 없거나 매우 적은 수의 결함(예를 들어, 백만 개의 피처들 중 하나의 결함 있는 피처)으로 피처들이 기판 상에 프린트되도록 하는 도즈-포커스 값들의 범위를 포함한다. 공정 윈도우를 결정하는 예시적인 방법이 2020년 2월 21일에 출원된 미국 특허 출원 제 62/980,068호에서 논의되며, 이는 본 명세서에서 그 전문이 인용참조된다.In one embodiment, in procedure P1713 , the extracted statistical properties of the fitted distribution 1710 are employed to determine a process window. For example, the process window includes a range of dose-focus values that allow features to be printed on a substrate with no defects or very few defects (eg, one defective feature in a million features). An exemplary method of determining a process window is discussed in US Patent Application No. 62/980,068, filed February 21, 2020, which is incorporated herein by reference in its entirety.

앞서 논의된 바와 같이, 상기 방법(1700)은 여러 적용예들을 갖는다. 따라서, 상기 방법(1700)은 패터닝 공정을 개선하는 것을 포함하도록 더 수정될 수 있다. 예를 들어, 상기 방법(1700)은 패터닝 장치를 통해 또 다른 기판 상에 또 다른 복수의 피처들을 포함하는 원하는 패턴을 이미징하는 단계; 이미징된 패턴의 현상 후 이미지를 얻는 단계; 에칭 후 결함이 있는 것으로 ADI 내의 피처들의 일부를 분류하기 위해 현상 후 이미지를 사용하여 제 1 및 제 2 확률 분포 함수들을 실행하는 단계; 및 분류된 피처들에 기초하여, 이미징된 패턴이 에칭 후에 실패하지 않도록 에칭 조건을 조정하는 단계를 더 포함할 수 있다.As previously discussed, the method 1700 has several applications. Accordingly, the method 1700 may be further modified to include improving the patterning process. For example, the method 1700 may include imaging a desired pattern comprising another plurality of features on another substrate via a patterning apparatus; obtaining an image after development of the imaged pattern; executing first and second probability distribution functions using the post-development image to classify some of the features in the ADI as post-etch defective; and adjusting the etching conditions based on the classified features so that the imaged pattern does not fail after etching.

또 다른 예시적인 적용에서, 상기 방법(1700)은 에칭 후 ADI 피처들의 실패율을 감소시키도록 리소그래피 공정을 튜닝하기 위해 더 수정되거나 사용될 수 있으며, 튜닝은 도즈, 포커스, 또는 둘 모두를 조정하는 것을 포함한다. 또 다른 적용들에서, 상기 방법(1700)은 에칭 후 ADI 피처들의 실패율을 감소시키기 위해 레지스트 층에 대한 추가 필터링 단계가 수행되어야 하는지 여부를 결정하는 데 사용될 수 있다. 또 다른 적용에서, 상기 방법(1700)은 에칭 후 ADI 피처들의 실패율을 감소시키기 위해 추가 디스큠 또는 펀치-스루 단계가 수행되어야 하는지 여부를 결정하는 데 사용될 수 있다. 또 다른 적용에서, 상기 방법(1700)은 대량 제조 동안, 리소그래피 장치가 프린팅의 지정된 기준을 만족하는지 여부를 결정하기 위해 ADI 피처들을 검사하는 데 사용될 수 있다. 또 다른 적용에서, 상기 방법(1700)은 실패율에 기초하여, 에칭 전에 소정 기판 또는 기판의 로트를 재작업하는 데 사용될 수 있다.In another exemplary application, the method 1700 may be further modified or used to tune a lithographic process to reduce the failure rate of ADI features after etching, wherein the tuning includes adjusting dose, focus, or both. do. In still other applications, the method 1700 can be used to determine whether an additional filtering step on the resist layer should be performed to reduce the failure rate of ADI features after etching. In another application, the method 1700 may be used to determine whether an additional disquiet or punch-through step should be performed to reduce the failure rate of ADI features after etching. In another application, the method 1700 may be used during mass manufacturing to inspect ADI features to determine whether a lithographic apparatus meets specified criteria for printing. In another application, the method 1700 may be used to rework a given substrate or lot of substrates prior to etching, based on failure rates.

일 실시예에서, 두 부분 모델을 사용하여, ADI 측정들에 기초하여 에칭 후에 실패할 피처들의 분율을 결정하기 위해 시스템이 구성될 수 있다. 일 실시예에서, 시스템은 주어진 위치에서 기판의 현상 후 이미지(ADI)를 캡처하는 메트롤로지 툴(예를 들어, 도 28 및 도 29의 SEM) -현상 후 이미지는 복수의 피처들을 포함함- ; 및 ADI에 기초하여 실패율들을 결정하도록 구성되는 프로세서(예를 들어, 도 30의 104)를 포함한다. 일 실시예에서, 프로세서(예를 들어, 104)는 에칭 후에 실패할 ADI의 복수의 피처들의 실패율들을 결정하기 위한 모델(예를 들어, 도 17의 1710)을 실행하도록 구성된다. 일 실시예에서, 모델은 (ⅰ) 실패-아닌 홀들에 대한 물리적 특성 값들의 분포를 추산하도록 구성되는 제 1 확률 분포 함수, 및 (ⅱ) ADI의 복수의 피처들 모두의 물리적 특성 값들에 기초하여 실패율들을 결정하도록 구성되는 제 2 확률 분포 함수의 조합이다.In one embodiment, using a two-part model, a system may be configured to determine a fraction of features that will fail after etching based on ADI measurements. In one embodiment, the system is a metrology tool (eg, the SEM of FIGS. 28 and 29 ) that captures a post-developed image (ADI) of the substrate at a given location, the post-developed image comprising a plurality of features- ; and a processor (eg, 104 of FIG. 30 ) configured to determine failure rates based on the ADI. In one embodiment, the processor (eg, 104 ) is configured to execute a model (eg, 1710 of FIG. 17 ) for determining failure rates of a plurality of features of the ADI that will fail after etching. In an embodiment, the model is based on (i) a first probability distribution function configured to estimate a distribution of physical property values for non-failure holes, and (ii) physical property values of all of the plurality of features of the ADI. a combination of a second probability distribution function configured to determine failure rates.

일 실시예에서, 시스템은 기판 상에 복수의 피처들을 포함하는 원하는 패턴을 이미징하도록 구성된 패터닝 장치(예를 들어, 도 1, 및 도 31 내지 도 34)를 더 포함한다. 프로세서(예를 들어, 104)는 메트롤로지 툴을 통해 이미징된 기판의 ADI를 수신하고; 제 1 확률 분포(예를 들어, 피팅된 PDF1) 및 제 2 확률 분포(예를 들어, 피팅된 PDF2)를 실행하여 ADI의 피처들의 실패율들을 결정하며; 상대적으로 더 높은 실패율들을 갖는 피처들에 기초하여, 피처들의 실패율들을 감소시키도록 패터닝 장치를 튜닝하도록 더 구성될 수 있다. 일 실시예에서, 프로세서(예를 들어, 104)는 패터닝 장치의 노브/설정을 통해 도즈 또는 포커스를 튜닝하도록 구성될 수 있다.In one embodiment, the system further comprises a patterning device (eg, FIG. 1 , and FIGS. 31-34 ) configured to image a desired pattern including a plurality of features on the substrate. The processor (eg, 104 ) receives the ADI of the imaged substrate via the metrology tool; run a first probability distribution (eg, fitted PDF1) and a second probability distribution (eg, fitted PDF2) to determine failure rates of features of the ADI; and tune the patterning apparatus to reduce the failure rates of the features based on the features having relatively higher failure rates. In one embodiment, the processor (eg, 104 ) may be configured to tune the dose or focus via a knob/setting of the patterning device.

일 실시예에서, 프로세서(예를 들어, 104)는 에칭 후에 ADI 피처들의 실패율을 감소시키기 위해 레지스트 층에 대한 추가 필터링 단계가 수행되어야 하는지 여부를 결정하거나; 에칭 후 ADI 피처들의 실패율을 감소시키기 위해 추가 디스큠 또는 펀치-스루 단계가 수행되어야 하는지 여부를 결정하거나; 또는 대량 제조 동안, 리소그래피 장치가 프린팅의 지정된 기준을 만족하는지 여부를 결정하기 위해 ADI 피처들을 검사하도록 더 구성될 수 있다.In one embodiment, the processor (eg, 104 ) determines whether an additional filtering step on the resist layer should be performed to reduce the failure rate of ADI features after etching; to determine whether an additional dishing or punch-through step should be performed to reduce the failure rate of the ADI features after etching; or during mass manufacturing, the lithographic apparatus may be further configured to inspect the ADI features to determine whether it meets specified criteria for printing.

일 실시예에서, 메트롤로지 툴(예를 들어, 도 28 및 도 29)은 스캐닝 전자 현미경(SEM)을 포함한다. SEM은 다음 물리적 특성: ADI에서의 관심 피처의 복수의 인스턴스들의 평균 CD; ADI에서의 관심 피처의 방향성 CD; ADI에서의 관심 피처의 곡률 분산; 또는 각각의 관심 피처에 대한 다수 메트롤로지 툴 임계치들에서 얻어진 CD 중 적어도 하나를 측정하도록 구성될 수 있다.In one embodiment, the metrology tool (eg, FIGS. 28 and 29 ) includes a scanning electron microscope (SEM). SEM measures the following physical properties: average CD of multiple instances of feature of interest in ADI; directional CD of the feature of interest in ADI; Curvature variance of the feature of interest in ADI; or measure at least one of the CD obtained at multiple metrology tool thresholds for each feature of interest.

본 명세서에 언급된 바와 같이, 무작위 확률적 실패들(교환가능하게 결함이라고 함)이 EUV 리소그래피 프린팅의 성능에 상당한 영향을 미칠 수 있다. 실패들을 식별하는 것은 리소그래피 단계 후 또는 에칭 단계 후에 행해질 수 있다. SEM 이미지를 사용하여 현상 후 접촉홀들과 같은 피처들의 실패들을 분류하는 많은 알고리즘들이 있다. 이러한 실패 분류를 위한 기준은 SEM 이미지들의 상식적인 해석을 기반으로 한다. 예를 들어, 실패 기준은 SEM 콘트라스트 또는 임계 치수(CD)일 수 있다. 앞서 논의된 방법들은, 일 실시예에서, ADI에 기초한 실패들의 개선된 결함 있음 분류 및 예측들을 제공한다. 추가적으로, 접촉홀들의 CD 분포로부터 실패율을 추산하기 위해 방법들이 제공된다.As mentioned herein, random stochastic failures (interchangeably referred to as defects) can significantly affect the performance of EUV lithographic printing. Identifying failures may be done after the lithography step or after the etching step. There are many algorithms that use SEM images to classify failures of features such as contact holes after development. The criteria for such failure classification are based on common-sense interpretation of SEM images. For example, the failure criterion may be SEM contrast or critical dimension (CD). The methods discussed above, in one embodiment, provide improved faulty classification and predictions of failures based on ADI. Additionally, methods are provided for estimating the failure rate from the CD distribution of contact holes.

앞서 논의된 바와 같이, 기존 방법들은 몇몇 제한들을 갖는다. 예를 들어, 결함 분류는 프로그램된 결함들의 포착률에 기초하여, 또는 에칭 전후의 결함률들을 비교함으로써 캘리브레이션될 수 있다. 프로그램된 결함들은 무작위 결함들과 통계적으로 상이한 것으로 나타났으며, 예를 들어 앞서 언급된 간행물 P. De Bisschop을 참조한다.As discussed above, existing methods have some limitations. For example, defect classification can be calibrated based on the capture rate of programmed defects, or by comparing defect rates before and after etching. Programmed defects were shown to be statistically different from random defects, see, for example, the aforementioned publication P. De Bisschop.

본 명세서에 논의된 방법들은 앞선 방법들에서 설명된 바와 같이 동일한 위치에서 ADI 및 AEI의 반복된 SEM 측정들의 트레이닝 데이터에 기초하여 개선된 결함 분류를 제공한다. 본 명세서의 방법들은 오차가 발생하기 쉬운 FEM 조건에 대해 홀들의, 예를 들어 93.5 %의 성공적인 분류를 유도한다.The methods discussed herein provide improved defect classification based on training data of repeated SEM measurements of ADI and AEI at the same location as described in the previous methods. The methods herein lead to successful classification of, for example, 93.5% of holes for error prone FEM conditions.

결함 분류에 걸쳐 실패율들을 예측하는 일반적인 단점은, 적은 정보가 수집되고, 결함 분류가 시각적으로 평가될 수 없다는 것이다. 꼬리 CD(즉, CD 분포의 꼬리)에 의한 예측은 경험적인 것이며, 기판에서 수행되는 공정에 의존할 수 있다.A general disadvantage of predicting failure rates across defect classifications is that little information is collected and the defect classification cannot be evaluated visually. Prediction by tail CD (ie, tail of CD distribution) is empirical and may depend on the process being performed on the substrate.

일 실시예에서, 본 명세서에 논의된 결함 분류의 방법은 에칭 후 실패할 피처들(예를 들어, 접촉홀들)이 어느 정도 정적 ADI 이미지(예를 들어, ADI의 SEM 이미지)에서 상이하게 보인다는 사실을 사용한다. 본 발명에서는, 예를 들어 에칭 후 실패하거나 실패하지 않는 접촉홀들 간의 ADI 이미지들의 차이가 작고, 많은 경우에 육안으로 거의 보이지 않을 수 있다는 것이 관찰되었다. 또한, 예시적인 실험을 통해, ADI SEM 손상[예를 들어, 동일한 ADI의 측정들의 재현성 또는 제 1 및 제 2 SEM "리프로(repro)" 사이의 CD 차이]이 실패한 접촉홀들에 대해 훨씬 더 크다는 것이 관찰되었다. 일 실시예에서, 2 개의 상이한 SEM 측정들을 포착하기 위해 웨이퍼 상의 동일한 위치를 2 회 이상 노광하는 것이 SEM "리프로"라고 칭해진다. 따라서, 일 실시예에서, 동적 SEM 정보를 사용하여 실패한 접촉홀 및 실패-아닌 접촉홀을 구별하거나, 이 정보로 실패 예측을 개선하는 방법이 제공된다.In one embodiment, the method of defect classification discussed herein shows that features that will fail after etching (eg, contact holes) differ to some extent in a static ADI image (eg, an SEM image of an ADI). uses the fact In the present invention, it has been observed that the difference in ADI images between failing and non-failing contact holes, for example after etching, is small, and in many cases may be barely visible to the naked eye. Furthermore, through an exemplary experiment, ADI SEM damage (eg, reproducibility of measurements of the same ADI or CD difference between first and second SEM “repro”) was significantly greater for failed contact holes. was observed to be large. In one embodiment, exposing the same location on the wafer two or more times to capture two different SEM measurements is referred to as an SEM “repro”. Accordingly, in one embodiment, a method is provided for using dynamic SEM information to differentiate between failed and non-failed contact holes, or to improve failure prediction with this information.

(예를 들어, 도 3과 관련하여) 본 명세서에 논의된 바와 같이, 리소그래피 후에 SEM 메트롤로지를 수행하는 것은 기판 상의 레지스트를 손상시켜, 레지스트가 수축되거나 여분의 탄소가 레지스트에 재증착되도록 한다. 이러한 손상들은, 특히 SEM 리프로 측정이 수행될 때(예를 들어, 동일한 위치 ADI에서 2 개의 SEM 이미지들을 찍을 때), SEM에 의해 측정된 바와 같은 기판 상의 피처들의 CD에 영향을 미친다. 예를 들어, 도 3에서, 에칭 후 사라진 접촉홀 결함들은 접촉홀 내부의 남은 레지스트의 층에 의해 야기된다. 그러므로, 에칭 후 실패 및 실패-아닌 홀들의 지오메트리가 상이하다. 그러므로, 수축 및 탄소 재증착이 둘 다 상이할 수 있으며, 이는 에칭 후 실패한 홀들의 SEM 리프로 이미지들 사이에 더 큰 차이를 산출한다. 일 실시예에서, 동일한 ADI 피처의 리프로 측정들에 기초하여 피처들의 결함 있음을 결정하는 (예를 들어, 도 19의) 방법이 제공된다. 일 실시예에서, 리프로 측정들은 실패 및 실패-아닌 접촉홀들에 대한 상이한 시그니처가 결정될 수 있는 ADI의 2 개의 SEM 이미지를 포함한다.As discussed herein (eg, with respect to FIG. 3 ), performing SEM metrology after lithography damages the resist on the substrate, causing the resist to shrink or redeposit excess carbon into the resist. These damages affect the CD of features on the substrate as measured by SEM, especially when measurements are performed with SEM leaf (eg, taking two SEM images at the same location ADI). For example, in Figure 3, contact hole defects that disappeared after etching are caused by the remaining layer of resist inside the contact hole. Therefore, the geometries of the failed and non-failed holes after etching are different. Therefore, both shrinkage and carbon redeposition can be different, which yields a larger difference between SEM leaf images of failed holes after etching. In one embodiment, a method (eg, of FIG. 19 ) for determining that features are defective based on leaf measurements of the same ADI feature is provided. In one embodiment, the leaf measurements include two SEM images of the ADI from which different signatures for failure and non-failure contact holes can be determined.

도 19는 일 실시예에 따른 현상 후 이미지(ADI)에서 피처의 결함 속성을 결정하는 방법(1900)의 흐름도이다. 일 실시예에서, 결함 속성은 ADI 피처에 결함이 있는지 결함이 없는지 여부, 또는 ADI 피처와 연계된 실패 확률이다. 상기 방법(1900)은, 예를 들어 제 1 및 제 2 이미지들의 CD들일 수 있는 결함 기준에 기초하여 결함 있음을 결정한다. 상기 방법(1900)은 아래에서 상세히 논의되는 다음 절차들을 포함한다.19 is a flowchart of a method 1900 for determining a defect attribute of a feature in an image after development (ADI) according to one embodiment. In one embodiment, the defect attribute is whether the ADI feature is defective or non-defective, or the probability of failure associated with the ADI feature. The method 1900 determines that there is a defect based on a defect criterion, which may be, for example, CDs of the first and second images. The method 1900 includes the following procedures, discussed in detail below.

절차 P1901은 ADI 피처의 제 1 이미지를 생성하기 위해 전자 빔 또는 하전 입자 빔에 ADI 피처를 노광하는 단계를 포함하며, ADI 피처는 레지스트 재료 내의 구조체이다. 일 실시예에서, 노광하는 단계는 복수의 제 1 이미지들을 생성하기 위해 복수의 ADI 피처들을 노광하는 단계를 포함한다. 예를 들어, 다수 프레임들(예를 들어, 4, 5, 6, …, 50)의 SEM 이미지가 기판 상의 ADI 피처의 상이한 위치들에 대응하여 캡처될 수 있다.Procedure P1901 includes exposing the ADI feature to an electron beam or a charged particle beam to produce a first image of the ADI feature, wherein the ADI feature is a structure in a resist material. In one embodiment, exposing comprises exposing a plurality of ADI features to produce a first plurality of images. For example, a SEM image of multiple frames (eg, 4, 5, 6, ..., 50) may be captured corresponding to different locations of the ADI feature on the substrate.

절차 P1903은 ADI 피처의 제 2 이미지를 생성하기 위해 전자 빔 또는 하전 입자 빔에 ADI 피처를 재-노광하는 단계를 포함한다. 일 실시예에서, 재-노광하는 단계는 복수의 제 2 이미지들을 생성하기 위해 복수의 ADI 피처들을 포함한다. 예를 들어, 다수 프레임들(예를 들어, 4, 5, 6, …, 50)의 SEM 이미지가 P1901에서 캡처된 기판 상의 ADI 피처의 동일한 위치들에 대응하여 캡처될 수 있다.Procedure P1903 includes re-exposing the ADI feature to an electron beam or a charged particle beam to generate a second image of the ADI feature. In one embodiment, the step of re-exposing includes a plurality of ADI features to generate a plurality of second images. For example, an SEM image of multiple frames (eg, 4, 5, 6, ..., 50) may be captured corresponding to identical locations of the ADI feature on the substrate captured at P1901.

일 실시예에서, 전자 빔은 스캐닝 전자 현미경(SEM)을 통해 생성되고, 제 1 이미지 및 제 2 이미지는 SEM 이미지들이다. 일 실시예에서, ADI 피처(예를 들어, 레지스트에서의 접촉홀)의 이미지들의 제 1 세트는 기판 상의 상이한 위치들에서 캡처될 수 있다. 또한, ADI(예를 들어, 접촉홀)의 이미지들의 제 2 세트는 기판 상의 (이미지들의 제 1 세트에 대해 사용된 것과) 동일한 위치들에서 캡처될 수 있다.In one embodiment, the electron beam is generated via a scanning electron microscope (SEM), and the first image and the second image are SEM images. In one embodiment, the first set of images of the ADI feature (eg, a contact hole in the resist) may be captured at different locations on the substrate. Also, a second set of images of the ADI (eg, contact hole) may be captured at the same locations on the substrate (as used for the first set of images).

일 실시예에서, SEM은 폴리머인 레지스트에 고에너지 전자들(e-빔이라고도 함)을 투영하고, 레지스트에 손상을 야기한다. 예를 들어, 레지스트는 수축할 수 있고, 이에 따라 ADI 피처(예를 들어, 접촉홀) 크기가 증가한다. 또한, SEM은 ADI 피처의 CD를 변화시키는 탄소를 증착할 수 있다. SEM 측정은 전자들이 반응할 수 있는 상이한 양의 레지스트로 인해, 상이한 지오메트리를 갖는 ADI 피처들에 상이한 영향을 미칠 수 있다. 예를 들어, 도 3을 참조하면, 접촉홀 내에 남아 있는 소정 양의 레지스트는 접촉홀에 레지스트가 없거나 상대적으로 적은 레지스트를 갖는 또 다른 접촉홀과 상이한 지오메트리를 가질 것이다. 이러한 것으로서, 부분적으로 채워진 접촉홀에 대해, 전자들은 접촉홀의 저부 및 벽들에서 레지스트와 반응할 수 있다. 반면에, 홀의 저부에 레지스트가 없는 접촉홀에 대해, 전자들은 접촉홀의 레지스트 벽들과만 반응할 수 있다. 이러한 것으로서, 채워진 접촉홀의 레지스트에 대한 손상은 채워지지 않거나 상대적으로 덜 채워진 접촉홀과 상이할 것이며, 이에 의해 SEM 측정 후 상이한 지오메트리 변화들을 야기한다. 또 다른 예시에서, 원형 접촉홀 및 타원형 접촉홀에서의 전자 분포가 상이할 것이기 때문에, 원형 접촉홀의 레지스트에 야기된 SEM 손상은 타원형 접촉홀 또는 다른 비-원형 접촉홀의 레지스트에 야기된 손상과 상이할 수 있다. 이것이 ADI 피처를 측정하기 위해 수행된 제 1 SEM 측정에 이어 또 다른 SEM 측정이 상이한 결과들을 얻어지게 하는 이유이며, 예를 들어 제 2 SEM 이미지는 제 1 SEM 이미지와 비교하여 동일한 ADI 피처의 약간 상이한 지오메트리를 가질 수 있다.In one embodiment, SEM projects high-energy electrons (also known as e-beams) onto a resist, which is a polymer, causing damage to the resist. For example, the resist may shrink, thereby increasing the ADI feature (eg, contact hole) size. In addition, SEM can deposit carbon that changes the CD of the ADI feature. SEM measurements can have different effects on ADI features with different geometries, due to different amounts of resist to which electrons can react. For example, referring to Figure 3, a certain amount of resist remaining in a contact hole will have a different geometry than another contact hole having no or relatively little resist in the contact hole. As such, for a partially filled contact hole, electrons may react with the resist at the bottom and walls of the contact hole. On the other hand, for a contact hole where there is no resist at the bottom of the hole, electrons can only react with the resist walls of the contact hole. As such, the damage to the resist of a filled contact hole will be different from an unfilled or relatively underfilled contact hole, thereby causing different geometric changes after SEM measurement. In another example, since the electron distribution in the circular contact hole and the elliptical contact hole will be different, the SEM damage caused to the resist of the circular contact hole will be different from the damage caused to the resist of the elliptical contact hole or other non-circular contact hole. can This is why a first SEM measurement performed to measure an ADI feature, followed by another SEM measurement, will give different results, for example the second SEM image may be slightly different of the same ADI feature compared to the first SEM image. It can have geometry.

절차 P1905는 제 1 이미지 및 제 2 이미지와 연계된 물리적 특성(예를 들어, CD)에 기초하여 ADI 피처의 결함 속성을 결정하는 단계를 포함한다. 예를 들어, 제 1 이미지로부터 제 1 CD가 추출될 수 있고, 제 2 이미지로부터 제 2 CD가 추출될 수 있다. 일 실시예에서, 물리적 특성은 임계 치수, 또는 ADI 피처(예를 들어, 접촉홀)와 연계된 픽셀 세기이다.Procedure P1905 includes determining a defect attribute of the ADI feature based on a physical characteristic (eg, CD) associated with the first image and the second image. For example, a first CD may be extracted from the first image, and a second CD may be extracted from the second image. In one embodiment, the physical property is a critical dimension, or pixel intensity associated with an ADI feature (eg, a contact hole).

일 실시예에서, 결함 속성은 이진(예를 들어, ADI 피처에 결함이 있는지 결함이 없는지)일 수 있다. 일 실시예에서, 결함 속성은 ADI 피처와 연계된 실패 확률(예를 들어, 실패 데이터에 피팅된 CD PDF에 의해 특징지어짐)일 수 있다. 일 실시예에서, 결함 속성을 결정하는 단계는 제 1 이미지로부터의 제 1 특성 및 제 2 이미지로부터의 제 2 특성을 추출하는 단계; 제 1 특성과 제 2 특성 간의 차이에 기초하여, 결함 메트릭이 위반되는지 여부를 결정하는 단계; 및 결함 메트릭이 위반됨에 응답하여, ADI 피처를 결함 있는 것으로 분류하는 단계를 수반한다.In one embodiment, the defect attribute may be binary (eg, whether the ADI feature is defective or non-defective). In one embodiment, the defect attribute may be a probability of failure associated with an ADI feature (eg, characterized by a CD PDF fitted to failure data). In one embodiment, determining the defect attribute comprises: extracting a first feature from the first image and a second feature from the second image; determining whether a defect metric is violated based on a difference between the first characteristic and the second characteristic; and in response to the defect metric being violated, classifying the ADI feature as defective.

일 실시예에서, 제 1 노광에서 캡처된 제 1 이미지는 ADI 피처의 복수의 이미지들을 포함한다. 유사하게, 재-노광 단계의 제 2 이미지는 동일한 ADI 피처의 복수의 이미지들을 포함한다. 예를 들어, 스캐닝 전자 현미경(SEM)과 같은 메트롤로지 툴이 포커싱된 전자 빔으로 대상물(예를 들어, ADI 피처)을 스캔한다. 가능한 최소한의 인공물로 신뢰할 수 있는 이미지를 얻기 위해, SEM은 대상물을 여러 번(예를 들어, 8 회) 스캔할 수 있다. 각각의 스캔의 응답은 '프레임'이라고 칭해지며, 다수 '프레임들'에 대한 평균을 취함으로써 평균 이미지가 생성될 수 있다. 따라서, ADI 피처의 다수 프레임들(예를 들어, 프레임들의 제 1 세트)이 제 1 노광 단계로부터 얻어질 수 있고, ADI 피처의 또 다른 다수 프레임들(예를 들어, 프레임들의 제 2 세트)이 제 2 노광 단계로부터 얻어질 수 있다. 따라서, 일 실시예에서, 평균 이미지가 제 1 노광으로부터 제 1 이미지로서 사용될 수 있고, 제 2 평균 이미지가 재-노광으로부터 제 2 이미지로서 사용될 수 있다. 또 다른 경우, 프레임들의 제 1 세트의 프레임들의 전체 또는 서브세트가 제 1 이미지로서 간주될 수 있고, 프레임들의 제 2 세트의 전체 프레임들 또는 프레임들의 서브세트가 제 2 이미지로서 간주될 수 있다. 따라서, 프레임들의 제 1 세트의 모든 프레임들(또는 서브세트)과 프레임들의 제 2 세트의 모든 프레임들(또는 서브세트) 사이에서 차이(예를 들어, CD 차이, 세기 차이 등)가 결정될 수 있다. 당업자라면, 프레임이 각각의 픽셀이 그레이 스케일 값을 갖는 픽셀화된 이미지로서 표현될 수 있다는 것을 이해할 수 있다.In one embodiment, the first image captured in the first exposure comprises a plurality of images of the ADI feature. Similarly, the second image of the re-exposure step includes a plurality of images of the same ADI feature. For example, a metrology tool such as a scanning electron microscope (SEM) scans an object (eg, an ADI feature) with a focused electron beam. To obtain reliable images with the least possible artifacts, the SEM may scan the object multiple times (eg, 8 times). The response of each scan is called a 'frame', and an averaged image can be generated by averaging over multiple 'frames'. Thus, multiple frames of an ADI feature (eg, a first set of frames) may be obtained from a first exposure step, and another multiple frames of an ADI feature (eg, a second set of frames) may be from the second exposure step. Thus, in one embodiment, the averaged image may be used as the first image from the first exposure and the second averaged image may be used as the second image from the re-exposure. In yet another case, all or a subset of the frames of the first set of frames may be considered as the first image, and all of the frames or subset of frames of the second set of frames may be considered as the second image. Accordingly, a difference (eg, CD difference, intensity difference, etc.) may be determined between all frames (or subsets) of the first set of frames and all frames (or subsets) of the second set of frames. . Those of ordinary skill in the art will understand that a frame may be represented as a pixelated image in which each pixel has a gray scale value.

일 실시예에서, 차이의 결정은 제 1 이미지의 1 이상의 프레임으로부터 추출된 물리적 특성(예를 들어, CD)과 제 2 이미지의 대응하는 1 이상의 프레임으로부터 추출된 물리적 특성(예를 들어, CD) 사이의 차이를 결정하는 것을 수반한다. 예를 들어, 제 1 이미지는 4 개의 프레임들을 포함할 수 있고, 물리적 특성(예를 들어, CD)은 4 개의 프레임들 각각으로부터 추출될 수 있다. 예를 들어, 추출된 물리적 특성들은 CD1, CD2, CD3 및 CD4일 수 있다. 유사하게, 재-노광 단계 이후, 제 2 이미지는 4 개의 프레임들을 포함할 수 있고, 물리적 특성(예를 들어, CD)은 4 개의 프레임들 각각으로부터 추출될 수 있다. 예를 들어, CD5, CD6, CD7 및 CD8이다. 따라서, 예를 들어 CD1과 CD5, CD2와 CD6, CD3과 CD7, 및 CD4와 CD8 사이의 차이가 연산된다.In one embodiment, the determination of the difference is a physical characteristic extracted from one or more frames of a first image (eg, CD) and a physical characteristic extracted from a corresponding one or more frames of a second image (eg, CD). It involves determining the difference between For example, the first image may include four frames, and a physical characteristic (eg, CD) may be extracted from each of the four frames. For example, the extracted physical properties may be CD1, CD2, CD3 and CD4. Similarly, after the re-exposure step, the second image may include four frames, and a physical property (eg, CD) may be extracted from each of the four frames. For example, CD5, CD6, CD7 and CD8. Thus, for example, the difference between CD1 and CD5, CD2 and CD6, CD3 and CD7, and CD4 and CD8 is calculated.

일 실시예에서, 결함 메트릭은 제 1 이미지에서의 ADI 피처의 제 1 물리적 특성(예를 들어, CD1) 및 제 2 이미지에서의 ADI 피처의 제 2 물리적 특성(예를 들어, CD2)의 함수이다. 일 실시예에서, 결함 메트릭은 겹선형 함수(bilinear function), 트레이닝된 기계 학습 모델, 또는 이차 이상의 다항식이다. 예를 들어, 2 이상의 변수들의 함수가 그 변수들 각각에 대해 선형인 경우에 겹선형이라고 한다. 가장 간단한 예시는 f(x,y)=xy이다. 또 다른 예시에서, 제 1 이미지 및 제 2 이미지는 본 명세서에 논의된 바와 같이 다수 프레임들을 포함할 수 있다. 각각의 프레임으로부터, 물리적 특성이 추출될 수 있다(예를 들어, CD1, CD2, CD3, CD4, CD5, CD6, CD7, CD8). 이 경우, 다변수 분포가 채택될 수 있고, 다변수 분포는 CD1 내지 CD8의 조합된 또는 결합된 PDF이다. 앞선 예시에서, 이변량 함수가 개념들을 설명하기 위한 예시로서 사용된다. 하지만, 본 발명은 이변량 함수에 제한되지 않는다. 당업자라면, 제 1 이미지 및 제 2 이미지에서의 다수의 프레임들을 포함하도록 상기 방법을 수정할 수 있다. 또한, 제 1 이미지 및 제 2 이미지를 특징짓는 데 사용되는 다수의 프레임들에 따라, 결함 메트릭은 다변량 함수에 의해 특징지어질 수 있다.In one embodiment, the defect metric is a function of a first physical characteristic of the ADI feature in the first image (eg CD1) and a second physical characteristic of the ADI feature in the second image (eg CD2) . In one embodiment, the defect metric is a bilinear function, a trained machine learning model, or a polynomial of quadratic or higher. For example, a function of two or more variables is said to be bilinear if it is linear with respect to each of those variables. The simplest example is f(x,y)=xy. In another example, the first image and the second image may include multiple frames as discussed herein. From each frame, physical properties can be extracted (eg CD1, CD2, CD3, CD4, CD5, CD6, CD7, CD8). In this case, a multivariate distribution may be adopted, wherein the multivariate distribution is a combined or combined PDF of CD1 to CD8. In the preceding example, a bivariate function is used as an example to explain concepts. However, the present invention is not limited to bivariate functions. A person skilled in the art can modify the method to include multiple frames in the first image and the second image. Further, according to the number of frames used to characterize the first image and the second image, the defect metric can be characterized by a multivariate function.

일 예시에서, 결함 메트릭은 f(CD1, CD2) < 0의 형태로 이루어지고, 여기서 CD1은 대상물의 제 1 SEM 이미지에서의 CD이고, CD2는 제 2 SEM 이미지에서의 동일한 대상물의 CD이다. 결함 메트릭의 일 예시는 도 20에서 라인 2010으로 나타낸 함수이다.In one example, the defect metric is of the form f(CD1, CD2) < 0, where CD1 is the CD in the first SEM image of the object and CD2 is the CD of the same object in the second SEM image. One example of a defect metric is the function indicated by line 2010 in FIG. 20 .

도 20은 일 실시예에 따른, FEM에서 오차가 발생하기 쉬운 조건(예를 들어, 정상 도즈 미만)에서의 (예를 들어, 105 개의 접촉홀들에 대한) 접촉홀들의 CD 값들에 대한 SEM 손상의 플롯이다. 플롯은 SEM 손상이 공칭 CD보다 작은 CD 값들에 대해 상대적으로 더 높다는 것을 나타낸다. 현재 실시예에서, SEM 손상은 제 1 SEM 이미지 및 제 2 SEM 이미지로부터 각각 추출된 제 1 CD 및 제 2 CD의 차이에 의해 특징지어진다. 도 20에 나타낸 바와 같이, 이 차이는 14 nm 미만의 CD 값들에 대해 상대적으로 더 높다. SEM 손상은 여하한의 다양한 방법들로 특징지어질 수 있다. SEM 손상 데이터(2005)의 이동 평균 곡선(2015)은, SEM 손상이 ADI 피처(예를 들어, 접촉홀)의 실패를 예측할 수 있음을 더 나타낸다. 예를 들어, CD 값들의 지정된 범위와 연계된 SEM 손상의 이동 평균이 지정된 손상 임계치(예를 들어, 3 nm)를 초과하는 경우, 지정된 CD 범위는 에칭 공정 후에 실패할 가능성이 더 높다.20 is a SEM of CD values of contact holes (eg, for 10 5 contact holes) under an error prone condition (eg, less than a normal dose) in FEM, according to an embodiment. It is a plot of damage. The plot shows that the SEM damage is relatively higher for CD values smaller than the nominal CD. In the present embodiment, the SEM damage is characterized by the difference in the first CD and the second CD extracted from the first SEM image and the second SEM image, respectively. As shown in Figure 20, this difference is relatively higher for CD values below 14 nm. SEM damage can be characterized in any of a variety of ways. Moving average curve 2015 of SEM damage data 2005 further indicates that SEM damage can predict failure of ADI features (eg, contact holes). For example, if the moving average of SEM damage associated with a specified range of CD values exceeds a specified damage threshold (eg, 3 nm), then the specified CD range is more likely to fail after the etch process.

일 실시예에서, 예를 들어 제 1 SEM 이미지 및 제 2 SEM 이미지로부터 각각 도출된 CD1 및 CD2에 의해 특징지어진 SEM 손상 정보는 곡선 2010과 같은 결함 분류 기준을 결정하는 데 사용될 수 있다. 본 실시예에서, 결함 분류에 사용되는 결함 메트릭은 곡선 2010으로 나타낼 수 있다. 결함 메트릭(2010)은 SEM 손상과 관련하여 CD 결함 임계치의 역할을 하며, 이는 2 개의 SEM 측정들로부터 얻어진 동일한 ADI 피처의 CD1 및 CD2의 함수이다. 일 실시예에서, 결함 메트릭(2010)은 결함 데이터(예를 들어, 에칭 후 실패한 접촉홀들 및 실패-아닌 접촉홀들), 및 제 1 SEM 이미지 및 제 2 SEM 이미지로부터 각각 추출된 CD1 및 CD2 값들에 기초하여 확립될 수 있다. 일 실시예에서, 결함 메트릭(2010)은 공칭 CD 값을 갖는 ADI 피처와 연계된 SEM 손상(CD1 및 CD2 값들로 특징지어짐)이 동일한 공칭 CD 값을 갖는 또 다른 ADI 피처와 연계된 SEM 손상보다 높은 경우, 더 높은 SEM 손상을 갖는 ADI 피처가 에칭 후에 ADI 피처가 결함 있을 확률이 상대적으로 더 높음을 나타낸다. 또한, 결함 메트릭(2010)은 SEM 손상이 0이거나 0에 가까울 수 있는 경우에도 ADI 피처가 결함 있는 것으로 분류될 수 있는 최소 CD 임계값을 나타낸다. 일 실시예에서, 결함 메트릭(2010)은 측정 데이터에 기초하여 피팅되는 겹선형 함수일 수 있다. 겹선형 함수는 일 예시로서 제시되며, 본 발명의 범위를 제한하지 않는 것으로 이해될 수 있다. 다른 다변량 함수, 예를 들어 4, 8 개의 변수들 등이 본 명세서에서 논의된 바와 같은 결함 메트릭으로서 사용될 수 있다.In one embodiment, for example, the SEM damage information characterized by CD1 and CD2 derived from the first SEM image and the second SEM image, respectively, may be used to determine a defect classification criterion such as curve 2010. In this embodiment, the defect metric used for defect classification may be represented by curve 2010. The defect metric 2010 serves as the CD defect threshold in relation to SEM damage, which is a function of CD1 and CD2 of the same ADI feature obtained from two SEM measurements. In one embodiment, the defect metric 2010 includes defect data (eg, failed contact holes and non-failed contact holes after etching), and CD1 and CD2 extracted from the first SEM image and the second SEM image, respectively. may be established based on the values. In one embodiment, the defect metric 2010 shows that SEM damage associated with an ADI feature with a nominal CD value (characterized by CD1 and CD2 values) is greater than SEM damage associated with another ADI feature with the same nominal CD value. If high, it indicates that ADI features with higher SEM damage are relatively more likely to be defective after etching. The defect metric 2010 also represents the minimum CD threshold at which an ADI feature can be classified as defective, even if the SEM damage can be zero or close to zero. In one embodiment, the defect metric 2010 may be a bilinear function that is fitted based on measurement data. It can be understood that the bilinear function is presented as an example and does not limit the scope of the present invention. Other multivariate functions, eg 4, 8 variables, etc., may be used as the defect metric as discussed herein.

비교를 위해, 도 20의 플롯은 또 다른 결함 기준(2020)을 나타낸다. 예시적인 결함 기준(2020)은 SEM 손상에 의존하지 않는 일정한 CD 임계값을 나타낸다. 예를 들어, 이러한 일정한 CD 임계값(2020)은 예를 들어 도 7a 및 도 7b와 관련하여 앞서 논의된 바와 같이 설정될 수 있다. 도 7a 내지 도 7c의 예시에서, CD 임계값은 실패 데이터에 기초하여 설정되며, 여기서 CD 임계치 미만의 CD 값을 갖는 ADI 피처가 실패 가능성이 있는 것으로 분류될 수 있고, CD 임계치 이상의 CD 값을 갖는 ADI 피처가 실패 가능성이 없는 것으로 분류될 수 있다. 반면에, SEM 손상에 기초한 결함 메트릭(2010)은 단순한 단일 값 CD 임계치(2020)에 비해 결함 있는 피처들을 더 정확하게 분류할 수 있다.For comparison, the plot of FIG. 20 shows another defect criterion 2020. Exemplary defect criteria 2020 represent a constant CD threshold that does not depend on SEM damage. For example, this constant CD threshold 2020 may be set, for example, as discussed above with respect to FIGS. 7A and 7B . In the example of FIGS. 7A-7C , the CD threshold is set based on failure data, wherein an ADI feature having a CD value below the CD threshold can be classified as likely to fail, and having a CD value greater than or equal to the CD threshold. An ADI feature may be classified as not likely to fail. On the other hand, the defect metric 2010 based on SEM damage can more accurately classify defective features compared to the simple single-valued CD threshold 2020.

또 다른 예시에서, 이변량 확률 밀도 함수가 결함 기준에 채택될 수 있다. 예를 들어, 이변량 PDF는 2 이상의 변수들의 조합된 또는 결합된 PDF일 수 있다. 일 실시예에서, 이변량 PDF는 예를 들어 도 22와 관련하여 논의되는 바와 같이 제 1 SEM 이미지 및 제 2 SEM 이미지에 기초하여 결정될 수 있다. 이변량 PDF는 제 1 측정이 CD1을 측정하고 제 2 측정이 CD2를 측정할 확률을 결정할 수 있다. 또 다른 예시에서, 제 1 SEM 이미지 및 제 2 SEM은 본 명세서에 논의된 바와 같이 다수 프레임들을 포함할 수 있다. 각각의 프레임으로부터, 물리적 특성이 추출될 수 있다(예를 들어, CD1, CD2, CD3, CD4, CD5, CD6, CD7, CD8). 이 경우, 다변수 분포가 채택될 수 있고, 다변수 분포는 CD1 내지 CD8의 조합된 또는 결합된 PDF이다.In another example, a bivariate probability density function may be employed for the defect criterion. For example, a bivariate PDF may be a combined or combined PDF of two or more variables. In one embodiment, the bivariate PDF may be determined based on the first SEM image and the second SEM image, for example as discussed with respect to FIG. 22 . The bivariate PDF may determine the probability that the first measure measures CD1 and the second measure measures CD2. In another example, the first SEM image and the second SEM may include multiple frames as discussed herein. From each frame, physical properties can be extracted (eg CD1, CD2, CD3, CD4, CD5, CD6, CD7, CD8). In this case, a multivariate distribution may be adopted, wherein the multivariate distribution is a combined or combined PDF of CD1 to CD8.

또 다른 예시에서, 결함 메트릭은 트레이닝된 기계 학습 모델(예를 들어, CNN)일 수 있다. 트레이닝된 모델은: (ⅰ) 복수의 이미지 쌍들 -각각의 이미지 쌍은 복수의 ADI 피처들의 제 1 이미지 및 제 2 이미지를 포함함- , 및 (ⅱ) ADI 피처들에 대응하는 기판의 에칭 후 이미지들(AEI)을 포함하는 트레이닝 데이터 세트를 사용하여 기계 학습 모델을 트레이닝함으로써 얻어질 수 있다.In another example, the defect metric may be a trained machine learning model (eg, CNN). The trained model comprises: (i) a plurality of image pairs, each image pair including a first image and a second image of the plurality of ADI features, and (ii) a post-etched image of the substrate corresponding to the ADI features. It can be obtained by training a machine learning model using a training data set including AEIs.

일 실시예에서, 기계 학습 모델의 트레이닝은 (a) 기계 학습 모델의 파라미터들을 조정하여, 모델이 제 1 이미지와 제 2 이미지 간의 비교에 기초하여 주어진 ADI 피처의 결함 속성을 결정하도록 하는 단계; (b) 모델 결정된 결함 속성이 주어진 ADI 피처에 대응하는 AEI 피처의 결함 속성의 지정된 범위 내에 있는지 여부를 결정하는 단계; 및 (c) 지정된 범위 내에 있지 않음에 응답하여, 단계들 (a) 및 (b)를 수행하는 단계를 수반하는 반복 프로세스이다. 일 실시예에서, 경사 하강법이 모델 파라미터 값들을 결정하기 위해 채택될 수 있으며, 모델 파라미터 값들은 모델 결정된 결함 속성이 AEI 피처의 결함 속성으로 수렴하게 한다. 일단 트레이닝되면, 트레이닝된 모델은 결함 메트릭 또는 결함 분류 수단으로서 사용될 수 있다.In one embodiment, training of the machine learning model comprises (a) adjusting parameters of the machine learning model such that the model determines a defect attribute of a given ADI feature based on a comparison between the first image and the second image; (b) determining whether the model determined defect attribute is within a specified range of the defect attribute of the AEI feature corresponding to the given ADI feature; and (c) in response to not being within the specified range, performing steps (a) and (b). In one embodiment, gradient descent may be employed to determine the model parameter values, which cause the model determined defect attribute to converge to the defect attribute of the AEI feature. Once trained, the trained model can be used as a defect metric or defect classification means.

도 21은 앞서 논의된 제 1 이미지들 및 제 2 이미지들을 포함하는 트레이닝 데이터 세트(TD1, TD2, …, TDn)를 사용하여 기계 학습 모델(2100)을 트레이닝하는 일 예시이다. 일 실시예에서, 트레이닝 데이터의 각 데이터는 한 쌍의 제 1 이미지(SEM1) 및 제 2 이미지(SEM2) 및 기준 AEI 이미지들(도시되지 않음)을 포함한다. 일 실시예에서, 기준 AEI 이미지들은 입력들을 실패 가능성이 있거나 실패 가능성이 없는 것으로 정확하게 분류하기 위해 기계 학습 모델을 안내하는 실측 자료의 역할을 한다. 일 실시예에서, 1 이상의 ADI 피처(예를 들어, 접촉홀, 라인 등)가 트레이닝 데이터를 생성하기 위해 사용될 수 있다. 예를 들어, 트레이닝 데이터는 복수의 접촉홀들, 복수의 라인들, 및 다른 특정 구조체들을 포함하는 ADI 피처들에 기초하여 생성될 수 있다. 복수의 접촉홀들 각각, 및 복수의 라인들 각각에 대해, 대응하는 SEM1 및 SEM2 이미지 쌍이 SEM 툴을 통해 얻어질 수 있다. 또한, 복수의 접촉홀들 각각, 및 복수의 라인들 각각에 대해, AEI 이미지가 실측 자료의 역할을 하기 위해 얻어질 수 있다. 기계 학습 모델의 트레이닝의 출력이 ADI 피처들의 실패 가능성이 있는(예를 들어, FailCH), 또는 실패 가능성이 없는(예를 들어, NotFailCH) 것으로의 트레이닝 데이터의 분류이며, 실패는 에칭 후 이미지(AEI)에서 잠재적으로 관찰된다. 일 실시예에서, 기계 학습 모델은 경사 하강법과 같은 기존 트레이닝 알고리즘을 사용하여 트레이닝되는 컨볼루션 뉴럴 네트워크 또는 다른 기존 뉴럴 네트워크들일 수 있다. 본 발명은 특정 기계 학습 모델에 제한되지 않는다.21 is an example of training the machine learning model 2100 using the training data sets TD1, TD2, ..., TDn including the first images and the second images discussed above. In one embodiment, each data of the training data includes a pair of first image SEM1 and second image SEM2 and reference AEI images (not shown). In one embodiment, the reference AEI images serve as ground truth for guiding the machine learning model to correctly classify inputs as likely to fail or not likely to fail. In one embodiment, one or more ADI features (eg, contact holes, lines, etc.) may be used to generate training data. For example, the training data may be generated based on ADI features including a plurality of contact holes, a plurality of lines, and other specific structures. For each of the plurality of contact holes, and for each of the plurality of lines, a corresponding pair of SEM1 and SEM2 images may be obtained through the SEM tool. Also, for each of the plurality of contact holes and each of the plurality of lines, an AEI image may be obtained to serve as a measurement data. The output of the training of the machine learning model is the classification of the training data as likely to fail (eg, FailCH) or non-failure (eg, NotFailCH) of the ADI features, the failure being the post-etch image (AEI). ) is potentially observed. In one embodiment, the machine learning model may be a convolutional neural network or other existing neural networks that are trained using an existing training algorithm such as gradient descent. The present invention is not limited to any particular machine learning model.

도 22는 일 실시예에 따른, 현상 후 이미지에서 피처들의 실패율들을 결정하기 위한 모델(2210)을 개발하는 방법(2200)의 흐름도이다. 이전 실시예에서, 접촉홀들의 분포를 다음 확률 밀도 함수와 피팅함으로써 ADI 피처들의 물리적 특성(예를 들어, CD)에 기초하여 실패율을 예측하는 모델이 개발되었다:22 is a flow diagram of a method 2200 for developing a model 2210 for determining failure rates of features in an image after development, according to one embodiment. In the previous example, a model was developed to predict the failure rate based on the physical properties (eg CD) of ADI features by fitting the distribution of contact holes with the following probability density function:

Figure pct00029
Figure pct00029

앞선 수학식에서, p fail 은 에칭 후 실패 확률이고, 벡터

Figure pct00030
는 분포들의 파라미터들의 세트이며, PDF fail PDF no fail 은 실패 및 실패-아닌 ADI 피처들에 대한 확률 밀도 함수들이다. 이전 실시예에서, 실패한 홀들에 대한 일반화된 극단값(GEV) 분포 및 실패-아닌 홀들에 대한
Figure pct00031
의 정규 분포가 채택되었다.In the previous equation, p fail is the probability of failure after etching, and the vector
Figure pct00030
is the set of parameters of the distributions, PDF fail and PDF no fail are probability density functions for failed and non-failure ADI features. In the previous embodiment, the generalized extreme value (GEV) distribution for failed holes and for non-failed holes
Figure pct00031
A normal distribution of

도 21과 관련하여 논의된 또 다른 실시예에서, 모델은 주어진 ADI 피처의 한 쌍의 SEM 이미지들에 기초하여 개발되었다. 예를 들어, 제 1 및 제 2 SEM 이미지들 모두로부터의 ADI CD 분포가 사용되어 앞선 수학식 PDF(CD)를 피팅한다. 앞선 수학식 PDF(CD)에서, 데이터의 피팅은 (PDF fail PDF no fail 과 관련된) 두 분포들에 대해 상이한

Figure pct00032
파라미터들을 제공하지만, p fail 은 동일하여야 한다. 이는 데이터 지점들의 수에 대해 피팅을 위한 파라미터 공간을 감소시킨다. 또한, 제 1 및 제 2 SEM 측정들은 제 1 및 제 2 분포에 대한 파라미터들
Figure pct00033
사이의 관계를 드러낼 수 있다. 관계는 피팅의 파라미터 공간을 더 감소시키는 데 사용될 수 있다.In another embodiment discussed with respect to FIG. 21 , a model was developed based on a pair of SEM images of a given ADI feature. For example, the ADI CD distribution from both the first and second SEM images is used to fit the preceding equation PDF ( CD ). In the preceding equation PDF ( CD ), the fitting of the data is ( PDF fail and PDF no different for the two distributions (related to fail )
Figure pct00032
parameters, but p fail must be the same. This reduces the parameter space for fitting over the number of data points. Also, the first and second SEM measurements are parameters for the first and second distributions.
Figure pct00033
can reveal the relationship between The relationship can be used to further reduce the parameter space of the fit.

또 다른 예시에서, 상기 방법(2200)의 앞선 피팅 절차는 CD 1 CD 2 의 조합된 분포를 다음 확률 밀도 함수와 피팅하도록 수정될 수 있다:In another example, the preceding fitting procedure of method 2200 can be modified to fit the combined distribution of CD 1 and CD 2 with the following probability density function:

Figure pct00034
Figure pct00034

PDF(CD 1 ,CD 2 )는 조합된 분포를 나타내고, 벡터

Figure pct00035
는 피팅 프로세스를 통해 결정된 각 분포들의 파라미터들의 세트이며, p fail 은 피팅 프로세스를 통해 결정된 실패 파라미터이다. 조합된 분포는 많은 데이터 지점들에 대해 피팅을 위한 모델 파라미터 공간을 감소시킨다. 상기 방법(2200)은 다음과 같이 더 상세히 논의된다. PDF ( CD 1 , CD 2 ) represents the combined distribution, vector
Figure pct00035
is a set of parameters of each distribution determined through the fitting process, and p fail is a failure parameter determined through the fitting process. The combined distribution reduces the model parameter space for fitting over many data points. The method 2200 is discussed in more detail as follows.

절차 P2201은 메트롤로지 툴을 통해 (ⅰ) 기판의 현상 후 이미지(ADI)와 연계된 제 1 측정 데이터(2201) -ADI는 복수의 피처들을 포함함- , 및 (ⅱ) 동일한 ADI와 연계된 제 2 측정 데이터(2202) -제 2 측정 데이터(2202)는 제 1 측정에 후속하여 얻어짐- 를 얻는 단계를 포함한다. 예를 들어, 메트롤로지 툴은 SEM일 수 있고, 측정 데이터는 SEM 이미지들과 연계된 데이터일 수 있다. 본 예시에서, ADI 피처의 제 1 SEM 이미지가 취해진 후, 동일한 ADI 피처의 제 2 SEM 이미지가 취해진다. 일 실시예에서, 측정 데이터는 SEM 이미지들에서 ADI 피처들의 물리적 특성들을 포함한다. 일 실시예에서, 측정 데이터는 SEM 이미지들에서 ADI 피처들과 관련된 세기 값들로서 추출될 수 있다.Procedure P2201 is performed via a metrology tool: (i) first measurement data 2201 associated with a post-developed image (ADI) of the substrate, the ADI comprising a plurality of features, and (ii) associated with the same ADI and obtaining second measurement data 2202, the second measurement data 2202 being obtained subsequent to the first measurement. For example, the metrology tool may be an SEM, and the measurement data may be data associated with SEM images. In this example, after a first SEM image of an ADI feature is taken, a second SEM image of the same ADI feature is taken. In one embodiment, the measurement data includes physical properties of ADI features in the SEM images. In one embodiment, the measurement data may be extracted as intensity values associated with ADI features in the SEM images.

절차 P2203은 제 1 측정 데이터(2201) 및 제 2 측정 데이터(2202)에 기초하여, ADI의 피처들의 실패율들을 결정하기 위한 모델(2210)을 생성하는 단계를 포함한다. 일 실시예에서, 모델(2210)을 생성하는 단계는 모델(2210)과 연계된 메트릭이 모델 파라미터들의 초기 값들과 연계된 메트릭에 비해 개선되도록 1 이상의 모델 파라미터의 값들을 조정하는 단계를 포함한다. 일 실시예에서, 본 명세서에 논의된 바와 같이, 모델(2210)은 주어진 ADI의 주어진 제 1 측정 및 주어진 ADI의 제 2 측정에 대한 모델들에 의해 예측된 피처들의 실패율들에 기초하여 패터닝 공정의 공정 윈도우를 결정하는 데 더 사용될 수 있다.Procedure P2203 includes generating, based on the first measurement data 2201 and the second measurement data 2202 , a model 2210 for determining failure rates of features of the ADI. In one embodiment, generating the model 2210 includes adjusting values of one or more model parameters such that the metric associated with the model 2210 improves as compared to the metric associated with initial values of the model parameters. In one embodiment, as discussed herein, model 2210 determines the rate of failure of the patterning process based on failure rates of features predicted by the models for a given first measure of a given ADI and a second measure of a given ADI. It may further be used to determine the process window.

일 실시예에서, 모델(2210)을 생성하는 단계는 제 1 측정 데이터(2201) 및 제 2 측정 데이터(2202)를 사용하여, 모델의 로그-우도 메트릭을 최대화함으로써 실패율 파라미터(예를 들어, p fail )와 연계된 제 1 확률 밀도 함수(PDF), 및 실패율 파라미터의 여수(complement)와 연계된 제 2 확률 밀도 함수(PDF)를 피팅하는 단계를 수반한다. 일 실시예에서, 제 1 확률 밀도 함수를 피팅하는 단계는 모델의 로그-우도 메트릭을 최대화함으로써 제 1 PDF 및 제 2 PDF의 각각의 모델 파라미터의 값들을 결정하는 단계를 포함한다. 예를 들어, 모델은 앞서 논의된 바와 같이 PDF(CD 1 ,CD 2 )일 수 있다.In one embodiment, generating the model 2210 includes using the first measurement data 2201 and the second measurement data 2202 to maximize the log-likelihood metric of the model, thereby increasing the failure rate parameter (eg, p fail ) and fitting a second probability density function (PDF) associated with the complement of the failure rate parameter. In one embodiment, fitting the first probability density function comprises determining values of each model parameter of the first PDF and the second PDF by maximizing a log-likelihood metric of the model. For example, the model may be PDF ( CD 1 , CD 2 ) as discussed above.

일 실시예에서, 제 1 PDF(예를 들어,

Figure pct00036
)는 제 1 물리적 특성 및 제 2 물리적 특성의 조합된 분포, 및 모델 파라미터들의 제 1 세트에 의해 특징지어진다. 제 1 물리적 특성은 제 1 측정 데이터(2201)와 연계되고, 제 2 물리적 특성은 ADI의 제 2 측정 데이터(2202)와 연계된다. 제 2 PDF(예를 들어,
Figure pct00037
)는 제 1 물리적 특성 및 제 2 물리적 특성의 또 다른 조합된 분포, 및 모델 파라미터들의 제 2 세트에 의해 특징지어진다.In one embodiment, the first PDF (eg,
Figure pct00036
) is characterized by the combined distribution of the first and second physical properties, and a first set of model parameters. The first physical characteristic is associated with the first measurement data 2201 , and the second physical characteristic is associated with the second measurement data 2202 of the ADI. a second PDF (e.g.,
Figure pct00037
) is characterized by another combined distribution of the first and second physical properties, and a second set of model parameters.

일 실시예에서, 제 1 PDF는: 이변량 분포의 시프트를 설명하는 제 1 위치 파라미터 및 제 2 위치 파라미터; 및 이변량 분포의 산포를 설명하는 제 1 스케일 파라미터 및 제 2 스케일 파라미터에 의해 특징지어지는 이변량 분포일 수 있다.In one embodiment, the first PDF comprises: a first position parameter and a second position parameter describing a shift in the bivariate distribution; and a bivariate distribution characterized by a first scale parameter and a second scale parameter describing the spread of the bivariate distribution.

일 실시예에서, 제 2 PDF는: GEV 분포의 시프트를 설명하는 제 3 위치 파라미터 및 제 4 위치 파라미터; GEV 분포의 산포를 설명하는 제 3 스케일 파라미터 및 제 4 스케일 파라미터; 및 GEV 분포의 형상을 설명하는 형상 파라미터(ξ)에 의해 특징지어지는 일반화된 극단값(GEV) 분포일 수 있다.In one embodiment, the second PDF includes: a third position parameter and a fourth position parameter describing a shift in the GEV distribution; a third scale parameter and a fourth scale parameter describing the spread of the GEV distribution; and a generalized extreme value (GEV) distribution characterized by a shape parameter (ξ) that describes the shape of the GEV distribution.

일 실시예에서, 제 1 측정 데이터(2201)는 ADI의 제 1 SEM 이미지이고, 제 2 측정 데이터(2202)는 ADI의 제 2 SEM 이미지이다. 일 실시예에서, 제 1 측정 데이터(2201)는 ADI의 제 1 SEM 이미지에서의 피처들의 제 1 물리적 특성 값들을 포함하고, 제 2 측정 데이터(2202)는 ADI의 제 2 SEM 이미지에서의 피처들의 제 2 물리적 특성 값들을 포함한다.In an embodiment, the first measurement data 2201 is a first SEM image of the ADI, and the second measurement data 2202 is a second SEM image of the ADI. In one embodiment, the first measurement data 2201 includes first physical property values of features in a first SEM image of the ADI, and the second measurement data 2202 includes values of features in a second SEM image of the ADI. second physical property values.

일 실시예에서, 모델을 생성하는 단계는 제 1 및 제 2 측정 데이터에 제 1 PDF 및 제 2 PDF를 동시에 피팅하는 단계를 수반한다. 예를 들어, ADI의 제 1 SEM 이미지에서의 복수의 피처들의 제 1 물리적 특성 값들에 기초하여 제 1 PDF를 피팅하고; ADI의 제 2 SEM 이미지에서의 복수의 피처들의 제 2 물리적 특성 값들에 기초하여 제 2 PDF를 피팅한다. 일 실시예에서, 제 1 PDF 및 제 2 PDF는 둘 다 모델과 관련된 로그-우도 메트릭을 최대화함으로써 동시에 피팅된다.In one embodiment, generating the model involves simultaneously fitting the first PDF and the second PDF to the first and second measurement data. fit the first PDF, for example, based on first physical property values of the plurality of features in the first SEM image of the ADI; Fit the second PDF based on second physical property values of the plurality of features in the second SEM image of the ADI. In one embodiment, the first PDF and the second PDF are both fitted simultaneously by maximizing the log-likelihood metric associated with the model.

일 실시예에서, 제 1 PDF 및 제 2 PDF의 피팅은: (a) 제 1 PDF 및 제 2 PDF의 파라미터들의 주어진 값들을 사용하여 로그-우도 메트릭을 결정하는 단계; (b) 로그-우도 메트릭이 최대화되는지 여부를 결정하는 단계; (c) 최대화되지 않음에 응답하여, 기울기에 기초하여, 제 1 PDF의 모델 파라미터들의 제 1 세트의 값들 및 모델 파라미터들의 제 2 세트의 값들, 및 실패율 파라미터(예를 들어, p fail )를 조정하는 단계; 및 단계들 (a) 내지 (c)를 수행하는 단계를 포함하는 반복 프로세스이다. 일 실시예에서, 기울기는 제 1 모델 파라미터들, 제 2 모델 파라미터들, 및 실패율 파라미터에 대한 로그-우도 메트릭의 1차 미분이다.In one embodiment, the fitting of the first PDF and the second PDF comprises: (a) determining a log-likelihood metric using given values of parameters of the first PDF and the second PDF; (b) determining whether the log-likelihood metric is maximized; (c) in response to not being maximized, adjust, based on the slope, values of the first set of model parameters and values of the second set of model parameters of the first PDF, and a failure rate parameter (eg, p fail ) to do; and performing steps (a) to (c). In one embodiment, the slope is the first derivative of the log-likelihood metric for the first model parameters, the second model parameters, and the failure rate parameter.

일 실시예에서, 모델의 조정된 모델 파라미터 값들은 제 1 PDF 및 제 2 PDF와 연계된 실패율 파라미터(예를 들어, p fail )의 값이 동일하게 되도록 한다.In one embodiment, the adjusted model parameter values of the model cause the value of the failure rate parameter (eg, p fail ) associated with the first PDF and the second PDF to be equal.

일 실시예에서, 상기 방법(2200)은 제 1 측정 데이터(2201) 및 제 2 측정 데이터(2202)에 기초하여 모델 파라미터들의 제 1 세트 및 모델 파라미터들의 제 2 세트의 1 이상의 모델 파라미터 사이의 관계를 결정하는 단계를 더 포함할 수 있다. 상기 방법(2200)은 관계에 기초하여, 모델 파라미터들의 제 1 세트 또는 모델 파라미터들의 제 2 세트의 수를 감소시키기 위해 모델 파라미터들의 제 2 세트에 관하여 모델 파라미터들의 제 1 세트를 수정하는 단계를 더 포함할 수 있다. 상기 방법(2200)은 제 1 측정 데이터(2201) 및 제 2 측정 데이터(2202)를 사용하여, 수정된 파라미터들에 기초하여 모델(2210)을 생성하는 단계를 더 포함할 수 있다.In one embodiment, the method 2200 provides a relationship between the first set of model parameters and one or more model parameters of the second set of model parameters based on the first measurement data 2201 and the second measurement data 2202 . It may further include the step of determining. The method 2200 further comprises, based on the relationship, modifying the first set of model parameters with respect to the second set of model parameters to reduce the number of the first set of model parameters or the second set of model parameters. may include The method 2200 may further include generating a model 2210 based on the modified parameters using the first measurement data 2201 and the second measurement data 2202 .

일 실시예에서, 물리적 특성은 피처의 임계 치수(CD)이다. 일 실시예에서, 물리적 특성은: ADI에서의 관심 피처의 복수의 인스턴스들의 평균 CD; ADI에서의 관심 피처의 방향성 CD; ADI에서의 관심 피처의 곡률 분산; 또는 각각의 관심 피처에 대한 다수 메트롤로지 툴 임계치들에서 얻어진 CD들 중 적어도 하나이다.In one embodiment, the physical property is the critical dimension (CD) of the feature. In one embodiment, the physical characteristic includes: an average CD of a plurality of instances of a feature of interest in ADI; directional CD of the feature of interest in ADI; Curvature variance of the feature of interest in ADI; or CDs obtained at multiple metrology tool thresholds for each feature of interest.

일 실시예에서, 방향성 CD는: x-방향을 따라 측정된 CD; y-방향을 따라 측정된 CD; 또는 원하는 각도를 따라 측정된 CD 중 적어도 하나이다.In one embodiment, the directional CD includes: a CD measured along the x-direction; CD measured along the y-direction; or CD measured along the desired angle.

본 명세서에서 논의된 바와 같이, 실패율은 ADI 피처 또는 대응하는 AEI 피처의 물리적 특성에 의해 특징지어지는 결함 조건을 나타낸다. 일 실시예에서, 결함 조건은: 피처의 누락; 피처와 연계된 변위 범위; 또는 피처의 임계 치수와 연계된 공차 범위 중 1 이상을 포함한다.As discussed herein, failure rate refers to a defect condition characterized by the physical properties of an ADI feature or a corresponding AEI feature. In one embodiment, the defect condition is: omission of a feature; displacement range associated with the feature; or one or more of a tolerance range associated with the critical dimension of the feature.

본 명세서에서 논의된 바와 같이, SEM 리프로 측정들을 수행하는 것은 SEM 메트롤로지 시간을 두 배로 만들 수 있다. 대안예는 SEM 이미지의 프레임들의 수 개의 서브세트들을 저장하는 것일 수 있으며, 예를 들어 2x8 또는 4x4 프레임들을 저장한다. SEM 이미지는 ADI 피처의 평균 SEM 이미지를 결정하기 위해 통상적으로 서로 정렬되는 8 개의 프레임들의 스택일 수 있다. 본 실시예에서, SEM 프레임들은 별도로 저장될 수 있고, 저장된 SEM 프레임들로부터 처음 2 내지 4 개의 프레임들이 분석될 수 있거나, 8 개의 프레임들 모두가 함께 분석될 수 있거나, 또는 8 개의 프레임들 모두가 개별적으로 분석될 수 있다. 이러한 것으로서, (하나의 평균 SEM 이미지 대신에) 8 개의 매우 모호한 SEM 이미지들이 동일한 측정으로의 다수 프레임들의 SEM 평균 이미지에 비해 더 많은 정보를 제공한다. 일 실시예에서, 측정되는 기판 상의 전자들의 과잉 또는 부족으로 인해 야기되는 대전 효과(charging effect)가 SEM 이미지 콘트라스트에 영향을 미치고, 이에 따라 SEM 이미지들 사이에 차이가 존재하게 할 수 있다. 일 실시예에서, 기판의 ADI의 더 많은 홀들을 측정하는 것과 비교하여, 측정 방식들 중 어느 것이 가장 많이 부가 가치를 제공하는지 분석될 수 있다. 따라서, SEM 측정 데이터는 조직화되고, 방법(2200)에 따라 모델(2210)을 개발하는 데 더 사용될 수 있다. 예를 들어, 상기 방법(2200)은 SEM 측정 데이터가 얻어지는 다양한 방식들에 기초하여 모델(2210)을 개발하도록 수정될 수 있다. 예를 들어, 모델(2210)은 8 개의 프레임들을 4x4 프레임들로 그룹화함으로써 개발될 수 있으며, 여기서 4 개의 프레임들은 모델을 개발하는 데 사용되고, 또 다른 4 개의 프레임들은 모델의 예측 정확성을 검증하는 데 사용된다. 또 다른 예시에서, 모델(2210)은 유사한 대전 효과를 갖는 SEM 측정 데이터를 그룹화함으로써 개발될 수 있다.As discussed herein, performing measurements with an SEM leaf can double the SEM metrology time. An alternative could be to store several subsets of frames of the SEM image, for example 2x8 or 4x4 frames. The SEM image may be a stack of 8 frames typically aligned with each other to determine an average SEM image of the ADI feature. In this embodiment, the SEM frames may be stored separately, and the first 2 to 4 frames may be analyzed from the stored SEM frames, or all 8 frames may be analyzed together, or all 8 frames may be analyzed together. can be analyzed individually. As such, 8 highly ambiguous SEM images (instead of one averaged SEM image) provide more information than an SEM averaged image of multiple frames with the same measurement. In one embodiment, a charging effect caused by an excess or shortage of electrons on the substrate being measured can affect the SEM image contrast, thereby causing differences between the SEM images. In one embodiment, compared to measuring more holes of the ADI of the substrate, it can be analyzed which of the measurement schemes provides the most added value. Accordingly, the SEM measurement data is organized and can be further used to develop a model 2210 according to the method 2200 . For example, the method 2200 can be modified to develop the model 2210 based on the various ways in which the SEM measurement data is obtained. For example, model 2210 can be developed by grouping 8 frames into 4x4 frames, where 4 frames are used to develop the model and another 4 frames are used to verify the prediction accuracy of the model. used In another example, model 2210 may be developed by grouping SEM measurement data with similar charging effects.

본 명세서에서 논의된 바와 같이, 상기 방법들[예를 들어, 방법들(1900 및 2200)]은 몇 가지 이점들을 갖는다. 예를 들어, 램프업[예를 들어, 대량 제조(HVM)] 동안, 모델(2210)은 피처 실패들(예를 들어, 채워진 접촉홀들)의 수를 감소시키도록 모델-예측 실패율들에 기초하여 리소그래피 파라미터들을 튜닝하기 위해 리소그래피 장치에서 채택되거나 이와 연계될 수 있다. 개선들의 예시들은 스캐너의 도즈 및 포커스의 튜닝, 레지스트 스크리닝, 레지스트에 대한 추가 필터링 단계들, 또는 다른 리소그래피 관련 파라미터들이다. 또 다른 예시에서, 모델(2210)이 ADI 피처를 결함 있는 것으로 예측하는 경우, 포토레지스트가 벗겨지거나 제거될 수 있고, 포토레지스트가 재도포될 수 있으며, 포토레지스트가 재노광되어 에칭 후 기판 상에 예측된 결함이 발생하는 것을 방지할 수 있다.As discussed herein, the methods (eg, methods 1900 and 2200) have several advantages. For example, during ramp-up (eg, high-volume manufacturing (HVM)), model 2210 is based on model-predicted failure rates to reduce the number of feature failures (eg, filled contact holes). may be employed in or associated with a lithographic apparatus to tune lithographic parameters. Examples of improvements are tuning of the scanner's dose and focus, screening the resist, additional filtering steps to the resist, or other lithography related parameters. In another example, if the model 2210 predicts that the ADI feature is defective, the photoresist may be stripped or removed, the photoresist may be reapplied, and the photoresist may be re-exposed to be etched onto the substrate. Predicted defects can be prevented from occurring.

일 실시예에서, ADI에 기초하는 정확한 결함 분류는 예를 들어 접촉홀들의 AEI 실패들의 근본 원인을 찾는 데 도움이 될 수 있다. 또한, 예를 들어 채워진 접촉홀들의 분율은 채워진 접촉홀들의 영향을 감소시키기 위해 에칭에 앞서 추가 디스큠 또는 펀치-스루가 사용되어야 하는지 여부를 평가하는 데 사용될 수 있다.In one embodiment, accurate defect classification based on ADI may help find the root cause of AEI failures of, for example, contact holes. Also, for example, the fraction of filled contact holes can be used to evaluate whether an additional dish or punch-through should be used prior to etching to reduce the effect of filled contact holes.

일 실시예에서, 본 명세서에 설명된 방법들(예를 들어, 1700, 1900, 2200)은 컴퓨터 판독가능한 매체(예를 들어, 메모리)에 명령어들로서 포함될 수 있다. 예를 들어, 비-일시적 컴퓨터 판독가능한 매체는 1 이상의 프로세서에 의해 실행될 때, 기판의 현상 후 이미지(ADI)를 얻는 것 -ADI는 복수의 피처들을 포함함- ; ADI의 피처들의 서브세트와 관련된 물리적 특성 값들에 기초하여 모델의 제 1 부분을 생성하는 것; 및 모델의 제 1 부분 및 ADI의 복수의 피처들의 모든 피처들과 관련된 물리적 특성 값들에 기초하여 모델의 제 2 부분을 생성하는 것을 포함하는 작업들을 야기하는 명령어들을 포함하고, ADI의 피처들의 서브세트는 ADI의 다른 피처들과 구별된다.In one embodiment, the methods (eg, 1700, 1900, 2200) described herein may be included as instructions in a computer-readable medium (eg, memory). For example, the non-transitory computer-readable medium, when executed by the one or more processors, may be configured to: obtain a post-developed image (ADI) of a substrate, the ADI comprising a plurality of features; generating a first portion of the model based on physical property values associated with the subset of features of the ADI; and instructions that cause tasks comprising generating a second portion of the model based on physical property values associated with the first portion of the model and all features of the plurality of features of the ADI, wherein the subset of features of the ADI includes: is distinct from other features of ADI.

일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체는 (ⅰ) 실패-아닌 홀들에 대한 물리적 특성 값들의 분포를 추산하도록 구성되는 제 1 확률 분포 함수, 및 (ⅱ) ADI의 모든 복수의 피처들의 물리적 특성 값들에 기초하여 실패율들을 결정하도록 구성되는 제 2 확률 분포 함수의 조합인 모델을 포함한다. 일 실시예에서, 모델은 제 1 확률 분포 함수 및 제 2 확률 분포 함수의 가중 합이다. 일 실시예에서, 제 1 확률 분포 함수는 물리적 특성과 관련된 절단 값, 정규 분포의 시프트를 설명하는 제 1 위치 파라미터 및 정규 분포의 산포를 설명하는 제 1 스케일 파라미터를 특징으로 하는 정규 분포이다. 일 실시예에서, 제 2 확률 분포 함수는 GEV 분포에서의 시프트를 설명하는 제 2 위치 파라미터(μ), GEV 분포의 산포를 설명하는 제 2 스케일 파라미터(σ), 및 GEV 분포의 형상을 설명하는 형상 파라미터(ξ)를 특징으로 하는 일반화된 극단값(GEV) 분포이다.In one embodiment, the non-transitory computer readable medium comprises (i) a first probability distribution function configured to estimate a distribution of physical property values for non-failure holes, and (ii) physical properties of all plurality of features of the ADI. and a model that is a combination of a second probability distribution function configured to determine failure rates based on characteristic values. In one embodiment, the model is a weighted sum of a first probability distribution function and a second probability distribution function. In one embodiment, the first probability distribution function is a normal distribution characterized by a truncated value associated with a physical property, a first position parameter describing a shift in the normal distribution, and a first scale parameter describing the spread of the normal distribution. In one embodiment, the second probability distribution function includes a second position parameter (μ) that describes the shift in the GEV distribution, a second scale parameter (σ) that describes the spread of the GEV distribution, and a shape of the GEV distribution A generalized extreme value (GEV) distribution characterized by a shape parameter (ξ).

일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체는 모델의 생성을 위한 명령어들, 제 1 확률 분포 함수와 관련된 제 1 로그-우도 메트릭을 최대화함으로써 피처들의 서브세트의 물리적 특성 값들의 제곱에 기초하여 제 1 확률 분포 함수를 피팅하는 것 -피처들의 서브세트는 물리적 특성 임계값 이상의 물리적 특성 값들을 가짐- ; 피팅된 제 1 확률 분포 함수 및 제 2 확률 분포 함수를 조합하는 것; 및 조합된 분포에 기초하여, 조합된 분포와 관련된 제 2 로그-우도 메트릭이 최대화되도록 복수의 피처들의 모든 피처들의 물리적 특성 값들에 기초하여 제 2 확률 분포 함수 및 이와 연계된 상대 가중치를 피팅하는 것을 포함하는 작업들을 야기하는 명령어들을 포함한다.In one embodiment, the non-transitory computer readable medium provides instructions for generating a model based on the square of values of a physical property of a subset of features by maximizing a first log-likelihood metric associated with a first probability distribution function. fitting a first probability distribution function, wherein the subset of features have physical property values greater than or equal to a physical property threshold; combining the fitted first probability distribution function and the second probability distribution function; and fitting a second probability distribution function and a relative weight associated therewith based on the physical property values of all features of the plurality of features such that, based on the combined distribution, a second log-likelihood metric associated with the combined distribution is maximized. Contains instructions that cause operations to include.

일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체는 반복적인 방식으로 제 1 확률 분포 함수를 피팅하기 위한 명령어들을 포함한다. 반복은 (a) 제 1 확률 분포 함수의 파라미터들의 주어진 값들을 사용하여 제 1 로그-우도 메트릭을 결정하는 단계; (b) 제 1 로그-우도 메트릭이 최대화되는지 여부를 결정하는 단계; (c) 최대화되지 않음에 응답하여, 기울기에 기초하여, 제 1 확률 분포 함수의 파라미터들의 값들을 조정하는 단계, 및 단계들 (a) 내지 (c)를 수행하는 단계를 포함한다. 기울기는 제 1 확률 분포 함수의 파라미터들에 대한 제 1 로그-우도 메트릭의 1차 미분이다.In one embodiment, a non-transitory computer readable medium includes instructions for fitting a first probability distribution function in an iterative manner. Iteration includes (a) determining a first log-likelihood metric using given values of parameters of the first probability distribution function; (b) determining whether the first log-likelihood metric is maximized; (c) in response to not being maximized, adjusting values of parameters of the first probability distribution function based on the slope, and performing steps (a) to (c). The slope is the first derivative of the first log-likelihood metric with respect to the parameters of the first probability distribution function.

일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체는: 제 2 로그-우도 메트릭의 최대화에 기초하여, 제 1 확률 분포 함수의 파라미터들의 값들을 수정하지 않고 제 2 확률 분포 함수의 파라미터들의 값들 및 그 가중치를 결정하는 단계를 포함하는 제 2 확률 분포 함수의 피팅을 위한 명령어들을 포함한다. 일 실시예에서, 제 2 확률 분포 함수의 피팅은 반복 프로세스이다. 반복 프로세스는 (a) 피팅된 제 1 확률 분포 함수 및 제 2 확률 분포 함수의 조합된 분포를 얻는 단계; (b) 조합된 분포에 기초하고 피팅된 제 1 분포의 파라미터들의 값들을 고정된 채로 유지하여, 제 2 확률 분포 함수의 파라미터들의 주어진 값들을 사용하여 제 2 로그-우도 메트릭을 결정하는 단계; (c) 제 2 로그-우도 메트릭이 최대화되는지 여부를 결정하는 단계; (d) 최대화되지 않음에 응답하여, 기울기에 기초하여, 제 2 확률 분포 함수의 파라미터들의 값들을 조정하는 단계, 및 단계들 (b) 내지 (d)를 수행하는 단계를 포함한다. 기울기는 제 2 확률 분포 함수의 파라미터들에 대한 제 2 로그-우도 메트릭의 1차 미분이다.In one embodiment, the non-transitory computer readable medium comprises: values of parameters of a second probability distribution function and the values of parameters of a second probability distribution function without modifying values of the parameters of the first probability distribution function based on a maximization of the second log-likelihood metric. instructions for fitting a second probability distribution function comprising determining a weight. In one embodiment, fitting of the second probability distribution function is an iterative process. The iterative process includes (a) obtaining a combined distribution of a fitted first probability distribution function and a second probability distribution function; (b) determining a second log-likelihood metric using given values of parameters of a second probability distribution function based on the combined distribution and holding values of parameters of the fitted first distribution fixed; (c) determining whether a second log-likelihood metric is maximized; (d) in response to not being maximized, adjusting values of parameters of the second probability distribution function based on the slope, and performing steps (b) to (d). The slope is the first derivative of the second log-likelihood metric with respect to the parameters of the second probability distribution function.

일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체는: 패터닝 장치를 통해 기판 상에 복수의 피처들을 포함하는 원하는 패턴을 이미징하는 것; 이미징된 패턴의 현상 후 이미지를 얻는 것; 에칭 후 결함이 있는 것으로 ADI 내의 피처들의 일부를 분류하기 위해 현상 후 이미지를 사용하여 제 1 및 제 2 확률 분포 함수들을 실행하는 것; 및 분류된 피처들에 기초하여, 이미징된 패턴이 에칭 후에 실패하지 않도록 에칭 조건을 조정하는 것을 포함하는 작업들을 더 야기할 수 있다.In one embodiment, the non-transitory computer readable medium comprises: imaging a desired pattern comprising a plurality of features on a substrate via a patterning device; obtaining an image after development of the imaged pattern; executing first and second probability distribution functions using the post-development image to classify some of the features in the ADI as post-etch defective; and, based on the classified features, adjusting etching conditions so that the imaged pattern does not fail after etching.

일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체는: 에칭 후 ADI 피처들의 실패율을 감소시키도록 리소그래피 공정을 튜닝하는 것 -튜닝은 도즈, 포커스, 또는 둘 모두를 조정하는 것을 포함함- ; 에칭 후 ADI 피처들의 실패율을 감소시키기 위해 레지스트 층에 대한 추가 필터링 단계가 수행되어야 하는지 여부를 결정하는 것; 에칭 후 ADI 피처들의 실패율을 감소시키기 위해 추가 디스큠 또는 펀치-스루 단계가 수행되어야 하는지 여부를 결정하는 것; 또는 대량 제조 동안, 리소그래피 장치가 프린팅의 지정된 기준을 만족하는지 여부를 결정하기 위해 ADI 피처들을 검사하는 것을 포함하는 작업들을 더 야기할 수 있다.In one embodiment, the non-transitory computer readable medium comprises: tuning a lithographic process to reduce a failure rate of ADI features after etching, wherein tuning includes adjusting dose, focus, or both; determining whether an additional filtering step should be performed on the resist layer to reduce the failure rate of the ADI features after etching; determining whether an additional dishing or punch-through step should be performed to reduce the failure rate of the ADI features after etching; or during mass manufacturing, operations that include examining the ADI features to determine whether the lithographic apparatus meets specified criteria for printing.

일 실시예에서, 컴퓨터 프로그램이 본 명세서에 논의된 방법들의 다양한 단계들을 구현하기 위해 프로세스에서 코딩 및 구현될 수 있다. 예를 들어, 컴퓨터 프로그램은 패터닝 공정(예를 들어, 리소그래피 단계, 에칭, 레지스트 현상 등)을 시뮬레이션하도록 구성될 수 있다. 그 후, 시뮬레이션 결과들에 기초하여, 예를 들어 본 명세서에 설명된 방법들에서 논의되는 상이한 공정(예를 들어, 레지스트 현상 후 및 에칭 현상 후)의 결과들 사이의 상관관계에 따라 개별적인 파라미터들을 캘리브레이션하는 것이 가능하다. 일 실시예에서, 시뮬레이션 기반 튜닝 또는 캘리브레이션은 또한 에칭 공정의 수동 튜닝 동안 통찰력을 제공할 수 있다. 예를 들어, 통찰력은 상관관계에 대한 공정 파라미터들의 변화의 효과와 관련된다.In one embodiment, a computer program may be coded and implemented in a process to implement the various steps of the methods discussed herein. For example, the computer program may be configured to simulate a patterning process (eg, a lithography step, etching, resist development, etc.). Then, based on the simulation results, individual parameters are determined according to, for example, correlations between the results of different processes (eg, after resist development and after etching development) discussed in the methods described herein. It is possible to calibrate. In one embodiment, simulation-based tuning or calibration may also provide insight during manual tuning of the etch process. For example, insight relates to the effect of changes in process parameters on correlation.

앞서 논의된 바와 같이, 본 발명은 에칭 후 피처들의 CD에 대한 단거리 에칭 로딩 효과를 정량화하는 방법을 설명한다. 일 예시에서, 단거리 에칭 로딩은 에칭 후 패턴들에 영향을 미친다. 예를 들어, 단거리 로딩 효과는 에칭 후 관심 피처의 크기에 대한 (ADI에서의) 이웃 피처들의 효과의 특징을 나타낸다. 예를 들어, 중심에 위치된 접촉홀의 크기는 접촉홀 자체 및 그 이웃들에 의해 영향을 받는다. 추가적으로, 노광 후 공정들은 피처들의 배치에 영향을 줄 수 있다. 일 실시예에서, 에칭 로딩은 테스트 구조체들을 분석하고 OPC 프로세스를 통해 모델링함으로써 에칭 최적화 전에 특징지어진다. 이를 위해, 가변 피치 및 CD를 갖는 피처들이 프린트 및 에칭되고, 에칭 속도는 관심 지점 주위의 소정 원 내에서 열린 영역을 설명하는 실험식으로 피팅된다.As previously discussed, the present invention describes a method for quantifying the effect of short-range etch loading on the CD of features after etching. In one example, the short etch loading affects the patterns after etching. For example, the short-range loading effect characterizes the effect of neighboring features (in ADI) on the size of the feature of interest after etching. For example, the size of a centrally located contact hole is affected by the contact hole itself and its neighbors. Additionally, post-exposure processes can affect the placement of features. In one embodiment, etch loading is characterized prior to etch optimization by analyzing test structures and modeling through an OPC process. To this end, features with variable pitch and CD are printed and etched, and the etch rate is fitted empirically to describe the open area within a given circle around the point of interest.

기판 상의 이미징된 패턴의 현상 후에, 1 이상의 노광 후 공정이 수행되어 기판 상에 패턴을 전사한다. 예를 들어, 패턴 전사 공정은 에칭 및 (재)증착 공정의 조합으로 간주될 수 있다. 에칭 공정은 재료의 물리적 스퍼터링 및 화학적 에칭에 의해 수행된다. 또한, 스퍼터링된 재료 및/또는 추가된 가스 구성성분이 (재)증착을 보장한다. 예를 들어, 시스 전압이 스퍼터링 공정의 이온-각에 영향을 미치고, u-파 전력이 플라즈마/스퍼터링-속도의 밀도에 영향을 미친다. 재료의 스퍼터-속도는 입사각, 이온-속도, 및 패턴들의 변경을 허용하는 재료 조성에 의존한다. 예를 들어, 플루오르 가스 압력이 노광 후 공정 동안 재증착을 결정한다. 한 가지 방법에서, 공정은 먼저 피처들("CD"들)들 수축시키고 많은 사이클들에서 이들을 (더 적은 로딩으로) 다시 성장시키는 것을 수반한다. 이는 이어지는 CD 변동을 감소시키게 한다. 하지만, 필적하는 공정들은 기판 상에 원하는 패턴을 전사하기 위해 모든 사이클들에서 조정되어야 한다. 본 발명에 따르면, 본 명세서의 방법들은 에칭 공정과 관련하여 논의된다. 하지만, 본 발명은 에칭 공정에 제한되지 않으며, 다른 노광 후 공정들과 연계된 효과가 여기에서 결정될 수 있다.After development of the imaged pattern on the substrate, one or more post-exposure processes are performed to transfer the pattern onto the substrate. For example, the pattern transfer process can be considered as a combination of etching and (re)deposition processes. The etching process is performed by physical sputtering and chemical etching of the material. In addition, sputtered material and/or added gas constituents ensure (re)deposition. For example, sheath voltage affects the ion-angle of the sputtering process, and u-wave power affects the density of plasma/sputter-rate. The sputter-rate of a material depends on the angle of incidence, the ion-rate, and the material composition allowing for variations in patterns. For example, fluorine gas pressure determines redeposition during post-exposure processing. In one method, the process involves first shrinking the features (“CDs”) and growing them again (with less loading) in many cycles. This allows to reduce subsequent CD fluctuations. However, comparable processes must be coordinated at every cycle to transfer the desired pattern onto the substrate. In accordance with the present invention, the methods herein are discussed in relation to an etching process. However, the present invention is not limited to the etching process, and effects associated with other post-exposure processes may be determined here.

일부 기존 접근법은 패턴과 연계된 1 이상의 파라미터에 기초하여 ADI와 AEI 간의 관계를 확립한다. 예를 들어, 접촉홀들에 대해, 관련 파라미터들은 에칭 전후의 CD 변동들 및 접촉 에지 거칠기(CER)를 포함한다. CER은 높은 공간 주파수들에 대해, SEM 산탄 잡음에 민감하다. 그러므로, CER의 크기는 윤곽형성 알고리즘에 의해 채택되는 패턴의 이미지들(예를 들어, SEM 이미지들)의 평균화에 의존한다. (예를 들어, ADI에서 AEI로의) 패턴 전사는 컨볼루션 필터(예를 들어, 평활화)로서 동작하는 경향이 있으며, 최종 패턴 전사에 포함될 CER의 관련 변동이 무엇인지 명확하지 않다. 또 다른 예시에서, 라인들에 대해서는, 전사되는 패턴의 윤곽의 전력 스펙트럼 밀도에서 어떤 공간 주파수가 관련되는지 명확하지 않다. 따라서, 이미지들의 평균화가 어떻게 적용되어야 하는지 명확하지 않다. 일부 경우에는, 라인 에지 거칠기(LER)(변동성의 척도)와 평균 길이 사이의 관계가 레지스트의 타입에 의존한다. 이러한 것으로서, 상이한 레지스트들 간의 변동성 메트릭들이 비교가능하지 않을 수 있다.Some existing approaches establish a relationship between ADI and AEI based on one or more parameters associated with a pattern. For example, for contact holes, relevant parameters include CD variations before and after etching and contact edge roughness (CER). CER is sensitive to SEM shot noise, for high spatial frequencies. Therefore, the size of the CER depends on the averaging of images (eg, SEM images) of the pattern employed by the contouring algorithm. Pattern transcription (eg ADI to AEI) tends to act as a convolution filter (eg smoothing), and it is not clear what relevant variations in CER will be included in the final pattern transcription. In another example, for lines, it is not clear which spatial frequency is involved in the power spectral density of the contour of the transferred pattern. Therefore, it is not clear how the averaging of the images should be applied. In some cases, the relationship between line edge roughness (LER) (a measure of variability) and average length depends on the type of resist. As such, variability metrics between different resists may not be comparable.

본 발명의 실시예에서, 단거리 에칭 로딩은 동일한 접촉홀들이 에칭 전후에 SEM으로 이미징되는 측정-에칭-측정 실험에 의해 정량화된다. 에칭 후 접촉홀의 크기와 에칭 전 그 이웃들의 크기 사이의 상관관계가 에칭 로딩의 강도를 정량화하는 메트릭으로서 사용된다. 일 실시예에서, 상이한 거리들에 걸친 이웃들 간의 상관관계는 국부적 에칭 로딩이 관련되는 범위에 대한 메트릭으로서 사용된다.In an embodiment of the present invention, short-range etch loading is quantified by a measure-etch-measure experiment in which identical contact holes are imaged with SEM before and after etching. The correlation between the size of the contact hole after etching and the size of its neighbors before etching is used as a metric to quantify the intensity of the etch loading. In one embodiment, the correlation between neighbors over different distances is used as a metric for the extent to which local etch loading is relevant.

일 실시예에서, ADI 및 AEI는 예를 들어 SEM 이미지의 산탄 잡음으로 인해 비교적 많은 양의 잡음을 포함하는 최소 피처들의 SEM 이미지들이다. 또한, SEM 이미지는 3-차원(3D) 구조체의 2-차원(2D) 여기 맵이다. 맵의 2D 정보가 관련 3D 정보에 다시 매핑되어야 하는 방식이 바로 명확하지는 않다. 추가적으로, 피처의 ADI의 모든 변동들이 AEI 피처를 예측하는 데 관련이 있는 것은 아니다. 그러므로, ADI 및 AEI 피처들의 짧은 길이 스케일 세부사항이 리소그래피 공정을 정량화하는 데 어느 정도 관련이 있고, 어떤 윤곽형성 방법들이 사용되어야 하는지가 분명하지 않다.In one embodiment, ADI and AEI are SEM images of minimal features that contain a relatively large amount of noise, for example due to shot noise of the SEM image. In addition, the SEM image is a two-dimensional (2D) excitation map of a three-dimensional (3D) structure. It is not immediately clear how the 2D information in the map should be remapped to the relevant 3D information. Additionally, not all variations in a feature's ADI are relevant for predicting an AEI feature. Therefore, it is not clear to what extent the short length scale details of ADI and AEI features are relevant to quantifying the lithographic process, and which contouring methods should be used.

일 실시예에서, (이후 논의되는) 본 명세서에 설명된 방법은 단거리 로딩 및 이웃 접촉홀들의 배치와 연계된 효과들을 다룬다. 일 실시예에서, 이웃 접촉홀들의 배치는 기준 그리드, 또는 (예를 들어, GDS 포맷으로 제공되는) 디자인 레이아웃과 연계된 그리드와 관련하여 설명될 수 있다. 일 실시예에서, 배치는 관심 피처와 관련하여 설명된다. 예를 들어, 이웃 접촉홀들을 비교적 안쪽으로(예를 들어, 관심 피처에 가깝게) 이동시키는 것이 에칭 후 중심 접촉홀의 CD에 영향을 준다. 따라서, 주위 접촉홀들의 크기뿐만 아니라, 이들의 배치도 에칭 후 패턴들에 영향을 미친다. 본 방법(예를 들어, 도 23a)은 이러한 관계들을 도출하는 체계적인 방식을 제공한다.In one embodiment, the method described herein (discussed below) addresses effects associated with short-range loading and placement of neighboring contact holes. In one embodiment, the placement of neighboring contact holes may be described with respect to a reference grid, or a grid associated with a design layout (eg, provided in GDS format). In one embodiment, the placement is described with respect to a feature of interest. For example, moving neighboring contact holes relatively inward (eg, closer to the feature of interest) affects the CD of the central contact hole after etching. Thus, not only the size of the surrounding contact holes, but also their placement affects the patterns after etching. The present method (eg, FIG. 23A ) provides a systematic way to derive these relationships.

일 실시예에서, 관련 윤곽 피처들의 ADI 및 AEI를 찾고 에칭 후 이들의 전사를 정량화하는 방법은 정준 상관 분석을 채택한다. 본 방법은 관련 윤곽 지점들을 추출하고 에칭 후 전사를 정량화하는 데 모두 사용될 수 있다.In one embodiment, the method of finding the ADI and AEI of relevant contour features and quantifying their transcription after etching employs canonical correlation analysis. This method can be used both to extract the relevant contour points and to quantify the transfer after etching.

일 실시예에서, 상기 방법에 사용되는 ADI 및 AEI 데이터는 리소그래피 단계(예를 들어, ADI) 후에 기판 상의 구조체의 어드레싱된 SEM 이미지들을 구성함으로써 얻어질 수 있다. 다음으로, 이미징된 기판은 관심 공정(예를 들어, 지정된 에칭 공정)을 사용하여 처리된다. 일 실시예에서, 에칭 후, 동일한 위치에서의 SEM 이미지들이 어드레싱된 SEM 이미지들에 기초하여 얻어진다. 또한, SEM 이미지들 ADI 및 AEI가 정렬된다.In one embodiment, the ADI and AEI data used in the method may be obtained by constructing addressed SEM images of a structure on a substrate after a lithography step (eg, ADI). Next, the imaged substrate is processed using a process of interest (eg, a designated etching process). In one embodiment, after etching, SEM images at the same location are obtained based on the addressed SEM images. Also, the SEM images ADI and AEI are aligned.

일 실시예에서, ADI 및 AEI에서의 관심 피처들의 윤곽들이 윤곽 추출 알고리즘을 사용하여 결정된다. 일 예시에서, 윤곽 추출 알고리즘은 윤곽을 설명하기 위해 윤곽 지점들 또는 픽셀 세기들을 채택한다. 또한, ADI 및 AEI의 윤곽 지점들 사이의 결정 계수(R 2 )와 같은 상관관계가 결정된다. 일 실시예에서, 상관관계는 AEI 윤곽 지점들의 선형 조합의 분산 비율이 피처 자체 및 그 이웃 피처들의 ADI 윤곽 지점들의 선형 조합에 의해 설명된다는 것을 설명한다. 일 실시예에서, 상관관계 결정 절차는 ADI 및 AEI 윤곽들의 최적 선형 조합들, 및 대응하는 R 2 를 결정하기 위한 고유 방정식을 산출한다. 상기 방법은 도 23a와 관련하여 더 상세히 설명된다.In one embodiment, contours of features of interest in ADI and AEI are determined using a contour extraction algorithm. In one example, the contour extraction algorithm employs contour points or pixel intensities to describe the contour. In addition, a correlation, such as a coefficient of determination R 2 between the contour points of ADI and AEI, is determined. In one embodiment, the correlation describes that the variance ratio of the linear combination of AEI contour points is described by the linear combination of the ADI contour points of the feature itself and its neighboring features. In one embodiment, the correlation determination procedure yields the optimal linear combinations of the ADI and AEI contours, and the eigen equation for determining the corresponding R 2 . The method is described in more detail with reference to FIG. 23A.

도 23a는 현상 후 이미지(ADI)에 기초하여 에칭 후 이미지(AEI)를 결정하도록 구성되는 모델을 트레이닝하는 방법(2300)의 흐름도이다. 상기 방법은 아래에서 상세히 논의되는 다음 절차들 P2301, P2303, P2305, 및 P2307을 포함한다.23A is a flow diagram of a method 2300 for training a model configured to determine a post-etch image (AEI) based on the post-develop image (ADI). The method includes the following procedures P2301, P2303, P2305, and P2307 discussed in detail below.

절차 P2301은 (ⅰ) 기판 상에 이미징된 ADI 피처(2301)의 측정, 및 (ⅱ) 에칭 후 이미지(AEI) 피처(2302)의 측정을 얻는 단계를 포함한다. AEI 피처(2302)의 측정은 에칭 공정을 거친 기판 상에서의 측정된 ADI 피처에 대응한다. 예를 들어, 동일한 피처들이 에칭 공정 전과 에칭 공정 후에 측정된다. 본 방법은 단일 ADI 이미지 또는 단일 AEI 이미지에 제한되지 않으며, 다수 ADI 및 AEI 이미지들이 채택될 수 있음을 이해할 수 있다.Procedure P2301 includes (i) measurements of the imaged ADI features 2301 on the substrate, and (ii) obtaining measurements of post-etch image (AEI) features 2302 . The measurements of the AEI features 2302 correspond to the measured ADI features on the etched substrate. For example, the same features are measured before and after the etching process. It is understood that the method is not limited to a single ADI image or a single AEI image, and multiple ADI and AEI images may be employed.

본 기재내용에서, ADI 피처(2301) 및 AEI 피처(2302)는 개념들을 논의하기 위한 예시들로서 사용된다. 하지만, 본 발명은 ADI 피처 또는 AEI 피처에 제한되지 않는다. 일 실시예에서, 특정 ADI 피처 및 AEI 피처를 측정하지 않고 전체 ADI 이미지 및 AEI 이미지가 얻어질 수 있다. 또한, 일 실시예에서, AEI 이미지는 에칭 후 이미지에 제한되지 않으며, 패터닝 공정의 노광 후 단계 이후에 얻어지는 여하한의 다른 이미지가 본 명세서에서 사용될 수 있고, 본 발명의 범위 내에 있다.In this disclosure, ADI feature 2301 and AEI feature 2302 are used as examples to discuss concepts. However, the present invention is not limited to ADI features or AEI features. In one embodiment, full ADI images and AEI images may be obtained without measuring specific ADI features and AEI features. Also, in one embodiment, the AEI image is not limited to a post-etch image, any other image obtained after the post-exposure step of the patterning process may be used herein and is within the scope of the present invention.

일 실시예에서, 측정된 ADI 피처(2301) 및 측정된 AEI 피처(2302)는 입력 타겟 피처에 대한 ADI 및 AEI 이미지들을 생성하도록 구성되는 시뮬레이션 프로세스 또는 메트롤로지 툴을 통해 얻어진다. 일 실시예에서, 메트롤로지 툴은 기판의 ADI 및 AEI를 캡처하도록 구성되는 스캐닝 전자 현미경(SEM)(예를 들어, 도 28)이다. ADI는 ADI 피처를 포함하고, AEI는 AEI 피처를 포함한다. 일 실시예에서, ADI는 에칭 전에 ADI 피처의 제 1 및 제 2 SEM 측정으로부터 얻어지는 이미지들을 포함한다. 일 실시예에서, ADI 피처의 제 1 SEM 측정은 SEM 툴을 통해 이미징된 기판을 노광함으로써 얻어진다. ADI 피처의 제 2 SEM 측정은 SEM 툴을 통해 이미징된 기판의 동일한 ADI 피처를 재-노광함으로써 얻어진다. 유사하게, AEI는 에칭된 기판을 노광 및 재-노광함으로써 AEI 피처의 제 1 및 제 2 SEM 측정들로부터 얻어지는 이미지들을 포함한다. 에칭된 기판은 이미징된 기판을 에칭한 후에 얻어진다.In one embodiment, the measured ADI feature 2301 and the measured AEI feature 2302 are obtained via a simulation process or metrology tool configured to generate ADI and AEI images for the input target feature. In one embodiment, the metrology tool is a scanning electron microscope (SEM) (eg, FIG. 28 ) configured to capture the ADI and AEI of the substrate. ADI includes ADI features, and AEI includes AEI features. In one embodiment, the ADI includes images obtained from first and second SEM measurements of the ADI feature prior to etching. In one embodiment, the first SEM measurement of the ADI feature is obtained by exposing the imaged substrate through an SEM tool. A second SEM measurement of the ADI feature is obtained by re-exposing the same ADI feature of the imaged substrate through the SEM tool. Similarly, the AEI includes images obtained from first and second SEM measurements of the AEI feature by exposing and re-exposing the etched substrate. The etched substrate is obtained after etching the imaged substrate.

절차 P2303은 측정된 ADI 피처(2301)를 특징짓는 변수들의 제 1 세트(VADI1) 및 측정된 AEI 피처(2302)를 특징짓는 변수들의 제 2 세트(VAEI1)를 할당하는 단계를 포함한다. 일 실시예에서, 변수들의 제 1 세트(VADI1)는 측정된 ADI 피처(2301)의 ADI 윤곽 상의 위치의 세트에 대응하고, 변수들의 제 2 세트(VAEI1)는 측정된 AEI 피처(2302)의 AEI 윤곽 상의 위치의 세트에 대응한다.Procedure P2303 includes assigning a first set of variables characterizing the measured ADI feature 2301 (VADI1) and a second set of variables characterizing the measured AEI feature 2302 (VAEI1). In one embodiment, the first set of variables VADI1 corresponds to a set of positions on the ADI contour of the measured ADI feature 2301 and the second set of variables VAEI1 is the AEI of the measured AEI feature 2302 Corresponds to a set of positions on the contour.

일 실시예에서, ADI 이미지의 픽셀 세기들(예를 들어, 그레이 스케일 값들) 및 AEI 이미지의 픽셀 세기들(예를 들어, 그레이 스케일 값들)이 변수들의 제 1 세트(VADI1) 및 변수들의 제 2 세트(VAEI1)로서 각각 사용될 수 있다.In one embodiment, the pixel intensities (eg gray scale values) of the ADI image and the pixel intensities (eg gray scale values) of the AEI image are the first set of variables VADI1 and the second set of variables Each can be used as a set VAEI1.

절차 P2305는 측정된 ADI 피처(2301)의 변수들의 제 1 세트(VADI1)의 조합과 측정된 AEI 피처(2302)의 변수들의 제 2 세트(VAEI1)의 조합 간의 상관관계(2310)를 결정하는 단계를 포함한다. 일 실시예에서, 변수들의 제 1 세트(VADI1)의 조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델이다. 일 실시예에서, 변수들의 제 1 세트(VADI1)의 조합은 변수들의 제 1 세트(VADI1)의 가중 합이다.Procedure P2305 determines a correlation 2310 between the combination of the first set of variables of the measured ADI feature 2301 (VADI1) and the combination of the second set of variables of the measured AEI feature 2302 (VAEI1) includes In one embodiment, the combination of the first set of variables VADI1 is a linear combination, a non-linear combination, or a machine learning model. In one embodiment, the combination of the first set of variables VADI1 is a weighted sum of the first set of variables VADI1 .

일 실시예에서, 상관관계(2310)는 정준 상관 분석 또는 다른 상관관계 결정 방법들에 기초하여 결정될 수 있다. 이러한 변수들의 조합은, 예를 들어 ADI에서 AEI로의 패턴 전사 거동을 특징짓는 관련 변수들로서 간주된다. 가중치들은 양의 값들 또는 음의 값들일 수 있다. 일 실시예에서, 양 또는 음은 예를 들어 변수 값이 적용되어야 하는 왼쪽 또는 오른쪽을 향한 변수의 방향을 나타낸다. 일 실시예에서, 양 또는 음은 ADI 피처의 수축 또는 성장을 나타낼 수 있다. 일 실시예에서, 변수들의 제 2 세트(VAEI1)의 조합 또는 1 이상의 서브-조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델이다. 일 실시예에서, 변수들의 제 1 세트(VADI1)는 측정된 ADI 피처(2301)의 ADI 윤곽 상의 위치의 세트에 대응하고, 변수들의 제 2 세트(VAEI1)는 측정된 AEI 피처(2302)의 AEI 윤곽 상의 위치의 세트에 대응한다.In one embodiment, correlation 2310 may be determined based on canonical correlation analysis or other correlation determination methods. Combinations of these variables are considered as relevant variables to characterize, for example, ADI to AEI pattern transfer behavior. The weights may be positive values or negative values. In one embodiment, positive or negative indicates the direction of the variable, eg towards the left or right, to which the variable value should be applied. In one embodiment, positive or negative may indicate shrinkage or growth of the ADI feature. In an embodiment, the combination or one or more sub-combinations of the second set of variables VAEI1 is a linear combination, a non-linear combination, or a machine learning model. In one embodiment, the first set of variables VADI1 corresponds to a set of positions on the ADI contour of the measured ADI feature 2301 and the second set of variables VAEI1 is the AEI of the measured AEI feature 2302 Corresponds to a set of positions on the contour.

일 실시예에서, ADI 피처는 관심 피처, 및 1 이상의 이웃 피처를 포함한다. 일 실시예에서, 변수들의 제 1 세트(VADI1)는 관심 피처와 연계된 변수들의 제 1 서브세트 및 1 이상의 이웃 피처와 연계된 변수들의 제 2 서브세트를 포함한다. 일 실시예에서, 조합은 관심 피처와 연계된 변수들의 제 1 서브세트 및 1 이상의 이웃 피처와 연계된 변수들의 제 2 서브세트의 가중 합이다. 일 실시예에서, 이웃 피처의 변수들에 할당되는 가중치들은 관심 피처로부터 떨어져 있는 또 다른 이웃 피처의 변수들보다 상대적으로 더 높다.In one embodiment, the ADI features include a feature of interest and one or more neighboring features. In one embodiment, the first set of variables VADI1 comprises a first subset of variables associated with a feature of interest and a second subset of variables associated with one or more neighboring features. In one embodiment, the combination is a weighted sum of a first subset of variables associated with a feature of interest and a second subset of variables associated with one or more neighboring features. In one embodiment, the weights assigned to variables of a neighboring feature are relatively higher than variables of another neighboring feature away from the feature of interest.

도 24a 및 도 24b는 각각 예시적인 ADI 피처들 및 AEI 피처들을 나타낸다. 도 24a에서, ADI는 관심 피처(ADIF1) 및 피처(ADIF1) 주위의 이웃 피처들(ADINF1 및 ADINF2)을 포함한다. 제 1 이웃 피처(ADINF1)는 제 2 이웃 피처(ADINF2)보다 관심 피처(ADIF1)에 상대적으로 더 가깝다. 일 실시예에서, 변수들의 세트는 피처(ADIF1)의 윤곽 상의 숫자들(1 내지 8)로 표시된 위치들일 수 있다. 유사하게, 변수들의 또 다른 세트는 이웃 피처들(ADINF1 및 ADINF2)의 윤곽들 상의 위치들(지점들)일 수 있다. 일 실시예에서, 변수들의 제 1 세트(VADI1)는 ADIF1, ADINF1 및 ADINF2의 변수들의 세트(예를 들어, 피처의 윤곽 상의 윤곽 지점들)일 수 있다. 일 실시예에서, 변수들의 제 2 세트(VAEI1)는 AEI 피처(AEIF1)의 윤곽 상의 위치들(지점들)일 수 있다. 일 실시예에서, AEI 피처(AEIF1)는 ADI 피처(ADIF1)를 에칭한 후에 생성될 수 있다. 일 실시예에서, 이웃 피처들(ADINF1 및 ADINF2)은 AEI 피처(AEIF1)의 형상 및 크기에 영향을 미칠 수 있다. 따라서, 일 예시에서, [본 명세서의 방법(2300)에서 논의된 바와 같이] AEIF1의 변수들의 선형 조합과 가장 상관되고, 두 번째로 가장 상관되고, 세 번째로 가장 상관되는(등등) ADIF1과 연계된 변수들(예를 들어, 윤곽 지점들)의 선형 조합이 결정될 수 있다. 또 다른 예시에서, [본 명세서의 방법(2300)에서 논의된 바와 같이] AEIF1의 변수들의 선형 조합과 가장(두 번째로 가장, 세 번째로 가장, 등등) 상관되는 ADIF1 및 이웃 피처들 ADINF1 및 ADINF2와 연계된 변수들의 선형 조합이 결정될 수 있다.24A and 24B illustrate exemplary ADI features and AEI features, respectively. In FIG. 24A , ADI includes a feature of interest ADIF1 and neighboring features ADINF1 and ADINF2 around the feature ADIF1. The first neighboring feature ADINF1 is relatively closer to the feature of interest ADIF1 than the second neighboring feature ADINF2. In one embodiment, the set of variables may be positions indicated by numbers 1 to 8 on the outline of feature ADIF1 . Similarly, another set of variables may be locations (points) on the contours of neighboring features ADINF1 and ADINF2. In one embodiment, the first set of variables VADI1 may be a set of variables of ADIF1, ADINF1 and ADINF2 (eg, contour points on the contour of the feature). In one embodiment, the second set of variables VAEI1 may be locations (points) on the contour of the AEI feature AEIF1 . In one embodiment, the AEI feature AEIF1 may be created after etching the ADI feature ADIF1 . In one embodiment, the neighboring features ADINF1 and ADINF2 may affect the shape and size of the AEI feature AEIF1. Thus, in one example, most correlated with a linear combination of variables of AEIF1 (as discussed in method 2300 herein), second most correlated, third most correlated (and so on) associated with ADIF1 A linear combination of defined variables (eg, contour points) may be determined. In another example, ADIF1 and neighboring features ADINF1 and ADINF2 most (second most, third most, etc.) correlated with a linear combination of variables of AEIF1 (as discussed in method 2300 herein) A linear combination of variables associated with can be determined.

일 실시예에서, 상관관계(2310)를 결정하는 단계는 (ⅰ) 변수들의 제 1 세트(VADI1)의 조합과 연계된 파라미터들의 제 1 세트, 및 (ⅱ) 변수들의 제 2 세트(VAEI1)의 조합과 연계된 파라미터들의 제 2 세트의 주어진 값들을 사용하여 상관관계(2310)를 연산하는 단계; 상관관계(2310)가 최대화되는지(또는 지정된 범위 내에 있는지) 여부를 결정하는 단계; 상관관계(2310)가 최대화되지 않음(또는 지정된 범위 내에 있지 않음)에 응답하여, 상관관계(2310)가 최대화될 때까지 파라미터들의 제 1 세트 및 파라미터들의 제 2 세트의 주어진 값들을 조정하는 단계를 포함한다. 일 실시예에서, 파라미터들의 제 1 세트 및 파라미터들의 제 2 세트의 주어진 값들을 조정하는 단계는 상관관계(2310)가 최대화될(또는 지정된 범위 내에 있을) 때까지 수행된다.In one embodiment, determining the correlation 2310 comprises (i) a first set of parameters associated with a combination of a first set of variables (VADI1), and (ii) a second set of variables (VAEI1). computing a correlation (2310) using the given values of the second set of parameters associated with the combination; determining whether the correlation 2310 is maximized (or is within a specified range); in response to the correlation 2310 not being maximized (or not within the specified range), adjusting the given values of the first set of parameters and the second set of parameters until the correlation 2310 is maximized. include In one embodiment, adjusting the given values of the first set of parameters and the second set of parameters is performed until the correlation 2310 is maximized (or within a specified range).

일 실시예에서, ADI(예를 들어, 도 24a의 ADI 피처 ADIF1, ADINF1, 또는 ADINF2, 또는 ADI 이미지의 픽셀 세기들)와 연계된 변수들의 제 1 세트(VADI1)는 벡터

Figure pct00038
로서 표현될 수 있고,
Figure pct00039
은 변수들의 제 1 세트(VADI1)의 1 이상의 조합을 나타낸다. 일 예시에서,
Figure pct00040
은 매트릭스로서 표현될 수 있고,
Figure pct00041
은 하나보다 많은 숫자를 포함한다. 본 예시에서,
Figure pct00042
은 스칼라이고,
Figure pct00043
Figure pct00044
은 상관관계를 최대화하도록 최적화된다. 최적화 프로세스는 상관관계가 (국부적) 최대이고 발견된 다수 조합들에 대응하는 하나보다 많은 솔루션을 제공할 수 있다. 일 실시예에서,
Figure pct00045
은 변수들의 제 1 세트(VADI1)의 각 변수와 연계된 가중치들을 나타낸다. 일 실시예에서, AEI(예를 들어, 도 24b의 AEIF1, 또는 AEI 이미지의 픽셀 세기들)와 연계된 변수들의 제 2 세트(VAEI1)는 벡터
Figure pct00046
로서 표현될 수 있고,
Figure pct00047
은 변수들의 제 2 세트(VAEI1)의 1 이상의 조합을 나타낸다. 일 실시예에서,
Figure pct00048
은 변수들의 제 1 세트(VADI1)의 각 변수와 연계된 가중치들을 나타낸다.In one embodiment, the first set of variables VADI1 associated with the ADI (eg, the ADI feature ADIF1 , ADINF1 , or ADINF2 of FIG. 24A , or pixel intensities of the ADI image) is a vector
Figure pct00038
can be expressed as
Figure pct00039
denotes one or more combinations of the first set of variables (VADI1). In one example,
Figure pct00040
can be expressed as a matrix,
Figure pct00041
contains more than one number. In this example,
Figure pct00042
is a scalar,
Figure pct00043
and
Figure pct00044
is optimized to maximize the correlation. The optimization process may provide more than one solution for which the correlation is (locally) maximal and corresponds to the multiple combinations found. In one embodiment,
Figure pct00045
denotes the weights associated with each variable of the first set of variables VADI1. In one embodiment, the second set of variables VAEI1 associated with the AEI (eg, AEIF1 in FIG. 24B , or pixel intensities of the AEI image) is a vector
Figure pct00046
can be expressed as
Figure pct00047
denotes one or more combinations of the second set of variables (VAEI1). In one embodiment,
Figure pct00048
denotes the weights associated with each variable of the first set of variables VADI1.

일 실시예에서, 상관관계(2310)를 결정하는 단계는

Figure pct00049
Figure pct00050
에 대한
Figure pct00051
·
Figure pct00052
Figure pct00053
·
Figure pct00054
의 상호 정보의 최적화(일 실시예에서, 최대화)를 수반한다. 일 실시예에서, 상호 정보의 최적화는 분석적 접근 또는 수치적 접근에 기초하여 결정될 수 있다. 일 실시예에서, 고유 방정식들이 ADI의 변수들의 조합과 AEI의 변수들의 조합 사이의 상관관계(2310)를 최대화하기 위해 사용될 수 있다. 일 실시예에서, 상호 정보는 변수들의 조합의 공간에 걸친 확률 밀도 함수의 관점에서 결정될 수 있다. 일 실시예에서, 예를 들어 유한 데이터 세트에 대해, 확률 밀도들은 연산되지 않을 수 있고, 대신에 정규화된 히스토그램들이 사용될 수 있다. 상호 정보를 추산하기 위한 예시적인 접근법은 참고문헌 A. Kraskov, H. Stogbauer 및 P. Grassberger, "Estimating mutual information"(Phys. Rev. E 69, 2004)에서 찾아볼 수 있으며, 이는 본 명세서에서 그 전문이 인용참조된다.In one embodiment, determining the correlation 2310 includes:
Figure pct00049
and
Figure pct00050
for
Figure pct00051
·
Figure pct00052
and
Figure pct00053
·
Figure pct00054
It entails optimization (in one embodiment, maximization) of the mutual information of In one embodiment, the optimization of mutual information may be determined based on an analytical approach or a numerical approach. In one embodiment, eigen equations may be used to maximize the correlation 2310 between the combination of variables in ADI and the combination of variables in AEI. In one embodiment, the mutual information may be determined in terms of a probability density function over space of combinations of variables. In one embodiment, for example, for a finite data set, probability densities may not be computed, and normalized histograms may be used instead. An exemplary approach for estimating mutual information can be found in A. Kraskov, H. Stogbauer and P. Grassberger, "Estimating mutual information" (Phys. Rev. E 69, 2004), which is herein incorporated by reference. The full text is referenced by reference.

일 실시예에서, 조합은 변수들의 비선형 조합일 수 있다. 비선형의 경우, 상관관계(2310)를 결정하는 단계는

Figure pct00055
Figure pct00056
의 비선형 함수들 사이의 상관관계(예를 들어, R 2 )를 최대화하는 단계를 포함한다. 이 함수들은
Figure pct00057
Figure pct00058
의 명백한 분석적 표현들일 수 있지만, 벡터 입력에서 스칼라를 생성하는 뉴럴 네트워크들일 수도 있다. 예를 들어, 상관관계(2310)를 결정하는 예시적인 방법은 "Andrew 2013에 의한 Deep Canonical Correlation Analysis"에 기초할 수 있으며, 이는 본 명세서에서 그 전문이 인용참조된다. 예를 들어, 최적화 프로세스는 뉴럴 네트워크의 계수들에 대한 최대화를 수반한다. 일 예시에서, 상관관계(2310)는 다음 상관관계(R 2 ) 수학식을 사용하여 연산될 수 있다:In one embodiment, the combination may be a non-linear combination of variables. In the case of non-linearity, the step of determining the correlation 2310 is
Figure pct00055
Wow
Figure pct00056
maximizing the correlation (eg, R 2 ) between the nonlinear functions of . these functions
Figure pct00057
and
Figure pct00058
may be explicit analytic representations of , but also neural networks that generate a scalar from a vector input. For example, an exemplary method for determining correlation 2310 may be based on “Deep Canonical Correlation Analysis by Andrew 2013”, which is incorporated herein by reference in its entirety. For example, the optimization process involves maximizing the coefficients of a neural network. In one example, correlation 2310 may be computed using the following correlation ( R 2 ) equation:

Figure pct00059
Figure pct00059

앞선 수학식에서, covvar은 변수의 공분산 및 분산을 나타내며, f는 파라미터들

Figure pct00060
을 갖는
Figure pct00061
의 미리 정의된 스칼라 함수이고, g는 파라미터들
Figure pct00062
을 갖는
Figure pct00063
의 스칼라 함수이다. fg에 대한 예시들은 선형 함수(
Figure pct00064
), 이차 함수, 고차 다항식, 가중치들
Figure pct00065
Figure pct00066
을 갖는 기계 학습 네트워크들을 포함한다.In the preceding equation, cov and var represent the covariance and variance of the variable, and f is the parameters
Figure pct00060
having
Figure pct00061
is a predefined scalar function of , and g is the parameters
Figure pct00062
having
Figure pct00063
is a scalar function of Examples for f and g are linear functions (
Figure pct00064
), quadratic functions, higher-order polynomials, weights
Figure pct00065
and
Figure pct00066
machine learning networks with

선형 조합들의 경우, 상관관계(2310)는 다음 상관관계(R 2 ) 수학식을 사용하여 연산된다:For linear combinations, correlation 2310 is computed using the following correlation ( R 2 ) equation:

Figure pct00067
Figure pct00067

앞선 수학식에서,

Figure pct00068
은 변수들의 제 1 세트(VADI1)의 벡터 형태이고,
Figure pct00069
은 파라미터들의 제 1 세트에 대응하며,
Figure pct00070
은 변수들의 제 1 세트(VADI1)의 1 이상의 조합을 포함하고,
Figure pct00071
은 변수들의 제 2 세트(VAEI1)의 벡터 형태이며,
Figure pct00072
은 파라미터들의 제 2 세트에 대응하고,
Figure pct00073
은 변수들의 제 2 세트(VAEI1)의 1 이상의 조합을 포함하며, R 2 의 분자는
Figure pct00074
Figure pct00075
사이의 공분산을 나타내고, 분모는
Figure pct00076
의 분산과
Figure pct00077
의 분산의 곱을 나타낸다.In the previous formula,
Figure pct00068
is the vector form of the first set of variables (VADI1),
Figure pct00069
corresponds to the first set of parameters,
Figure pct00070
comprises one or more combinations of the first set of variables (VADI1),
Figure pct00071
is the vector form of the second set of variables (VAEI1),
Figure pct00072
corresponds to the second set of parameters,
Figure pct00073
comprises one or more combinations of the second set of variables (VAEI1), wherein the numerator of R 2 is
Figure pct00074
Wow
Figure pct00075
represents the covariance between, and the denominator is
Figure pct00076
dispersion of
Figure pct00077
represents the product of the variance of .

일 실시예에서, 상관관계(2310)를 결정하는 단계는

Figure pct00078
Figure pct00079
에 대한 R 2 의 미분을 0으로 설정하는 단계를 포함하며, 이는 다음 표현식들을 산출한다:In one embodiment, determining the correlation 2310 includes:
Figure pct00078
and
Figure pct00079
setting the derivative of R 2 with respect to 0 to 0, which yields the following expressions:

Figure pct00080
Figure pct00080

제 1 수학식(A)은 R 2 의 고유값과

Figure pct00081
의 고유벡터를 결정하는 고유 방정식이다. 제 2 수학식(B)에서, α는 비례 연산자(proportionality operator)이다. 일 실시예에서, 상관관계(R 2 )는
Figure pct00082
Figure pct00083
의 길이와 독립적이다. 일 실시예에 따르면, 앞선 수학식들은 가장 큰 고유값(R 2 1 )을 갖는 벡터
Figure pct00084
및 대응하는 벡터
Figure pct00085
가 가장 잘 전사하는 선형 조합들임을 나타낸다. 가장 큰 고유값(R 2 2 )을 갖는 벡터
Figure pct00086
및 대응하는 벡터
Figure pct00087
는 두 번째로 잘 전사하는 선형 조합들이고, 그 밖에도 마찬가지이다. 일 실시예에서,
Figure pct00088
Figure pct00089
는 벡터들이고
Figure pct00090
는 스칼라이며, 수학식들(A 및 B)에 대한 다수 솔루션들은 앞선 수학식의 최적화 동안(예를 들어, 상관관계를 최대화하기 위해) 결정되고, 이에 따라 상이한 변형 모드들을 얻는다.The first formula (A) is the eigenvalue of R 2 and
Figure pct00081
It is an eigen equation that determines the eigenvector of . In the second equation (B), α is a proportionality operator. In one embodiment, the correlation ( R 2 ) is
Figure pct00082
and
Figure pct00083
independent of the length of According to an embodiment, the above equations are the vector having the largest eigenvalue R 2 1 .
Figure pct00084
and the corresponding vector
Figure pct00085
are the linear combinations that transcribe best. Vector with largest eigenvalue ( R 2 2 )
Figure pct00086
and the corresponding vector
Figure pct00087
are the second best transcribed linear combinations, and so on. In one embodiment,
Figure pct00088
and
Figure pct00089
are vectors
Figure pct00090
is a scalar, and multiple solutions to equations (A and B) are determined during optimization of the preceding equation (eg, to maximize correlation), thus obtaining different transformation modes.

일 실시예에서, 0이 아닌 고유값들의 수는 기껏해야 벡터들

Figure pct00091
Figure pct00092
의 최소 길이이다. 이러한 0이 아닌 고유값들 중에서, 제한된 수의 고유값들만이 0보다 훨씬 큰 값들을 갖는 R 2 에 대응한다. 따라서, 제한된 수의 윤곽 속성들만이 에칭 후 전사로 간주된다. 나머지 조합들은 고려되지 않을 수 있다. 일 실시예에서, 벡터들
Figure pct00093
Figure pct00094
을 검사함으로써, 전달된 변수들의 물리적 의미를 찾고 이에 따라 파라미터 공간을 감소시킬 수 있다.In one embodiment, the number of non-zero eigenvalues is at most vectors
Figure pct00091
and
Figure pct00092
is the minimum length of Of these non-zero eigenvalues, only a limited number of eigenvalues correspond to R 2 having values much greater than zero. Therefore, only a limited number of contour properties are considered post-etch transfer. Other combinations may not be considered. In one embodiment, vectors
Figure pct00093
and
Figure pct00094
By examining , we can find the physical meaning of the passed variables and reduce the parameter space accordingly.

일 실시예에서, 고유값 분석은 선택된 포커스 및 도즈 조건에 대한 에칭 후 전사 특성들을 결정하기 위해 사용될 수 있다. 일 예시에서, ADI와 연계된 변수들의 제 1 세트(VADI1)는 관심 접촉홀 및 그 6 개의 이웃들에 대한, 접촉홀의 질량 중심까지의 16 개의 윤곽 지점들의 거리들일 수 있다. 따라서, 변수들의 제 1 세트(VADI1)는 16·(1 + 6) = 112 개의 변수들을 포함한다. AEI와 연계된 변수들의 제 2 세트(VAEI1)는 관심있는 AEI의 접촉홀에 대한 중심까지의 16 개의 윤곽 지점들의 거리들일 수 있다. 따라서, 변수들의 제 2 세트(VAEI1)는 16 개의 변수들을 포함한다. 일 실시예에서, 이 변수들의 선형 조합에 따른 패턴 전사의 예시적인 속성들은 도 25a 내지 도 25f에 더 예시되어 있다. 일 실시예에서, 0이 아닌 고유값들 및 대응하는 고유벡터들(예를 들어, 앞서 상관관계 관련 수학식들 A 및 B로부터 얻어짐)도 도 25a 내지 도 25f를 참조하여 분석되고 설명된다.In one embodiment, eigenvalue analysis may be used to determine post-etch transfer properties for a selected focus and dose condition. In one example, the first set of variables associated with ADI VADI1 may be the distances of 16 contour points to the center of mass of the contact hole for the contact hole of interest and its 6 neighbors. Thus, the first set of variables VADI1 contains 16·(1 + 6) = 112 variables. The second set of variables associated with the AEI (VAEI1) may be the distances of the 16 contour points to the center for the contact hole of the AEI of interest. Accordingly, the second set of variables VAEI1 comprises 16 variables. In one embodiment, exemplary properties of pattern transfer according to a linear combination of these variables are further illustrated in Figures 25A-25F. In one embodiment, non-zero eigenvalues and corresponding eigenvectors (eg, obtained from correlation equations A and B above) are also analyzed and described with reference to FIGS. 25A-25F .

도 25a 내지 도 25f는 고유 방정식들(예를 들어, 앞선 수학식들 A 및 B)에 의해 결정된 바와 같은, 변수들의 제 1 세트의 선형 조합들의 최적 전사를 나타낸다. 오른쪽 상단의 각각의 서브 도면에서, 실선들(예를 들어, WT_ADICH1, WT_ADICH2, WT_ADICH3, WT_ADICH4, WT_ADICH5, 및 WT_ADICH6으로 칭함)은 관심 피처(예를 들어, ADICH1)의 가중치들에 대응한다. 오른쪽 상단의 각각의 서브 도면에서, 점선들(예를 들어, 집합적으로 각각 WT_NH1, WT_NH2, WT_NH3, WT_NH4, WT_NH5, 및 WT_NH6으로 칭함)은 관심 피처(예를 들어, ADICH1) 주위의 이웃 피처들의 가중치들에 대응한다. 도 25a 및 도 25b는 피처들의 병진, 예를 들어 x 및 y 방향의 홀들의 병진에 대응하는 변수들의 선형 조합을 나타낸다. 도 25c는 중심 홀 및 그 이웃들의 (ADI에서의) CD에 의해 영향을 받는 피처들의 CD, 예를 들어 AEI CD에 대응하는 변수들의 선형 조합을 나타낸다. 도 25e 및 도 25f는, 예를 들어 중심에 위치된 ADI 홀의 신장 및 이웃 홀들의 크기 및 변위에 의해 결정되는 피처의 신장에 대응하는 변수들의 선형 조합을 나타낸다. 도 25d는, 예를 들어 ADI에서의 이웃 피처들의 CD 및 병진에 의해 영향을 받는 AEI 피처인 피처들의 삼각도에 대응하는 변수들의 선형 조합을 나타낸다.25A-25F show optimal transcription of linear combinations of a first set of variables, as determined by eigen equations (eg, Equations A and B above). In each sub-figure in the upper right, solid lines (eg, referred to as WT_ADICH1, WT_ADICH2, WT_ADICH3, WT_ADICH4, WT_ADICH5, and WT_ADICH6) correspond to weights of the feature of interest (eg, ADICH1). In each sub-figure in the upper right, dashed lines (eg, collectively referred to as WT_NH1, WT_NH2, WT_NH3, WT_NH4, WT_NH5, and WT_NH6, respectively) indicate the neighboring features around the feature of interest (eg, ADICH1). corresponding to the weights. 25a and 25b show a linear combination of variables corresponding to the translation of features, eg, of holes in the x and y directions. 25C shows a linear combination of variables corresponding to the CD of features affected by the CD (in ADI) of the central hole and its neighbors, eg, AEI CD. 25E and 25F show a linear combination of variables corresponding to elongation of a feature determined by e.g. elongation of a centrally located ADI hole and the size and displacement of neighboring holes. 25D shows a linear combination of variables corresponding to the triangulation of features, eg, an AEI feature affected by the translation and CD of neighboring features in ADI.

도 25a를 참조하면, ADI 피처(ADICH1) 상의 각각의 윤곽 지점의 가중치들(WT_ADICH1)이 각도에 대해 플롯 구성된다(오른쪽 그래프). 또한, 이웃 ADI 피처들(예를 들어, NCH) 상의 각각의 윤곽 지점의 가중치들(WT_NH1)이 각도에 대해 플롯 구성된다(오른쪽 그래프). 왼쪽 그래프는 극좌표 표현에서 ADI 접촉홀들의 예시적인 배열을 나타낸다. 접촉홀들의 배열(왼쪽 그래프들)에서, 점선 윤곽(RCH1)은 0의 가중치를 갖는 윤곽 지점들을 갖는 원하는 피처 또는 기준 피처에 대응한다. 본 예시에서, 점선 윤곽(RCH1)에 대한 내향 편위들(inward excursions)[예를 들어, 실선 윤곽들(ADICH1)]은 음의 가중치들에 대응하고, 점선에 대한 외향 편위들[예를 들어, 실선 윤곽들(ADICH1)]은 양의 가중치들에 대응한다. 유사하게, 하단 그래프들은 AEI 접촉홀(AEICH1) 및 AEI 접촉홀(AEICH1)의 가중치들(WT_AEICH1)을 나타낸다.Referring to FIG. 25A , the weights WT_ADICH1 of each contour point on the ADI feature ADICH1 are plotted against an angle (right graph). In addition, the weights WT_NH1 of each contour point on neighboring ADI features (eg, NCH) are plotted against angle (right graph). The graph on the left shows an exemplary arrangement of ADI contact holes in a polar representation. In the arrangement of contact holes (left graphs), the dashed contour RCH1 corresponds to a desired feature or a reference feature having contour points with a weight of zero. In this example, inward excursions to dashed contour RCH1 (eg solid contours ADICH1 ) correspond to negative weights, and outward excursions to dashed contour RCH1 (eg, Solid contours ADICH1] correspond to positive weights. Similarly, the lower graphs show weights (WT_AEICH1) of the AEI contact hole (AEICH1) and the AEI contact hole (AEICH1).

본 예시에서, 도 25a 내지 도 25f를 참조하면, 선형 조합들은 고유값 분석에 기초하여 얻어진다. 본 예시에서, 변수들의 제 1 세트(예를 들어, 112 개의 변수들)와 변수들의 제 2 세트(예를 들어, 16 개의 변수들)를 사용하여 얻어지는 가장 큰 고유값은 R 2 = 0.67이다(도 25a 참조). AEI 변수들의 대응하는 가중치들은 접촉홀의 왼쪽으로의 시프트를 나타낸다[도 25a(왼쪽 하단) 참조]. ADI 및 AEI 그래프들(왼쪽 그래프들)에서, 왼쪽 에지의 반경(예를 들어, 0 ° 내지 180 °)은 양의 가중치를 갖고, 오른쪽 에지의 반경(예를 들어, 180 ° 내지 360 °)은 음의 가중치를 갖는다. 도 25a(왼쪽 하단)의 실선 접촉홀(AEICH1)은 기준 접촉홀(RCH1)(점선 원)에 대해 왼쪽으로 변위되고, 가중치들(WT_AEICH1)은 도 25a(오른쪽 하단)에 나타낸 바와 같이 (마이너스) 코사인이다. 도 25a(상단 행)에서, ADI 변수들의 대응하는 가중치들(WT_ADICH1 및 WT_NCH1)은 기준 홀(RCH1)(점선 원)에 대해 왼쪽으로의 (중심의) 접촉홀(ADICH1)의 시프트 및 이웃 홀들(NCH)의 영향 없음을 나타낸다. 이웃 접촉홀들(NCH)과 연계된 변수들은 대략 0의 가중치들(WT_NCH1)을 갖는다는 것을 관찰한다.In this example, referring to FIGS. 25A-25F , linear combinations are obtained based on eigenvalue analysis. In this example, the largest eigenvalue obtained using the first set of variables (eg, 112 variables) and the second set of variables (eg, 16 variables) is R 2 = 0.67 ( see Fig. 25a). The corresponding weights of the AEI variables represent the shift to the left of the contact hole (see Fig. 25a (bottom left)). In the ADI and AEI graphs (left graphs), the radius of the left edge (eg, 0° to 180°) has a positive weight, and the radius of the right edge (eg, 180° to 360°) is has a negative weight. The solid line contact hole AEICH1 in FIG. 25A (bottom left) is displaced to the left with respect to the reference contact hole RCH1 (dotted circle), and the weights WT_AEICH1 are (minus) as shown in FIG. 25A (bottom right). is the cosine. 25A (top row), the corresponding weights WT_ADICH1 and WT_NCH1 of the ADI variables are the shift of the (central) contact hole ADICH1 to the left relative to the reference hole RCH1 (dotted circle) and the neighboring holes ( NCH) showed no effect. It is observed that the variables associated with the neighboring contact holes NCH have weights WT_NCH1 of approximately zero.

유사하게, 도 25b 내지 도 25f는 변수들(예를 들어, 변수들의 제 1 세트 및 변수들의 제 2 세트)의 선형 조합들과 연계된 가중치들, 대응하는 ADI 및 AEI 피처 변환들, 및 ADI 변환이 AEI 변환에 대응하는 방식을 나타낸다.Similarly, FIGS. 25B-25F illustrate weights associated with linear combinations of variables (eg, a first set of variables and a second set of variables), corresponding ADI and AEI feature transforms, and ADI transforms. A method corresponding to this AEI conversion is shown.

일 실시예에 따르면, 도 25a 및 도 25b는 ADI 및 AEI 피처들의 병진을 각각 설명하는 변수들의 제 1 세트 및 변수들의 제 2 세트의 조합들에 대응하는 제 1 고유값(예를 들어, 0.67) 및 제 2 고유값(예를 들어, 0.64)을 예시한다. 제 1 및 제 2 고유값들은 각각 접촉홀(ADICH1 및 ADICH2)의 제 1 방향 및 제 2 방향으로의 병진에 대응한다. 본 예시에서, 병진들은 x-방향 및 y-방향이다. 도 25a 및 도 25b는 상관관계(R 2 )가 두 방향들에서 거의 동일함에 따라, 두 방향들로의 병진들이 동등하게 잘 측정될 수 있음을 나타낸다.25A and 25B show a first eigenvalue (eg, 0.67) corresponding to combinations of a first set of variables and a second set of variables describing the translation of ADI and AEI features, respectively, according to one embodiment. and a second eigenvalue (eg, 0.64). The first and second eigenvalues correspond to translation of the contact holes ADICH1 and ADICH2 in the first direction and the second direction, respectively. In this example, the translations are the x-direction and the y-direction. 25A and 25B show that translations in both directions can be measured equally well, as the correlation R 2 is approximately equal in both directions.

일 실시예에 따르면, 도 25c는 ADI 및 AEI 피처들의 CD를 각각 설명하는 변수들의 제 1 세트 및 변수들의 제 2 세트의 조합에 대응하는 제 3 고유벡터를 예시한다. 또한, 변수들의 선형 조합과 연계된 가중치들(WT_ADICH3, WT_NCH3, 및 WT_AEI3)이 플롯 구성된다. AEI 관련 고유벡터(예를 들어, 변수들의 제 2 세트의 선형 조합)는 변수들의 제 2 세트의 조합의 각 변수에 거의 동일한 가중치가 할당된다는 것을 나타낸다. 예를 들어, 변수들은 상이한 방위들에서 측정되는 접촉홀의 반경(또는 직경들)일 수 있다. 그때, 반경은 AEI 피처의 CD에 대응하고, 평균 직경 길이는 AEI 피처의 평균 CD와 동일하다. 유사하게, ADI 고유벡터(예를 들어, 변수들의 제 1 세트의 선형 조합)는 일부 가중 인자를 갖는 이웃 홀들의 평균 CD를 뺀 ADI 접촉홀의 CD에 대응한다.25C illustrates a third eigenvector corresponding to the combination of the first set of variables and the second set of variables describing the CD of ADI and AEI features, respectively, according to one embodiment. In addition, weights (WT_ADICH3, WT_NCH3, and WT_AEI3) associated with a linear combination of variables are plotted. An AEI-related eigenvector (eg, a linear combination of the second set of variables) indicates that approximately equal weights are assigned to each variable in the combination of the second set of variables. For example, the variables may be the radius (or diameters) of the contact hole measured at different orientations. Then, the radius corresponds to the CD of the AEI feature, and the average diameter length is equal to the average CD of the AEI feature. Similarly, an ADI eigenvector (eg, a linear combination of a first set of variables) corresponds to the CD of an ADI contact hole minus the average CD of neighboring holes with some weighting factor.

일 실시예에서, (도 25c의) 고유벡터의 추가 검사는 또한 접촉홀(ADICH3) 주위의 이웃 접촉홀들의 배치가 AEI 피처(AEICH3)의 CD에 영향을 미친다는 것을 드러낸다. 영향을 이해하기 위해, AEI 관심 피처들의 CD들(예를 들어, AEI의 중심에 위치된 접촉홀)이 ADI 관심 피처들의 CD들(예를 들어, ADI의 중심에 위치된 접촉홀)에 대해 플롯 구성된다(도 26a 참조). 도 26a는 ADI와 AEI의 두 CD 파라미터들 사이에 양의 상관관계가 있음을 나타내지만, 상관관계(R 2 )가 0.22이기 때문에 데이터에 상당한 확산이 존재한다. 도 26b의 제 2 플롯에서, AEI 관심 피처의 CD들은 ADI 관심 피처들의 이웃들의 평균 CD들에 대해 플롯 구성된다. 플롯은 상관관계(R 2 )가 0.14인 음의 상관관계를 드러낸다. 이는 앞서 언급된 국부적 에칭 로딩이다. 다시 말해서, 모든 이웃들이 비교적 큰 경우, 에칭 공정에 의해 많은 부산물이 생성될 것이며, 관심 피처(예를 들어, ADI에서의 중심에 위치된 접촉홀)에 이용가능한 에천트가 적다. 이 국부적 에칭 로딩 효과는 에칭 속도를 낮추고, AEI 접촉홀을 원하는 것보다 작게 만든다. 본 실시예에 따른 상관관계들, 예를 들어 본 고유 방정식이 플롯 구성된 데이터에서 상관관계들을 보정한다는 것이 강조되어야 한다. 예를 들어, 홀 크기와 그 이웃들의 크기 사이의 보정된 상관관계는 훨씬 더 작고, 예를 들어 R 2 = 0.006 및 양이다.In one embodiment, further examination of the eigenvector (of Fig. 25c) also reveals that the placement of neighboring contact holes around contact hole ADICH3 affects the CD of AEI feature AEICH3. To understand the impact, CDs of AEI features of interest (eg, contact hole located in center of AEI) are plotted against CDs of ADI features of interest (eg, contact hole located in center of ADI) configured (see Fig. 26A). 26A shows that there is a positive correlation between the two CD parameters of ADI and AEI, however, there is significant spread in the data because the correlation ( R 2 ) is 0.22. In the second plot of FIG. 26B , the CDs of the AEI feature of interest are plotted against the average CDs of the neighbors of the ADI features of interest. The plot reveals a negative correlation with a correlation ( R 2 ) of 0.14. This is the local etch loading mentioned earlier. In other words, if all of the neighbors are relatively large, there will be many byproducts produced by the etching process, and there is less etchant available for the feature of interest (eg, a centrally located contact hole in an ADI). This local etch loading effect slows the etch rate and makes the AEI contact holes smaller than desired. It should be emphasized that the correlations according to this embodiment, eg the present eigen equation, correct for correlations in plotted data. For example, the corrected correlation between the hole size and the size of its neighbors is much smaller, eg R 2 =0.006 and positive.

일 실시예에 따르면, 도 25e 및 도 25f는 ADI 및 AEI 피처들의 (두 방향으로의) 신장을 각각 설명하는 변수들의 제 1 세트 및 변수들의 제 2 세트의 조합들에 대응하는 제 4 및 제 5 고유벡터들을 예시한다. 또한, 변수들의 선형 조합과 연계된 가중치들(WT_ADICH5, WT_NCH5 및 WT_AEI5)이 도 25e에 플롯 구성된다. 변수들의 다른 선형 조합과 연계된 가중치들(WT_ADICH6, WT_NCH6 및 WT_AEI6)이 도 25f에 플롯 구성된다. 접촉홀들(AEICH5 및 AEICH6)의 신장은 각각 접촉홀들(ADICH5 및 ADICH6)의 신장에 의해 영향을 받는다. 추가적으로, 신장은 ADICH5 및 ADICH6의 이웃 홀들의 CD 및 배치에 의해 영향을 받는다. 본 예시에서, R 2 의 두 대응하는 고유값들이 거의 같기 때문에, 여하한의 방향으로의 신장이 동등하게 잘 설명된다. 하지만, R 2 = 0.34이기 때문에, AEI 신장의 약 2/3는 ADI 측정들에 의해 설명되지 않을 수 있다. 따라서, 상관관계(R 2 )의 값은 다른 인자들이 신장에 영향을 미치거나 ADI 또는 AEI 신장 측정들에 잡음이 발생하기 쉽다는 것을 나타낸다.25E and 25F show a fourth and fifth corresponding combinations of a first set of variables and a second set of variables describing stretching (in both directions) of ADI and AEI features, respectively, according to one embodiment. Eigenvectors are illustrated. Also, the weights (WT_ADICH5, WT_NCH5 and WT_AEI5) associated with the linear combination of variables are plotted in FIG. 25E . The weights (WT_ADICH6, WT_NCH6 and WT_AEI6) associated with another linear combination of variables are plotted in FIG. 25F . The elongation of the contact holes AEICH5 and AEICH6 is affected by the elongation of the contact holes ADICH5 and ADICH6, respectively. Additionally, the elongation is affected by the CD and placement of neighboring holes of ADICH5 and ADICH6. In this example, since the two corresponding eigenvalues of R 2 are approximately equal, stretching in any direction is equally well accounted for. However, since R 2 =0.34, about 2/3 of the AEI stretch may not be accounted for by ADI measurements. Thus, the value of the correlation R 2 indicates that other factors influence the height or that the ADI or AEI height measurements are prone to noise.

일 실시예에 따르면, 도 25d는 ADI 및 AEI 피처들의 삼각도를 각각 설명하는 변수들의 제 1 세트 및 변수들의 제 2 세트의 조합에 대응하는 제 6 고유벡터를 예시한다. 또한, 변수들의 선형 조합과 연계된 가중치들(WT_ADICH4, WT_NCH4 및 WT_AEI4)이 도 25d에 플롯 구성된다. 본 예시에서, ADI 피처들과 연계된 가중치들은 피처 ADICH4 주위의 이웃 홀들의 크기 및 변위이다. R 2 = 0.08이기 때문에, 이는 에칭 후 측정된 삼각도의 대부분이 ADI 윤곽들에 의해 설명되지 않을 수 있음을 나타낸다.25D illustrates a sixth eigenvector corresponding to a combination of a first set of variables and a second set of variables describing the triangulation of ADI and AEI features, respectively, according to one embodiment. Also, the weights (WT_ADICH4, WT_NCH4 and WT_AEI4) associated with the linear combination of variables are plotted in FIG. 25D . In this example, the weights associated with ADI features are the size and displacement of neighboring holes around feature ADICH4. Since R 2 =0.08, this indicates that most of the triangulation measured after etching may not be accounted for by the ADI contours.

일 실시예에서, 지정된 상관관계 임계치 이하인 다른 고유값들은, 예를 들어 R 2 ≤ 0.01이다. 지정된 임계치 이하인 상관관계 값들은 ADI 측정들이 AEI 측정들을 설명하지 않을 수 있으며 측정된 ADI의 대응하는 윤곽 속성들(예를 들어, 삼각측량)이 AEI 윤곽들의 예측과 관련이 없을 수 있음을 나타낼 수 있다.In one embodiment, other eigenvalues below the specified correlation threshold are, for example, R 2 ≤ 0.01. Correlation values below a specified threshold may indicate that ADI measurements may not account for AEI measurements and that the measured ADI's corresponding contour attributes (eg, triangulation) may not be relevant for prediction of AEI contours. .

절차 P2307은 상관관계(2310)에 기초하여, 지정된 상관관계 임계치 내의 상관관계 값들을 갖는 변수들의 제 1 세트(VADI1)의 1 이상의 서브-조합을 포함함으로써 모델(2320)을 트레이닝하는 단계를 포함하며, 모델(2320)은 입력 ADI 피처에 대한 AEI 피처를 결정하는 데 사용된다.Procedure P2307 includes training, based on correlation 2310, training model 2320 by including one or more sub-combinations of a first set of variables VADI1 having correlation values within a specified correlation threshold, , the model 2320 is used to determine the AEI features for the input ADI features.

본 명세서에 논의된 바와 같이, 변수들의 제 1 세트(VADI1)의 1 이상의 서브-조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델이다. 일 실시예에서, 변수들의 제 1 세트(VADI1)의 1 이상의 서브-조합은 변수들의 제 1 세트(VADI1)의 가중 합이며, 가중치들은 양의 값들 또는 음의 값들이다. 일 실시예에서, 변수들의 제 2 세트(VAEI1)의 1 이상의 서브-조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델이다. 일 실시예에서, 서브-조합은 수학적 '서브세트'와 동일하지 않을 수 있으며, 모든 윤곽 지점들에 걸친 평균일 수 있다.As discussed herein, the one or more sub-combinations of the first set of variables VADI1 are linear combinations, non-linear combinations, or machine learning models. In an embodiment, the one or more sub-combinations of the first set of variables VADI1 are a weighted sum of the first set of variables VADI1 , the weights being positive or negative values. In an embodiment, the one or more sub-combinations of the second set of variables VAEI1 are linear combinations, non-linear combinations, or machine learning models. In one embodiment, a sub-combination may not be equal to a mathematical 'subset', but may be an average over all contour points.

일 실시예에서, 1 이상의 서브-조합은 측정된 ADI 피처(2301)에 대해 수행되는 프로세스에 의해 야기되는 측정된 ADI 피처(2301)의 ADI 윤곽의 변형량을 특징짓는다. 일 실시예에서, 변형량은 ADI 윤곽의 주어진 위치와 AEI 윤곽의 대응하는 위치 간의 차이이다. 일 실시예에서, 변형량은 변수들의 제 1 세트(VADI1)의 선형 조합에 의해 특징지어진다.In one embodiment, the one or more sub-combinations characterizes an amount of deformation of the ADI contour of the measured ADI feature 2301 caused by a process performed on the measured ADI feature 2301 . In one embodiment, the amount of deformation is the difference between a given position of the ADI contour and a corresponding position of the AEI contour. In one embodiment, the amount of deformation is characterized by a linear combination of the first set of variables VADI1.

일 실시예에서, 모델(2320)을 결정하는 단계는 (a) 변수들의 제 1 세트(VADI1)의 서브-조합 및 서브-조합의 상관관계(2310)가 지정된 상관관계 임계치를 초과하는지 여부를 결정하는 단계; (b) 서브-조합이 초과함에 응답하여, 모델(2320)에 서브-조합을 포함하는 단계; 및 (c) 서브-조합이 초과하지 않음에 응답하여, 변수들의 제 1 세트(VADI1)의 또 다른 서브-조합을 선택하는 단계; 및 지정된 반복 횟수 동안 또는 서브-조합이 소진될 때까지 단계 (a) 내지 (c)를 반복하는 단계를 포함한다. 일 실시예에서, 지정된 상관관계 임계치는 0.01보다 크다. 예를 들어, 도 25a 내지 도 25f는 0.08 이상의 R 2 값들을 갖는 선택된 서브-조합들을 나타낸다.In one embodiment, determining the model 2320 comprises (a) determining whether a sub-combination of the first set of variables VADI1 and the correlation 2310 of the sub-combination exceed a specified correlation threshold. to do; (b) in response to the sub-combination being exceeded, including the sub-combination in the model 2320; and (c) in response to the sub-combination not being exceeded, selecting another sub-combination of the first set of variables (VADI1); and repeating steps (a) to (c) for a specified number of iterations or until the sub-combination is exhausted. In one embodiment, the specified correlation threshold is greater than 0.01. For example, FIGS. 25A-25F show selected sub-combinations with R 2 values of 0.08 or greater.

일 실시예에서, 1 이상의 서브-조합은: 측정된 ADI 피처(2301)의 지정된 방향으로의 병진; 측정된 ADI 피처(2301)의 임계 치수; 측정된 ADI 피처(2301)의 지정된 방향으로의 신장; 측정된 ADI 피처(2301)의 삼각도; 및 측정된 ADI 피처(2301)의 회전 중 1 이상을 특징짓는다. 서브-조합들 및 대응하는 전사 특성들의 예시들이 본 명세서에서 도 25a 내지 도 25f와 관련하여 논의된다.In one embodiment, the one or more sub-combinations include: translation of the measured ADI feature 2301 in a designated direction; the critical dimension of the measured ADI feature 2301; elongation in a designated direction of the measured ADI feature 2301; Triangulation of the measured ADI feature 2301; and rotation of the measured ADI feature 2301 . Examples of sub-combinations and corresponding transcription properties are discussed herein with respect to FIGS. 25A-25F .

앞선 방법[예를 들어, 방법(2300)]은 리소그래피 공정, 노광 후 공정, 메트롤로지 장치와 관련된 여러 적용예들, 및 피처들에 대한 1 이상의 처리로 인한 피처 변환들의 이미지 기반 결정을 수반하는 다른 적용예를 갖는다.The preceding method (eg, method 2300 ) involves image-based determination of feature transformations due to one or more processing on features, and various applications related to a lithographic process, a post-exposure process, a metrology apparatus, and It has other applications.

일 실시예에서, 상기 방법(2300)은 피처들의 배치 및 단거리 에칭 로딩 효과를 정량화하는 데 사용될 수 있다. 예를 들어, ADI에서의 관심 피처의 이웃 피처들과 연계된 변수들의 제 1 세트(VADI1)에 기초한 조합이 결정될 수 있다. 본 방법(2300)을 사용하여, CD에 대한 이웃 피처들(예를 들어, ADI에서의 관심 피처 주위의 피처들)의 배치 변동의 영향 및 AEI 피처의 배치가 통합될 수 있다. 일 실시예에서, 고유값 분석은 AEI 윤곽에 대한 다음 최근접 이웃들 및 그 너머의 효과를 정량화하는 데 사용된다. 예를 들어, ADI에서의 관심 피처로부터 180 nm 이내의 이웃 피처들로 인한 영향이 사용될 수 있다. 일 실시예에서, ADI CD를 특징짓는 조합 변수들, 각 피처의 변위, 및 피처의 신장이 단거리 에칭 로딩 효과를 정량화하는 데 사용될 수 있다.In one embodiment, the method 2300 may be used to quantify the placement of features and the effect of short-range etch loading. For example, a combination based on a first set of variables VADI1 associated with neighboring features of a feature of interest in ADI may be determined. Using the method 2300 , the effect of placement variations of neighboring features on CD (eg, features around a feature of interest in ADI) and placement of an AEI feature can be integrated. In one embodiment, eigenvalue analysis is used to quantify the effect of next nearest neighbors and beyond on the AEI contour. For example, the influence due to neighboring features within 180 nm of the feature of interest in ADI may be used. In one embodiment, the combinatorial parameters characterizing ADI CD, the displacement of each feature, and the elongation of the feature may be used to quantify the short-range etch loading effect.

도 27a는 ADI에서의 관심 피처 주위의 영향권의 반경 내에서 관심 피처(예를 들어, 패턴의 중심에 있는 접촉홀) 및 이웃 피처들(예를 들어, NH1, NH2, 및 NH3)에 의해 설명되는 AEI 배치의 R 2 의 분산의 분율을 예시한다. 예를 들어, 라인(2710)은 ADI에서 관심 피처(반경=0)로부터의 거리가 증가함에 따른 AEI의 x-배치와 연계된 상관관계(R 2 )를 나타내고, 점선(2720)은 ADI에서 관심 피처(반경=0)로부터의 거리가 증가함에 따른 y-배치와 연계된 상관관계(R 2 )를 나타낸다. 라인(2710)은 관심 피처(또는 이와 연계된 변수들의 제 1 세트)가 AEI 피처의 x-배치 변동의 약 62 % 및 AEI 피처의 y-배치 변동의 약 60 %를 설명함을 나타낸다.27A illustrates a feature of interest (eg, a contact hole at the center of a pattern) and neighboring features (eg, NH1, NH2, and NH3) within the radius of the sphere of influence around the feature of interest in ADI. The fraction of variance of R 2 of the AEI batch is illustrated. For example, line 2710 represents the correlation R 2 associated with the x-placement of AEI as distance from a feature of interest (radius=0) increases in ADI, and dashed line 2720 represents interest in ADI. Shows the correlation ( R 2 ) associated with the y-disposition with increasing distance from the feature (radius=0). Line 2710 indicates that the feature of interest (or the first set of variables associated therewith) accounts for about 62% of the x-placement variation of the AEI feature and about 60% of the y-location variation of the AEI feature.

또한, 라인(2710)은 제 1 이웃 피처(NH1)(또는 변수들의 제 1 세트의 서브-세트의 선형 조합)가 R 2 의 분산의 4.2 %(예를 들어, y-축 상의 지점들과 NH1 간의 차이)를 설명함을 나타낸다. 유사하게, 다음 이웃 피처(NH2)는 R 2 의 분산의 0.3 %를 설명한다. 따라서, 더 멀리 떨어진 피처들이 관심 피처 자체보다 더 적은 변동들을 설명한다. 유사하게, 라인(2720)은 ADI에서 관심 피처(반경=0) 및 더 멀리 떨어진 피처들(NH1, NH2 및 NH3)에 의해 설명되는 y-배치 변동의 분산의 분율을 나타낸다.Also, line 2710 indicates that the first neighboring feature NH1 (or a linear combination of a sub-set of the first set of variables) is 4.2% of the variance of R 2 (eg, points on the y-axis and NH1 ) difference between them). Similarly, the next neighboring feature (NH2) accounts for 0.3% of the variance of R2 . Thus, more distant features account for fewer variations than the feature of interest itself. Similarly, line 2720 represents the fraction of variance in the y-placement variation accounted for by the feature of interest (radius=0) and more distant features (NH1, NH2 and NH3) in ADI.

도 27b는 ADI에서의 관심 피처 주위의 영향권의 반경 내에서 관심 피처(예를 들어, 패턴의 중심에 있는 접촉홀) 및 이웃 피처들(예를 들어, NH1, NH2, 및 NH3)에 의해 설명되는 AEI CD의 R 2 의 분산의 분율을 설명한다.27B is illustrated by a feature of interest (eg, a contact hole in the center of a pattern) and neighboring features (eg, NH1, NH2, and NH3) within the radius of the sphere of influence around the feature of interest in ADI. Describe the fraction of variance of R 2 of AEI CD.

예를 들어, 라인(2730)은 ADI에서 관심 피처(반경=0)로부터의 거리가 증가함에 따른 모든 변수들(예를 들어, 변수들의 제 1 세트 모두)에 의해 설명되는 상관관계(R 2 )를 나타내고, 점선(2740)은 변수들의 CD 관련 세트와 연계된 상관관계(R 2 )를 나타낸다. 라인(2740)은 ADI에서 관심 피처(반경=0)로부터의 거리가 증가함에 따라 설명되는 상관관계(R 2 )의 양을 나타낸다. 라인(2730)은 관심 피처의 모든 변수들이 AEI 피처의 CD 변동의 약 23.5 %를 설명함을 나타낸다.For example, line 2730 is the correlation R 2 described by all variables (eg, all of the first set of variables) as the distance from the feature of interest (radius=0) increases in ADI. , and dashed line 2740 represents the correlation R 2 associated with the CD related set of variables. Line 2740 represents the amount of correlation R 2 that is accounted for with increasing distance from the feature of interest (radius=0) in ADI. Line 2730 indicates that all variables of the feature of interest account for about 23.5% of the CD variation of the AEI feature.

또한, 라인(2740)은 관심 피처의 CD와 연계된 변수들의 서브-세트가 변동의 약 23.5 %를 설명함을 나타낸다. 라인(2730)은 또한 제 1 이웃 피처들(NH1)(또는 변수들의 제 1 세트의 서브-세트의 선형 조합)가 R 2 의 분산의 약 27 %(예를 들어, y-축 상의 지점들과 NH1 간의 차이)를 설명함을 나타낸다. 본 예시에서, 이웃 피처들(NH1)은 중심 피처로부터 등거리인 6 개의 피처들을 포함한다(예를 들어, 도 25a 내지 도 25f 참조). 이웃 피처들 NH1에서 더 멀리 떨어진 이웃 피처(들) NH2는 약 0.5 %를 설명하고, 더 멀리 떨어진 이웃 피처 NH3은 또 다른 0.7 %를 설명한다. 유사하게, 라인(2740)은 ADI에서 관심 피처(반경=0) 및 더 멀리 떨어진 피처들(NH1, NH2 및 NH3)에 의해 설명되는 AEI 피처의 CD 변동의 분산의 분율을 나타낸다. 본 예시에서, AEI 피처에 영향을 미치는 정확한 영향 반경은 ADI에서의 패턴 밀도에 의존한다. 또한, AEI CD 변동의 11 %(라인 2730과 라인 2740 간의 차이)는 이웃 피처들과 연계된 배치 변동들로 인한 것임을 유의한다. 이러한 배치 변동은 설명되는 총 분산의 분율의 1/5이다.Line 2740 also indicates that the sub-set of variables associated with the CD of the feature of interest accounts for about 23.5% of the variance. Line 2730 also indicates that the first neighboring features NH1 (or a linear combination of a sub-set of the first set of variables) represent about 27% of the variance of R 2 (eg, points on the y-axis and difference between NH1). In this example, neighboring features NH1 include six features equidistant from the central feature (see, eg, FIGS. 25A-25F ). Neighbor feature(s) further away from neighbor features NH1 NH2 account for about 0.5%, and neighbor feature further away from neighbor feature NH3 accounts for another 0.7%. Similarly, line 2740 represents the fraction of variance in CD variation of the AEI feature explained by the feature of interest (radius=0) and more distant features (NH1, NH2 and NH3) in ADI. In this example, the exact radius of influence that affects the AEI feature depends on the pattern density in the ADI. Also note that 11% of the AEI CD variation (the difference between line 2730 and line 2740) is due to placement variations associated with neighboring features. This batch variation is 1/5 of the fraction of the total variance accounted for.

따라서, 도 27a 및 도 27b는 단거리 에칭 로딩의 예시적인 정량화를 나타낸다. 따라서, 예를 들어 ADI와 연계된 모든 변수들을 사용하는 본 방법을 채택하면, 단거리 에칭 로딩 정량화가 (예를 들어, 11 %만큼) 개선될 수 있다. 다시 말해서, 본 방법은 예를 들어 이웃 피처들 및 전사 특성들(예를 들어, 배치, CD, 병진, 삼각측량 등)에 의해, 기존 방법들보다 더 많은 변동들 및 이러한 변동의 원인들을 설명할 수 있다. 식별된 원인은, 예를 들어 현상 후 CD 변동들을 감소시키기 위해 더 사용될 수 있다. 다시 말해서, 본 방법은 CD 및 배치 변동들의 원인들 및 현상 후 전사 방식을 결정하는 데 도움이 될 수 있으며, ADI를 기반으로 에칭, 증착 또는 다른 노광 후 공정 이후의 변동이 무엇일지를 예측할 수 있다.Accordingly, FIGS. 27A and 27B show exemplary quantifications of short-range etch loading. Thus, for example, employing the present method using all parameters associated with ADI, short-range etch loading quantification can be improved (eg, by 11%). In other words, the present method will account for more variations and causes of these variations than existing methods, for example by neighboring features and transcription properties (eg placement, CD, translation, triangulation, etc.). can The identified cause can be further used, for example, to reduce CD fluctuations after development. In other words, the method can help determine the causes of CD and batch variations and post-development transfer scheme, and predict what variations will be after etching, deposition, or other post-exposure process based on ADI. .

일 실시예에서, 상기 방법(2300)의 또 다른 적용예는 공정 품질을 모니터링하는 것일 수 있다. 예를 들어, 상기 방법(2300)은 ADI 피처들의 변수들의 제 1 세트의 선택된 조합 및 포커스 및 노광 조건들에 대한 그 민감도에 기초하여 공정 품질을 모니터링하고; 및 지정된 범위에서 공정 품질을 유지하도록 1 이상의 공정 파라미터를 조정하는 절차를 더 포함한다. 일 실시예에서, 모니터링은 패턴의 팁과 관련된 관련 ADI 윤곽 속성들(예를 들어, 변수들의 제 1 세트의 변수들의 서브-조합)을 측정하는 단계; 및 측정된 민감도 및 상관관계에 기초하여, ADI 피처의 AEI 피처로의 팁-대-팁(tip-to-tip) 피처들의 전사를 개선하도록 1 이상의 공정 파라미터를 조정하는 단계를 포함한다.In one embodiment, another application of the method 2300 may be monitoring process quality. For example, the method 2300 monitors process quality based on a selected combination of a first set of variables of ADI features and its sensitivity to focus and exposure conditions; and adjusting one or more process parameters to maintain process quality within a specified range. In one embodiment, monitoring comprises measuring relevant ADI contour properties (eg, a sub-combination of variables of the first set of variables) associated with the tip of the pattern; and based on the measured sensitivity and correlation, adjusting one or more process parameters to improve the transfer of tip-to-tip features from the ADI feature to the AEI feature.

예를 들어, 팁-대-팁 구조체들에 대한 에칭의 거동은 실질적으로 레지스트의 피처의 형상에 의존하며, 이는 차례로 포커스에 민감하다. 추가적으로, SEM이 레지스트의 피처 형상을 측정하는 데 사용되는 경우, 레지스트 형상은 SEM에 의해 생성된 파형을 변화시킨다. 본 방법(2300)에 의해, SEM 파형의 어느 파라미터들이 팁-대-팁 전사 공정의 효율과 상관되는지가 직접 평가될 수 있다. 그 후, 이 팁-대-팁 피처들이 반도체 칩의 대량 제조(HVM)에서 면밀히 모니터링될 수 있다. 또한, 이 피처들은 에칭 공정의 (경험적) 시뮬레이터에서 사용될 수 있으며, 이에 따라 램프업 동안 공정 최적화에 사용될 수 있다.For example, the behavior of etching for tip-to-tip structures depends substantially on the shape of a feature in the resist, which in turn is sensitive to focus. Additionally, when SEM is used to measure the feature shape of a resist, the resist shape changes the waveform generated by the SEM. By the method 2300, which parameters of the SEM waveform correlate with the efficiency of the tip-to-tip transfer process can be directly evaluated. These tip-to-tip features can then be closely monitored in high-volume manufacturing (HVM) of semiconductor chips. In addition, these features can be used in a (empirical) simulator of the etching process and thus can be used to optimize the process during ramp-up.

일 실시예에서, SEM 측정 레시피들이 HVM 공정을 모니터링하기 위해 개량될 수 있다. 일 실시예에서, SEM 레시피는 현상 후 및 에칭 후에 팁-대-팁 구조체들을 측정하기 위한 SEM 툴 설정들을 포함한다. 일 실시예에서, SEM 측정 레시피는 팁-대-팁 구조체들을 검사하기 위해 ADI 및 AEI 측정들 모두에 대해 동일하게 유지된다. 또한, 팁-대-팁 구조체들을 특징짓는 변수들의 세트가 에칭 후 전사 특성을 결정하기 위해 상관될 수 있다. 예를 들어, 전사가 양호한지의 여부(예를 들어, 임계치 한계 내에 있는지) 및 얼마나 많이 변동하는지이다. 팁-대-팁 구조체는 예를 들어 홀들 또는 라인들과 같은 구조체들과 상이하게 거동할 수 있는데, 이는 팁-대-팁 구조체가 포커스에 민감하고 이들이 많은 3D 정보(예를 들어, 높이 전체에 걸친 CD 변동)를 갖기 때문이다. 따라서, 팁-대-팁 구조체들을 측정하기 위해 SEM 레시피들을 설정하는 것은 사소한 작업이 아니다.In one embodiment, SEM measurement recipes may be refined to monitor the HVM process. In one embodiment, the SEM recipe includes SEM tool settings for measuring tip-to-tip structures after development and after etching. In one embodiment, the SEM measurement recipe remains the same for both ADI and AEI measurements to inspect tip-to-tip structures. In addition, a set of variables that characterize tip-to-tip structures can be correlated to determine post-etch transfer properties. For example, whether the transcription is good (eg, within a threshold limit) and how much it fluctuates. A tip-to-tip structure may behave differently than structures such as, for example, holes or lines, which means that a tip-to-tip structure is focus-sensitive and contains a lot of 3D information (eg, over the entire height). CD variation across the Thus, setting up SEM recipes to measure tip-to-tip structures is not a trivial task.

일 실시예에 따르면, 본 명세서의 방법(2300)은 다음과 같이 적용될 수 있다. 팁-대-팁 구조체는 현상 후에 측정될 수 있고, 그 후 윤곽이 추출될 수 있다. 일 실시예에서, ADI 측정들은 상이한 SEM 세팅에서 수행될 수 있고, 각각의 SEM 임계치에서 윤곽 정보가 추출될 수 있다. 다음으로, AEI 측정들이 수행되어 ADI 윤곽들과 관련하여 대응하는 AEI 윤곽을 분석할 수 있다. 예를 들어, ADI 및 AEI 윤곽들의 변동들을 상관시켜 ADI 윤곽 정보에 기초하여 AEI 변동들을 가장 잘 설명하는 SEM 설정들을 결정한다. 이러한 것으로서, SEM 설정은 SEM 설정이 팁-대-팁 구조체를 측정하는 데 얼마나 우수한지에 관하여 정량화될 수 있으며, 차례로 SEM 툴을 사용하여 검사 프로세스의 속도를 높일 수 있다.According to an embodiment, the method 2300 of the present specification may be applied as follows. The tip-to-tip structure can be measured after development and the contour can then be extracted. In one embodiment, ADI measurements may be performed at different SEM settings, and contour information may be extracted at each SEM threshold. Next, AEI measurements may be performed to analyze the corresponding AEI contour in relation to the ADI contours. For example, correlating variations of ADI and AEI contours to determine SEM settings that best describe AEI variations based on ADI contour information. As such, the SEM setup can be quantified in terms of how good the SEM setup is for measuring tip-to-tip structures, which in turn can speed up the inspection process using the SEM tool.

일 실시예에서, 상관관계를 결정하는 절차(P2305)는 희소성 제약(sparsity constraint)에 기초한다. 희소성 제약은 변수들의 제 1 세트 또는 변수들의 제 2 세트, 또는 둘 모두에서 1 이상의 변수를 제외하는 것을 지칭한다.In one embodiment, the procedure for determining the correlation P2305 is based on a sparsity constraint. A sparsity constraint refers to excluding one or more variables from a first set of variables or a second set of variables, or both.

일 실시예에서, 여하한의 윤곽이 사용되고 변수들의 제 1 세트에 의해 특징지어질 수 있다. (예를 들어, 다수의 윤곽 지점들로 특징지어지는) 매우 상세한 윤곽에 대해, 윤곽 지점들의 변동 대부분은 예를 들어 SEM 산탄 잡음 또는 작은 레지스트 변동들에 의해 결정될 것이다. 상관관계를 최적화(예를 들어, R 2 를 최대화)함으로써, 변수들의 관련 선형 조합들(예를 들어, 모든 변수들의 가중 합들)만이 선택되고, 예를 들어 SEM 잡음과 관련된 조합들은 전달되지 않을 것이다.In one embodiment, any contour may be used and characterized by a first set of variables. For a very detailed contour (eg, characterized by multiple contour points), most of the variance of the contour points will be determined by, for example, SEM shot noise or small resist variations. By optimizing the correlation (eg, maximizing R 2 ), only relevant linear combinations of variables (eg, weighted sums of all variables) will be selected, and combinations involving eg SEM noise will not be propagated. .

추가적으로, 선택된 조합들은 다른 형상들(예를 들어, 비교적 더 높은 주파수들의 윤곽 변형)이 에칭 후에 전달되지 않고, 이에 따라 에칭 거동을 정량화하는 데 관련되지 않는다는 정보를 제공한다. 일 실시예에서, 희소성 제약은 최적화에서 희소성 제약을 설정함으로써 체계적인 방식으로 도입될 수 있다. 희소성 제약은 관련 없는 데이터 지점들의 가중치들을 0으로 자동 설정하는 수학식들의 세트일 수 있다. 희소성 제약들은 최적화에 정규화 항을 추가함[예를 들어, 가중치들 αβ의 L1-놈(norm)을 포함함]으로써 시행될 수 있다.Additionally, the selected combinations provide information that other shapes (eg, contour deformation at relatively higher frequencies) are not transferred after etching and thus are not relevant to quantifying the etching behavior. In one embodiment, the sparsity constraint may be introduced in a systematic manner by setting the sparsity constraint in the optimization. A sparsity constraint may be a set of equations that automatically sets the weights of irrelevant data points to zero. Sparsity constraints can be enforced by adding a regularization term to the optimization (eg including the L1-norm of the weights α and β ).

일 실시예에서, 상기 방법(2300)은 상관관계에 기초하여, 상관관계가 개선되게 하기 위해 메트롤로지 툴 설정들을 조정하는 단계를 더 포함할 수 있다. 메트롤로지 툴 설정들을 조정하는 일 예시는 팁-대-팁 구조체와 관련하여 앞서 논의된다. 하지만, 본 발명은 특정 구조체에 제한되지 않는다. SEM 설정은 접촉홀들, 라인들, 직사각형, 또는 기판 상에 프린트될 다른 관심 피처들과 같은 여하한의 다른 구조체들에 대해 결정될 수 있다. 일 실시예에서, 메트롤로지 툴 설정은: e-빔 세기, 입사각, 전압 콘트라스트, SEM 임계치, 픽셀 크기, 스캔 속도, 또는 프레임 수 중 적어도 하나를 포함한다.In one embodiment, the method 2300 may further include, based on the correlation, adjusting metrology tool settings to allow the correlation to improve. One example of adjusting metrology tool settings is discussed above with respect to a tip-to-tip structure. However, the present invention is not limited to a specific structure. The SEM setting may be determined for any other structures such as contact holes, lines, rectangles, or other features of interest to be printed on the substrate. In one embodiment, the metrology tool settings include at least one of: e-beam intensity, angle of incidence, voltage contrast, SEM threshold, pixel size, scan rate, or number of frames.

일 실시예에서, 상기 방법(2300)은 상관관계에 기초하여, 상관관계가 개선되게 하기 위해 윤곽 추출 알고리즘과 연계된 1 이상의 파라미터를 조정하는 단계를 더 포함할 수 있다.In one embodiment, the method 2300 may further comprise, based on the correlation, adjusting one or more parameters associated with the contour extraction algorithm to cause the correlation to improve.

일 실시예에서, 도 23c는 ADI와 AEI 사이의 상관관계에 기초하여 메트롤로지 레시피들을 최적화하는 방법(2370)의 흐름도를 나타낸다. 예를 들어, 최적화는 상관관계(R2)를 최대화하기 위해 메트롤로지 툴 또는 알고리즘 관련 설정들(예를 들어, 프레임 수, SEM 전압, 임계치들)을 섭동(perturb)시키는 것을 수반한다. 일 실시예에서, 최적화는 국부적 변동성을 가장 잘 측정하기 위해 메트롤로지 관련 파라미터들만을 섭동시킴으로써 수행된다. 또 다른 예시에서, 메트롤로지 레시피의 최적화는 공정 변동을 가장 잘 측정하기 위해 메트롤로지 관련 파라미터들뿐만 아니라, 패터닝 공정 관련 파라미터들도 섭동시키는 것을 수반한다. 예를 들어, 패터닝 공정 관련 파라미터들은 오버레이, 패턴의 평균 CD, 포커스, 도즈 등일 수 있다. 일 실시예에서, 절차 P2371은 공정 파라미터들을 섭동시키지 않고 ADI 및 AEI 데이터(2372)를 획득하는 단계를 포함한다. 일 실시예에서, 절차 P2371은 공정 변수들(예를 들어, 오버레이, CD, 도즈, 포커스)을 섭동시킴으로써 ADI 및 AEI 데이터(2374)를 획득하는 단계를 포함한다. 절차 P2373은 ADI 및 AEI 이미지들로부터 윤곽들을 (예를 들어, 윤곽 추출 알고리즘을 통해) 추출하는 단계를 포함한다. 절차 P2305는 방법(2300)에서 앞서 논의된 바와 같이 ADI와 AEI 사이의 상관관계(2310)를 결정하기 위해 수행될 수 있다. 절차 P2377은 상관관계(2310)가 개선(예를 들어, 최대화)되게 하기 위해 윤곽 추출 알고리즘의 파라미터들 또는 메트롤로지 레시피를 결정하는 단계를 포함한다.In one embodiment, FIG. 23C shows a flow diagram of a method 2370 of optimizing metrology recipes based on a correlation between ADI and AEI. For example, optimization involves perturbating a metrology tool or algorithm related settings (eg, number of frames, SEM voltage, thresholds) to maximize correlation R 2 . In one embodiment, the optimization is performed by perturbing only metrology-related parameters to best measure local variability. In another example, optimization of a metrology recipe involves perturbing the patterning process-related parameters as well as the metrology-related parameters to best measure the process variation. For example, the patterning process related parameters may be overlay, average CD of the pattern, focus, dose, and the like. In one embodiment, procedure P2371 includes obtaining ADI and AEI data 2372 without perturbing process parameters. In one embodiment, procedure P2371 includes acquiring ADI and AEI data 2374 by perturbing process variables (eg, overlay, CD, dose, focus). Procedure P2373 includes extracting contours (eg, via a contour extraction algorithm) from the ADI and AEI images. Procedure P2305 may be performed to determine correlation 2310 between ADI and AEI as discussed above in method 2300 . Procedure P2377 includes determining parameters of a contour extraction algorithm or a metrology recipe to allow correlation 2310 to be improved (eg, maximized).

일 실시예에서, SEM 레시피들 및 윤곽 추출 알고리즘들의 시스템적 최적화는 다음과 같이 수행될 수 있다. 예를 들어, 앞서 논의된 바와 같이 측정된 ADI와 AEI 사이의 상관관계를 결정하기 위해 방법(2300)의 절차들을 (예를 들어, 도 30의 컴퓨터 시스템을 통해) 수행한다. 또한, SEM 레시피 또는 윤곽 추출 알고리즘의 파라미터들을 섭동시켜, 섭동된 파라미터들이 ADI와 AEI 간의 상관관계(R 2 )를 개선하는지 여부를 결정한다. 섭동에 의해, 상관관계(R 2 )를 최대화하는 설정들이 얻어질 수 있다. 일 예시로서, SEM 임계치들이 변동될 수 있다. 예를 들어, 30 %, 50 % 및 70 %와 같은 SEM 임계치들이 ADI 및/또는 AEI 측정들에 사용될 수 있다. 일 예시에서, AEI 피처들을 측정하는 동안 다수 임계치들을 사용하는 것은 신장 및 삼각도 전사 특성에 대한 상관관계(R 2 )를 증가시킬 수 있다. ADI를 측정하는 데 다수 임계치들을 사용하는 것은 병진 및 CD 전사 특성에 대한 상관관계(R 2 )를 증가시킬 수 있다.In one embodiment, systematic optimization of SEM recipes and contour extraction algorithms may be performed as follows. For example, perform the procedures of method 2300 (eg, via the computer system of FIG. 30 ) to determine a correlation between measured ADI and AEI as discussed above. Also, perturbed the parameters of the SEM recipe or contour extraction algorithm to determine whether the perturbed parameters improve the correlation R 2 between ADI and AEI. By perturbation, settings that maximize the correlation R 2 can be obtained. As an example, SEM thresholds may be varied. For example, SEM thresholds such as 30%, 50% and 70% may be used for ADI and/or AEI measurements. In one example, using multiple thresholds while measuring AEI features can increase the correlation ( R 2 ) for stretch and triangular transcription properties. Using multiple thresholds to measure ADI may increase the correlation ( R 2 ) for translational and CD transcriptional properties.

또한, SEM 이미지들로부터 윤곽을 추출하는 것과 관련된 윤곽형성 알고리즘의 파라미터들이 변동될 수 있다. 추가적으로, 변수들의 제 1 세트의 다수 변수들(예를 들어, 상이한 방위들에서 측정된 접촉홀의 반경)이 상관관계(R 2 )를 최대화하는 것으로 결정되도록 변동될 수도 있다. 변수들의 수는 8 또는 32일 수 있다. 변수들의 수를 감소시키는 것은 상관관계(R 2 )를 크게 감소시키는 것으로 결정될 수 있으며, 따라서 8 개의 스포크는 윤곽을 설명하기에 너무 적을 수 있다. 반면에, 변수들의 수를 32로 증가시키는 것은 상관관계(R 2 )를 약간만 증가시킬 수 있다. 이러한 것으로서, 16 개의 변수들이 주어진 접촉홀 크기에 대한 윤곽을 설명하는 데 충분할 수 있는 것으로 결론지을 수 있다.Also, parameters of the contouring algorithm related to extracting contours from SEM images may be varied. Additionally, multiple variables of the first set of variables (eg, the radius of the contact hole measured at different orientations) may be varied such that it is determined to maximize the correlation R 2 . The number of variables may be 8 or 32. Reducing the number of variables may be determined to significantly reduce the correlation R 2 , so 8 spokes may be too few to describe the contour. On the other hand, increasing the number of variables to 32 can only slightly increase the correlation R 2 . As such, it can be concluded that 16 variables may be sufficient to describe the contour for a given contact hole size.

일 실시예에서, 공정 변동들의 범위가 수행될 수 있다. 예를 들어, 오버레이 값들의 범위가 마스크 패턴을 수정함으로써 프로그램될 수 있다. 예를 들어, 도 23d 및 도 23e에 나타낸 바와 같이, 마스크 패턴들(2390 및 2395)은 접촉홀들의 어레이를 포함한다. 오버레이는 접촉홀을 시프트함으로써 프로그램될 수 있거나, 평균 CD가 접촉홀의 크기를 증가시킴으로써 섭동될 수 있다. 예를 들어, 마스크 패턴(2390)에서, 접촉홀(2391)은 원하는 위치(점선)에서 우측으로 시프트된다. 마스크 패턴(2395)에서, 접촉홀(2396)은 원하는 크기(점선)에 대해 크기가 증가된다. 마스크 패턴(2390 또는 2395)은 물리적 마스크를 제조하고 기판을 이미징하는 데 사용될 수 있다. 이러한 것으로서, 예를 들어, (홀 2391에 대응하는) 시프트된 접촉홀이 기판 상에 이미징된다. 메트롤로지 툴(예를 들어, SEM)을 사용하여, 이러한 이미징된 기판의 ADI 이미지를 캡처한다. 또한, 이미징된 기판을 에칭하고 에칭된 기판의 AEI를 캡처한다. 상이한 오버레이 조건들(예를 들어, -10 nm 내지 10 nm 범위 내의 오버레이)에 대해 인근 위치들에서 이미지 AEI를 사용하여 오버레이를 측정한다. 그 후, ADI와 AEI 모두에서 각 오버레이 조건에 대해 평균 윤곽 또는 단위 셀이 결정될 수 있다. 상이한 오버레이 조건들에 기초한 ADI 및 AEI 데이터는 데이터(2374)의 일 예시이다. 일단 데이터(2374)가 획득되면, 도 23c의 방법(2370)의 추가 절차들이 수행되어, 예를 들어 ADI와 AEI 간의 상관관계를 최대화한 메트롤로지 레시피(예를 들어, SEM 설정 또는 윤곽 추출 알고리즘들의 파라미터들)에 대한 최적 파라미터들을 결정할 수 있다. 본 명세서에 논의된 바와 같이, 메트롤로지 레시피의 파라미터들은 예를 들어 e-빔 세기, 입사각, 전압 콘트라스트, SEM 임계치, 픽셀 크기, 스캔 속도, 프레임 수, 또는 이들의 조합일 수 있다. 일 실시예에서, 윤곽 추출 알고리즘들과 연계된 1 이상의 파라미터가 수정될 수 있다.In one embodiment, a range of process variations may be implemented. For example, a range of overlay values can be programmed by modifying the mask pattern. For example, as shown in FIGS. 23D and 23E , the mask patterns 2390 and 2395 include an array of contact holes. The overlay can be programmed by shifting the contact hole, or the average CD can be perturbed by increasing the size of the contact hole. For example, in the mask pattern 2390 , the contact hole 2391 is shifted to the right at a desired position (dashed line). In the mask pattern 2395, the contact hole 2396 is increased in size with respect to the desired size (dashed line). The mask pattern 2390 or 2395 may be used to fabricate a physical mask and to image a substrate. As such, for example, a shifted contact hole (corresponding to hole 2391) is imaged on the substrate. Using a metrology tool (eg, SEM), capture an ADI image of this imaged substrate. It also etches the imaged substrate and captures the AEI of the etched substrate. Overlay is measured using image AEI at nearby locations for different overlay conditions (eg, overlay in the range of -10 nm to 10 nm). Then, an average contour or unit cell may be determined for each overlay condition in both ADI and AEI. ADI and AEI data based on different overlay conditions is an example of data 2374 . Once data 2374 is obtained, further procedures of method 2370 of FIG. 23C are performed, for example, a metrology recipe that maximizes the correlation between ADI and AEI (e.g., an SEM setup or contour extraction algorithm) parameters) can be determined. As discussed herein, parameters of a metrology recipe may be, for example, e-beam intensity, angle of incidence, voltage contrast, SEM threshold, pixel size, scan rate, number of frames, or a combination thereof. In one embodiment, one or more parameters associated with contour extraction algorithms may be modified.

일 실시예에서, 본 명세서에 논의된 바와 같이, ADI 및 AEI를 캡처하기 위한 반복된 SEM 측정들이 SEM 위치에서 수행된다. 데이터(2374)를 얻기 위해 테스트 기판들이 사용되는 또 다른 실시예에서, ADI 및 AEI는 동일한 위치에서 수행되지 않을 수 있다. 따라서, 본 발명에서, 메트롤로지 레시피 최적화는 국부적 변동성을 측정하기 위해 적용가능할 뿐만 아니라, 오버레이와 같은 공정 관련 변동들을 의미한다.In one embodiment, as discussed herein, repeated SEM measurements to capture the ADI and AEI are performed at the SEM location. In another embodiment where test boards are used to obtain data 2374 , ADI and AEI may not be performed in the same location. Thus, in the present invention, metrology recipe optimization is not only applicable to measure local variability, but also refers to process related variations such as overlay.

일 실시예에서, 상기 방법(2300)은 상관관계를 사용한 패터닝 공정 및 에칭 공정의 시뮬레이션을 통해, 패터닝 공정의 수율이 지정된 수율 임계치보다 크게 하도록 레지스트 공정 또는 에칭 공정과 연계된 파라미터들을 조정하는 단계를 더 포함한다.In one embodiment, the method 2300 includes adjusting parameters associated with a resist process or etching process such that the patterning process yield is greater than a specified yield threshold through simulation of the patterning process and the etch process using correlation. include more

일 실시예에서, 상기 방법(2300)은 상관관계를 사용한 패터닝 공정의 시뮬레이션을 통해, 리소그래피 장치의 성능 메트릭이 지정된 성능 임계치 내에 있게 하도록 리소그래피 공정과 관련된 파라미터들을 조정하는 단계를 더 포함한다. 일 실시예에서, 패터닝 공정의 파라미터는 리소그래피 장치를 통해 설정되는 도즈 또는 포커스 조건들을 포함한다.In one embodiment, the method 2300 further comprises adjusting parameters related to the lithographic process such that, through simulation of the patterning process using correlation, a performance metric of the lithographic apparatus is within a specified performance threshold. In an embodiment, the parameters of the patterning process include dose or focus conditions set via the lithographic apparatus.

일 실시예에서, 상기 방법(2300)은 현상 후 이미지(ADI)에 기초하여 에칭 후 이미지(AEI)를 결정하도록 구성되는 모델을 트레이닝하기 위해 수정될 수 있다. 예를 들어, ADI 및 AEI의 픽셀들의 그레이 스케일 값들에 기초하여 상관관계를 결정한다. 일 실시예에서, 상기 방법은 (ⅰ) 이미징된 기판의 ADI, 및 (ⅱ) 이미징된 기판을 에칭한 후의 에칭 후 이미지(AEI)를 얻는 단계; ADI의 변수들의 제 1 세트의 조합과 AEI의 변수들의 제 2 세트의 조합 사이의 상관관계를 결정하는 단계 -변수들의 제 1 세트 및 제 2 세트는 각각 ADI 및 AEI의 그레이 스케일 값들임- ; 및 상관관계에 기초하여, 지정된 상관관계 임계치 내의 상관관계 값들을 갖는 변수들의 제 1 세트의 1 이상의 서브-조합을 포함함으로써 모델을 트레이닝하는 단계 -모델은 입력 ADI에 대한 AEI를 결정하는 데 사용됨- 를 포함한다. 따라서, 절차들 P2305 및 P2307은 변수들의 제 1 세트를 전체 ADI에서의 픽셀들의 그레이 스케일 값들로 간주하고, 변수들의 제 2 세트를 전체 AEI에서의 픽셀들의 그레이 스케일 값들로 간주하도록 수정될 수 있다.In one embodiment, the method 2300 may be modified to train a model configured to determine a post-etch image (AEI) based on the post-development image (ADI). For example, the correlation is determined based on gray scale values of pixels of ADI and AEI. In one embodiment, the method comprises: obtaining (i) an ADI of the imaged substrate, and (ii) a post-etch image (AEI) after etching the imaged substrate; determining a correlation between the combination of the first set of variables of the ADI and the second set of variables of the AEI, the first and second sets of variables being gray scale values of the ADI and the AEI, respectively; and based on the correlation, training the model by including one or more sub-combinations of the first set of variables having correlation values within a specified correlation threshold, wherein the model is used to determine an AEI for the input ADI. includes Accordingly, procedures P2305 and P2307 may be modified to regard the first set of variables as gray scale values of pixels in the full ADI and the second set of variables as gray scale values of pixels in the full AEI.

일 실시예에서, ADI와 AEI 사이의 상관관계에 기초하여 메트롤로지 툴 설정을 조정하도록 구성되는 메트롤로지 툴(예를 들어, 도 28)이 제공된다. 일 실시예에서, 메트롤로지 툴은 기판을 이미징한 후 ADI 피처 및 기판을 에칭한 후 AEI 피처를 측정하도록 구성되는 빔 발생기; 및 e-빔을 통해 측정된 ADI와 AEI 간의 상관관계에 기초하여 설정을 결정하도록 구성되는 프로세서[예를 들어, 프로세서(100)]를 포함한다.In one embodiment, a metrology tool (eg, FIG. 28 ) is provided that is configured to adjust metrology tool settings based on a correlation between ADI and AEI. In one embodiment, the metrology tool comprises: a beam generator configured to measure ADI features after imaging the substrate and AEI features after etching the substrate; and a processor (eg, processor 100 ) configured to determine a setting based on a correlation between the ADI and the AEI measured via the e-beam.

일 실시예에서, 프로세서는 측정된 ADI 피처와, 에칭 공정을 거친 기판 상에 프린트된 측정된 ADI 피처에 대응하는 측정된 AEI 피처 사이의 상관관계를 얻고 -상관관계는 측정된 ADI 피처가 AEI 피처로 변환되는 방식을 특징짓는 변수들의 조합에 기초함- ; 상관관계에 기초하여, 상관관계가 개선되게 하도록 메트롤로지 툴의 설정들을 조정하도록 구성될 수 있다. 설정들은 각각의 설정에 대한 상관관계의 미분에 기초하여 결정될 수 있으며, 미분은 메트롤로지 툴의 설정 당 상관관계의 개선을 나타낸다.In one embodiment, the processor obtains a correlation between the measured ADI feature and the measured AEI feature corresponding to the measured ADI feature printed on the etched substrate - the correlation is that the measured ADI feature is an AEI feature based on a combination of variables that characterize the way it is transformed into - ; Based on the correlation, it may be configured to adjust settings of the metrology tool such that the correlation is improved. The settings may be determined based on a derivative of the correlation for each setting, wherein the derivative represents an improvement in the correlation per setting of the metrology tool.

일 실시예에서, 메트롤로지 툴은 스캐닝 전자 현미경(SEM)이다. 일 실시예에서, 메트롤로지 툴의 설정들은: e-빔 세기, 입사각, 전압 콘트라스트, SEM 임계치, 픽셀 크기, 스캔 속도, 또는 프레임 수 중 적어도 하나의 값들을 포함한다. 일 실시예에서, 빔 발생기는 전자 빔 발생기이다.In one embodiment, the metrology tool is a scanning electron microscope (SEM). In an embodiment, the settings of the metrology tool include values of at least one of: e-beam intensity, angle of incidence, voltage contrast, SEM threshold, pixel size, scan rate, or number of frames. In one embodiment, the beam generator is an electron beam generator.

도 23b는 트레이닝된 기계 학습 모델[2210(도 22) 또는 2320(도 23a)]을 사용하여 현상 후 이미지(ADI)에 기초하여 에칭 후 이미지(AEI)를 결정하는 방법(2350)의 흐름도이다. 상기 방법은 아래에서 상세히 논의되는 다음 절차들 P2352 및 P2354를 포함한다.23B is a flow diagram of a method 2350 of determining a post-etch image (AEI) based on a post-development image (ADI) using a trained machine learning model 2210 ( FIG. 22 ) or 2320 ( FIG. 23A ). The method includes the following procedures P2352 and P2354 discussed in detail below.

절차 P2352는 기판의 ADI를 얻는 단계를 포함한다. 예를 들어, ADI는 본 명세서에 논의된 바와 같이 SEM과 같은 메트롤로지 툴을 통해 얻어질 수 있다. 절차 P2354는 트레이닝된 모델(2210 또는 2320)을 통해, 트레이닝된 모델에 ADI를 입력하고 ADI를 출력함으로써 AEI를 결정하는 단계를 포함한다. 일 실시예에서, 예를 들어 도 22 및 도 23a에 논의된 바와 같이, 트레이닝된 모델은 측정된 ADI의 변수들의 제 1 세트의 조합과 측정된 AEI의 변수들의 제 2 세트의 조합 사이의 상관관계에 기초하여 트레이닝함으로써 얻어진다. 상관관계는 지정된 상관관계 임계치 내에 있다.Procedure P2352 includes obtaining the ADI of the substrate. For example, ADI may be obtained through a metrology tool such as SEM as discussed herein. Procedure P2354 includes, via the trained model 2210 or 2320, determining the AEI by inputting the ADI to the trained model and outputting the ADI. In one embodiment, for example, as discussed in FIGS. 22 and 23A , the trained model provides a correlation between a combination of a first set of variables of a measured ADI and a combination of a second set of variables of a measured AEI. It is obtained by training based on The correlation is within the specified correlation threshold.

일 실시예에서, 앞서 논의된 바와 같이, 상관관계는 (ⅰ) 변수들의 제 1 세트의 조합과 연계된 파라미터들의 제 1 세트, 및 (ⅱ) 변수들의 제 2 세트의 조합과 연계된 파라미터들의 제 2 세트의 주어진 값들을 사용하여 상관관계를 연산하고; 상관관계가 최대화되는지 여부를 결정하며; 상관관계가 최대화되지 않음에 응답하여, 상관관계가 최대화될 때까지 파라미터들의 제 1 세트 및 파라미터들의 제 2 세트의 주어진 값들을 조정함으로써 결정된다.In one embodiment, as discussed above, the correlation is (i) a first set of parameters associated with a combination of a first set of variables, and (ii) a second set of parameters associated with a combination of a second set of variables. compute a correlation using the two sets of given values; determine whether the correlation is maximized; In response to the correlation not being maximized, the determination is made by adjusting the given values of the first set of parameters and the second set of parameters until the correlation is maximized.

일 실시예에서, 트레이닝된 모델은: (a) 변수들의 제 1 및 제 2 세트의 서브-조합들 및 서브-조합의 상관관계가 지정된 상관관계 임계치를 초과하는지 여부를 결정하고; (b) 서브-조합들이 초과함에 응답하여, 모델에 서브-조합을 포함하며; (c) 서브-조합들이 초과하지 않음에 응답하여, 변수들의 제 1 세트의 또 다른 서브-조합을 선택하고; 지정된 반복 횟수 동안 또는 서브-조합이 소진될 때까지 단계 (a) 내지 (c)를 반복함으로써 얻어진다.In one embodiment, the trained model: (a) determines whether a correlation of sub-combinations and sub-combinations of first and second sets of variables exceeds a specified correlation threshold; (b) in response to the sub-combinations being exceeded, including the sub-combination in the model; (c) in response to the sub-combinations not being exceeded, select another sub-combination of the first set of variables; obtained by repeating steps (a) to (c) for a specified number of iterations or until the sub-combination is exhausted.

일 실시예에서, 앞서 논의된 바와 같이, 변수들의 제 1 세트의 조합 또는 1 이상의 서브-조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델이다. 일 실시예에서, 변수들의 제 1 세트의 조합은 변수들의 제 1 세트의 가중 합이며, 여기서 가중치들은 양의 값들 또는 음의 값들이다. 일 실시예에서, 변수들의 제 2 세트의 조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델이다. 일 실시예에서, ADI는 ADI 피처를 포함하고, AEI는 ADI 피처에 대응하는 AEI 피처를 포함하며, AEI 피처는 트레이닝된 모델을 통해 결정된다.In one embodiment, as discussed above, the combination or one or more sub-combinations of the first set of variables is a linear combination, a non-linear combination, or a machine learning model. In one embodiment, the combination of the first set of variables is a weighted sum of the first set of variables, wherein the weights are positive or negative values. In one embodiment, the combination of the second set of variables is a linear combination, a non-linear combination, or a machine learning model. In one embodiment, the ADI comprises an ADI feature, the AEI comprises an AEI feature corresponding to the ADI feature, and the AEI feature is determined via a trained model.

일 실시예에서, 트레이닝된 모델은: 지정된 방향으로의 ADI의 피처의 병진; ADI의 피처의 임계 치수; 지정된 방향으로의 ADI의 피처의 신장; ADI의 피처의 삼각도; 또는 ADI의 피처의 회전 중 1 이상을 결정한다.In one embodiment, the trained model includes: translation of features in ADI in a designated direction; critical dimensions of features in ADI; elongation of a feature in the ADI in a specified direction; Triangulation of features in ADI; or determine one or more of the rotations of the features in the ADI.

일 실시예에서, 프로세서는 상관관계에 기초하여, 상관관계가 개선되게 하도록 윤곽 추출 알고리즘과 연계된 1 이상의 파라미터를 조정하도록 구성되는 프로세서에 저장된 명령어들을 더 포함할 수 있다. 예를 들어, 앞서 논의된 바와 같이, 16 개의 변수들이 앞서 논의된 바와 같은 주어진 접촉홀과 관련된 개선된 상관관계를 얻기에 충분할 수 있다.In one embodiment, the processor may further comprise instructions stored in the processor configured to adjust, based on the correlation, one or more parameters associated with the contour extraction algorithm to cause the correlation to be improved. For example, as discussed above, 16 variables may be sufficient to obtain an improved correlation associated with a given contact hole as discussed above.

일 실시예에서, 변수들의 1 이상의 조합은: 측정된 ADI 피처의 지정된 방향으로의 병진; 측정된 ADI 피처의 임계 치수; 측정된 ADI 피처의 지정된 방향으로의 신장; 측정된 ADI 피처의 삼각도; 및 측정된 ADI 피처의 회전 중 1 이상을 특징짓는다.In one embodiment, one or more combinations of variables include: translation of the measured ADI feature in a designated direction; the critical dimension of the measured ADI feature; elongation in a specified direction of the measured ADI feature; Triangulation of measured ADI features; and rotation of the measured ADI feature.

일 실시예에서, 1 이상의 프로세서에 의해 실행될 때, 앞서 논의된 방법 2200, 2300, 또는 2400의 절차들을 포함하는 작업들을 야기하는 명령어들을 포함하는 비-일시적 컴퓨터 판독가능한 매체가 제공된다. 일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체는 메트롤로지 툴, 컴퓨터 하드웨어 시스템, 리소그래피 장치, 또는 패터닝 공정과 관련된 다른 시스템들에서 구현될 수 있다. 이러한 비-일시적 컴퓨터 판독가능한 매체는 패터닝 공정, 메트롤로지 결과들 및 패터닝 공정의 전체 수율을 개선한다.In one embodiment, a non-transitory computer readable medium is provided comprising instructions that, when executed by one or more processors, cause tasks including the procedures of methods 2200, 2300, or 2400 discussed above. In one embodiment, the non-transitory computer readable medium may be embodied in a metrology tool, computer hardware system, lithographic apparatus, or other systems associated with a patterning process. Such a non-transitory computer readable medium improves the patterning process, metrology results and overall yield of the patterning process.

일 실시예에서, 앞서 논의된 방법들(예를 들어, 방법 400, 900, 1700, 2200 또는 2300)은 프로세서[예를 들어, 컴퓨터 시스템(100)의 104]를 통해 구현될 수 있다. 일 실시예에서, 컴퓨터 프로그램 제품은 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함하며, 명령어들은 컴퓨터에 의해 실행될 때 본 명세서에 논의된 방법의 절차들을 구현한다.In one embodiment, the methods discussed above (eg, method 400 , 900 , 1700 , 2200 or 2300 ) may be implemented via a processor (eg, 104 of computer system 100 ). In one embodiment, a computer program product comprises a non-transitory computer readable medium having instructions recorded thereon, which when executed by a computer implement the procedures of the methods discussed herein.

일부 실시예들에서, 검사 장치는 기판 상에 노광되거나 전사되는 구조체(예를 들어, 디바이스의 구조체의 일부 또는 전체)의 이미지를 산출하는 스캐닝 전자 현미경(SEM)일 수 있다. 도 28은 SEM 툴의 일 실시예를 도시한다. 전자 소스(ESO)로부터 방출되는 일차 전자 빔(EBP)이 집광 렌즈(CL)에 의해 수렴된 후, 빔 디플렉터(EBD1), E x B 디플렉터(EBD2), 및 대물 렌즈(OL)를 통과하여 포커스에서 기판 테이블(ST) 상의 기판(PSub)을 조사한다.In some embodiments, the inspection apparatus may be a scanning electron microscope (SEM) that yields an image of a structure (eg, some or all of the structure of a device) that is exposed or transferred onto a substrate. 28 shows one embodiment of an SEM tool. After the primary electron beam EBP emitted from the electron source ESO is converged by the condensing lens CL, it passes through the beam deflector EBD1, the E x B deflector EBD2, and the objective lens OL to focus to irradiate the substrate PSub on the substrate table ST.

기판(PSub)이 전자 빔(EBP)으로 조사될 때, 기판(PSub)으로부터 이차 전자들이 생성된다. 이차 전자들은 E x B 디플렉터(EBD2)에 의해 편향되고 이차 전자 검출기(SED)에 의해 검출된다. 예를 들어, X 또는 Y 방향 중 다른 방향에서의 기판 테이블(ST)에 의한 기판(PSub)의 연속적인 이동과 함께, X 또는 Y 방향에서의 빔 디플렉터(EBD1)에 의한 전자 빔(EBP)의 반복적인 스캐닝 또는 빔 디플렉터(EBD1)에 의한 전자 빔의 2 차원 스캐닝과 동기화하여 샘플로부터 생성되는 전자들을 검출함으로써 2-차원 전자 빔 이미지가 얻어질 수 있다.When the substrate PSub is irradiated with the electron beam EBP, secondary electrons are generated from the substrate PSub. The secondary electrons are deflected by the E x B deflector (EBD2) and detected by the secondary electron detector (SED). For example, with continuous movement of the substrate PSub by the substrate table ST in the other of the X or Y directions, the electron beam EBP by the beam deflector EBD1 in the X or Y direction A two-dimensional electron beam image can be obtained by detecting electrons generated from the sample in synchronization with repeated scanning or two-dimensional scanning of the electron beam by the beam deflector EBD1.

이차 전자 검출기(SED)에 의해 검출되는 신호는 아날로그/디지털(A/D) 변환기(ADC)에 의해 디지털 신호로 변환되고, 디지털 신호는 이미지 처리 시스템(IPU)으로 전송된다. 일 실시예에서, 이미지 처리 시스템(IPU)은 처리 유닛(PU)에 의한 처리를 위해 디지털 이미지들의 전부 또는 일부를 저장하는 메모리(MEM)를 가질 수 있다. 처리 유닛(PU)(예를 들어, 특별히 디자인된 하드웨어 또는 하드웨어 및 소프트웨어의 조합)은 디지털 이미지들을 디지털 이미지들을 나타내는 데이터세트들로 변환하거나 처리하도록 구성된다. 또한, 이미지 처리 시스템(IPU)은 참조 데이터베이스에 디지털 이미지들 및 대응하는 데이터세트들을 저장하도록 구성되는 저장 매체(STOR)를 가질 수 있다. 디스플레이 디바이스(DIS)가 이미지 처리 시스템(IPU)과 연결되어, 운영자가 그래픽 사용자 인터페이스의 도움으로 장비의 필요한 작동을 수행할 수 있도록 할 수 있다.A signal detected by a secondary electron detector (SED) is converted into a digital signal by an analog-to-digital (A/D) converter (ADC), and the digital signal is transmitted to an image processing system (IPU). In one embodiment, the image processing system (IPU) may have a memory (MEM) that stores all or part of the digital images for processing by the processing unit (PU). A processing unit (PU) (eg, specially designed hardware or a combination of hardware and software) is configured to transform or process the digital images into datasets representing the digital images. Additionally, the image processing system IPU may have a storage medium STOR configured to store digital images and corresponding datasets in a reference database. A display device DIS may be connected with an image processing system IPU, allowing an operator to perform necessary operations of the equipment with the aid of a graphical user interface.

앞서 명시된 바와 같이, SEM 이미지들은 이미지에서 디바이스 구조체들을 나타내는 대상물들의 에지들을 설명하는 윤곽들을 추출하도록 처리될 수 있다. 그 후, 이 윤곽들은 CD와 같은 메트릭을 통해 정량화된다. 따라서, 통상적으로 디바이스 구조체들의 이미지들은 에지간 거리(CD) 또는 이미지들 간의 간단한 픽셀 차이들과 같은 단순한 메트릭을 통해 비교되고 정량화된다. CD를 측정하기 위해 이미지에서 대상물들의 에지들을 검출하는 통상적인 윤곽 모델(contour model)들은 이미지 기울기들을 사용한다. 실제로, 이러한 모델들은 강한 이미지 기울기들에 의존한다. 하지만, 실제로 이미지는 통상적으로 잡음이 많고 불연속 경계들을 갖는다. 평활화, 적응 임계화(adaptive thresholding), 에지-검출, 침식(erosion) 및 팽창(dilation)과 같은 기술들이 사용되어, 잡음이 많고 불연속적인 이미지들을 해결하도록 이미지 기울기 윤곽 모델들의 결과들을 처리할 수 있지만, 궁극적으로는 고분해능 이미지의 저분해능 정량화를 유도할 것이다. 따라서, 대부분의 경우, 잡음을 감소시키고 에지 검출을 자동화하는 디바이스 구조체들의 이미지들의 수학적 조작이 이미지의 분해능 손실을 유도하여, 정보의 손실을 유도한다. 결과적으로, 결과는 복잡한 고분해능 구조체의 단순한 표현에 해당하는 저분해능 정량화이다.As noted above, SEM images can be processed to extract contours that describe edges of objects representing device structures in the image. Then, these contours are quantified via a CD-like metric. Thus, images of device structures are typically compared and quantified via a simple metric such as edge-to-edge distance (CD) or simple pixel differences between images. Conventional contour models that detect edges of objects in an image to measure CD use image gradients. Indeed, these models rely on strong image gradients. In practice, however, images are typically noisy and have discrete boundaries. Techniques such as smoothing, adaptive thresholding, edge-detection, erosion and dilation can be used to process the results of image gradient contour models to resolve noisy and discontinuous images, but , which will ultimately lead to low-resolution quantification of high-resolution images. Thus, in most cases, mathematical manipulation of images of device structures that reduce noise and automate edge detection leads to loss of resolution of the image, leading to loss of information. Consequently, the result is a low-resolution quantification that corresponds to a simple representation of a complex high-resolution construct.

따라서, 예를 들어 구조체들이 잠재적 레지스트 이미지에 있든, 현상된 레지스트 이미지에 있든, 또는 예를 들어 에칭에 의해 기판 상의 층으로 전사되었든, 분해능을 보존하고 구조체들의 일반적인 형상을 설명할 수 있는 패터닝 공정을 사용하여 생성되거나 생성될 것으로 예상되는 구조체들[예를 들어, 회로 피처들, 정렬 마크 또는 메트롤로지 타겟부들(예를 들어, 격자 피처들) 등]의 수학적 표현을 갖는 것이 바람직하다. 리소그래피 또는 다른 패터닝 공정들의 맥락에서, 구조체는 제조되고 있는 디바이스 또는 그 일부일 수 있고, 이미지들은 구조체의 SEM 이미지들일 수 있다. 일부 경우에, 구조체는 반도체 디바이스, 예를 들어 집적 회로의 피처일 수 있다. 이 경우, 구조체는 반도체 디바이스의 복수의 피처들을 포함하는 패턴 또는 원하는 패턴이라고 칭해질 수 있다. 일부 경우에, 구조체는 대상물(예를 들어, 기판)의 또 다른 대상물(예를 들어, 패터닝 디바이스)과의 정렬을 결정하기 위해 정렬 측정 프로세스에서 사용되는 정렬 마크 또는 그 일부(예를 들어, 정렬 마크의 격자), 또는 패터닝 공정의 파라미터(예를 들어, 오버레이, 포커스, 도즈 등)를 측정하는 데 사용되는 메트롤로지 타겟 또는 그 일부(예를 들어, 메트롤로지 타겟의 격자)일 수 있다. 일 실시예에서, 메트롤로지 타겟은 예를 들어 오버레이를 측정하는 데 사용되는 회절 격자이다.Thus, for example, whether the structures are in a latent resist image, in a developed resist image, or transferred to a layer on a substrate, for example by etching, a patterning process that can preserve resolution and account for the general shape of the structures is thus developed. It is desirable to have a mathematical representation of the structures created using or expected to be created using (eg, circuit features, alignment marks or metrology target portions (eg, grating features), etc.). In the context of lithography or other patterning processes, a structure may be a device or part thereof being fabricated, and the images may be SEM images of the structure. In some cases, the structure may be a feature of a semiconductor device, eg, an integrated circuit. In this case, the structure may be referred to as a pattern comprising a plurality of features of a semiconductor device or a desired pattern. In some cases, the structure is an alignment mark or portion thereof (eg, alignment) used in an alignment measurement process to determine alignment of an object (eg, a substrate) with another object (eg, a patterning device). lattice of marks), or a metrology target or part thereof (eg, a lattice of a metrology target) used to measure parameters of the patterning process (eg overlay, focus, dose, etc.) . In one embodiment, the metrology target is, for example, a diffraction grating used to measure overlay.

도 29는 검사 장치의 또 다른 실시예를 개략적으로 나타낸다. 시스템은 샘플 스테이지(88)에서 (기판과 같은) 샘플(90)을 검사하는 데 사용되며, 하전 입자 빔 생성기(81), 집광 렌즈 모듈(82), 프로브 형성 대물 렌즈 모듈(83), 하전 입자 빔 편향 모듈(84), 이차 하전 입자 검출기 모듈(85), 및 이미지 형성 모듈(86)을 포함한다.29 schematically shows another embodiment of the inspection device. The system is used to inspect a sample 90 (such as a substrate) on a sample stage 88 , including a charged particle beam generator 81 , a condenser lens module 82 , a probe forming objective lens module 83 , charged particles a beam deflection module 84 , a secondary charged particle detector module 85 , and an image forming module 86 .

하전 입자 빔 생성기(81)는 일차 하전 입자 빔(91)을 생성한다. 집광 렌즈 모듈(82)은 생성된 일차 하전 입자 빔(91)을 집광한다. 프로브 형성 대물 렌즈 모듈(83)은 집광된 일차 하전 입자 빔을 하전 입자 빔 프로브(92)로 포커스한다. 하전 입자 빔 편향 모듈(84)은 형성된 하전 입자 빔 프로브(92)를 샘플 스테이지(88)에 고정된 샘플(90) 상의 관심 영역의 표면에 걸쳐 스캐닝한다. 일 실시예에서, 하전 입자 빔 생성기(81), 집광 렌즈 모듈(82) 및 프로브 형성 대물 렌즈 모듈(83), 또는 이들의 동등한 디자인들, 대안예들 또는 여하한의 그 조합은 함께 스캐닝 하전 입자 빔 프로브(92)를 생성하는 하전 입자 빔 프로브 생성기를 형성한다.A charged particle beam generator 81 generates a primary charged particle beam 91 . The condensing lens module 82 condenses the generated primary charged particle beam 91 . The probe forming objective lens module 83 focuses the focused primary charged particle beam to the charged particle beam probe 92 . The charged particle beam deflection module 84 scans the formed charged particle beam probe 92 across the surface of the region of interest on the sample 90 fixed to the sample stage 88 . In one embodiment, the charged particle beam generator 81 , the collecting lens module 82 and the probe forming objective lens module 83 , or their equivalent designs, alternatives or any combination thereof, are combined to scan charged particles together. A charged particle beam probe generator that generates a beam probe 92 is formed.

이차 하전 입자 검출기 모듈(85)은 하전 입자 빔 프로브(92)에 의해 충격을 받을 때 (아마도 샘플 표면으로부터의 다른 반사되거나 산란된 하전 입자들과 함께) 샘플 표면으로부터 방출되는 이차 하전 입자들(93)을 검출하여, 이차 하전 입자 검출 신호(94)를 발생시킨다. 이미지 형성 모듈(86)(예를 들어, 컴퓨팅 디바이스)은 이차 하전 입자 검출기 모듈(85)과 커플링되어, 이차 하전 입자 검출기 모듈(85)로부터 이차 하전 입자 검출 신호(94)를 수신하고, 이에 따라 적어도 하나의 스캔 이미지를 형성한다. 일 실시예에서, 이차 하전 입자 검출기 모듈(85) 및 이미지 형성 모듈(86), 또는 이들의 동등한 디자인들, 대안예들 또는 여하한의 그 조합은 함께 하전 입자 빔 프로브(92)에 의해 충격을 받는 샘플(90)로부터 방출된 검출된 이차 하전 입자들로부터 스캔 이미지를 형성하는 이미지 형성 장치를 형성한다.The secondary charged particle detector module 85 is configured to emit secondary charged particles 93 from the sample surface (possibly along with other reflected or scattered charged particles from the sample surface) when bombarded by the charged particle beam probe 92 . ) to generate a secondary charged particle detection signal 94 . The image forming module 86 (eg, computing device) is coupled with the secondary charged particle detector module 85 to receive a secondary charged particle detection signal 94 from the secondary charged particle detector module 85 , thereby to form at least one scanned image. In one embodiment, secondary charged particle detector module 85 and image forming module 86, or equivalent designs, alternatives or any combination thereof, together are bombarded by charged particle beam probe 92 An image forming apparatus is formed that forms a scan image from the detected secondary charged particles emitted from the receiving sample 90 .

일 실시예에서, 모니터링 모듈(87)은 이미지 형성 장치의 이미지 형성 모듈(86)에 커플링되어, 이미지 형성 모듈(86)로부터 수신되는 샘플(90)의 스캔 이미지를 사용하여 패터닝 공정의 모니터링, 제어 등을 수행하고, 및/또는 패터닝 공정 디자인, 제어, 모니터링 등을 위한 파라미터를 도출한다. 따라서, 일 실시예에서, 모니터링 모듈(87)은 본 명세서에 설명된 방법의 실행을 야기하도록 구성되거나 프로그램된다. 일 실시예에서, 모니터링 모듈(87)은 컴퓨팅 디바이스를 포함한다. 일 실시예에서, 모니터링 모듈(87)은 본 명세서의 기능을 제공하고, 모니터링 모듈(87)을 형성하거나 이 안에 배치된 컴퓨터 판독가능한 매체에서 인코딩된 컴퓨터 프로그램을 포함한다.In one embodiment, the monitoring module 87 is coupled to the image forming module 86 of the image forming apparatus to monitor the patterning process using the scanned image of the sample 90 received from the image forming module 86; control, etc., and/or derive parameters for patterning process design, control, monitoring, and the like. Accordingly, in one embodiment, the monitoring module 87 is configured or programmed to cause execution of the methods described herein. In one embodiment, the monitoring module 87 comprises a computing device. In one embodiment, the monitoring module 87 provides the functionality of the present disclosure and includes a computer program encoded in a computer readable medium that forms or is disposed within the monitoring module 87 .

일 실시예에서, 기판을 검사하기 위해 프로브를 사용하는 도 28의 전자 빔 검사 툴과 마찬가지로, 도 29의 시스템에서의 전류는 예를 들어 도 28에 도시된 바와 같은 CD SEM에 비해 상당히 더 크므로, 프로브 스폿이 충분히 커서 검사 속도가 빠를 수 있다. 하지만, 분해능은 큰 프로브 스폿으로 인해 CD SEM에 비해 높지 않을 수 있다. 일 실시예에서, 앞서 논의된 검사 장치는 본 발명의 범위를 제한하지 않고 단일 빔 또는 멀티-빔 장치일 수 있다.In one embodiment, as with the electron beam inspection tool of FIG. 28 that uses a probe to inspect the substrate, the current in the system of FIG. 29 is significantly greater compared to a CD SEM as shown in FIG. 28, for example. , the probe spot may be large enough to speed up the inspection. However, the resolution may not be as high as compared to CD SEM due to the large probe spot. In one embodiment, the inspection apparatus discussed above may be a single beam or multi-beam apparatus without limiting the scope of the present invention.

예를 들어, 도 28 또는 도 29의 시스템으로부터의 SEM 이미지들은 이미지에서 디바이스 구조체들을 나타내는 대상물들의 에지들을 설명하는 윤곽들을 추출하도록 처리될 수 있다. 그 후, 이 윤곽들은 사용자-정의 커트-라인들에서 CD와 같은 메트릭들을 통해 정량화된다. 따라서, 통상적으로, 디바이스 구조체들의 이미지들은 추출된 윤곽들에서 측정되는 에지간 거리(CD) 또는 이미지들 간의 단순한 픽셀 차이들과 같은 메트릭들을 통해 비교되고 정량화된다.For example, SEM images from the system of FIG. 28 or 29 can be processed to extract contours that describe edges of objects representing device structures in the image. These contours are then quantified via CD-like metrics in user-defined cut-lines. Thus, images of device structures are typically compared and quantified via metrics such as edge-to-edge distance (CD) measured in extracted contours or simple pixel differences between images.

도 30은 본 명세서에 개시된 방법들 및 흐름들을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되고 버스(102)에 커플링되어 정보 및 명령어들을 저장한다.30 is a block diagram illustrating a computer system 100 that may be helpful in implementing the methods and flows disclosed herein. Computer system 100 includes a bus 102 or other communication mechanism for conveying information, and a processor 104 (or multiple processors 104 and 105) coupled with bus 102 for processing information. . Computer system 100 also includes main memory 106 coupled to bus 102 , such as random access memory (RAM) or other dynamic storage device that stores information and instructions to be executed by processor 104 . do. Main memory 106 may also be used to store temporary variables or other intermediate information in the execution of instructions to be executed by processor 104 . Computer system 100 further includes a read only memory (ROM) 108 or other static storage device coupled to bus 102 that stores static information and instructions for processor 104 . A storage device 110 , such as a magnetic or optical disk, is provided and coupled to the bus 102 to store information and instructions.

컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.Computer system 100 may be coupled, via bus 102 , to a display 112 , such as a cathode ray tube (CRT) or flat panel or touch panel display that presents information to a computer user. An input device 114 comprising alphanumeric and other keys is coupled to the bus 102 to communicate information and command selections to the processor 104 . Another type of user input device communicates directional information and command selections to processor 104 , and cursor control, such as a mouse, trackball, or cursor direction keys, for controlling cursor movement on display 112 . : 116). This input device has two degrees of freedom in a first axis (eg x) and a second axis (eg y), which are typically two axes that allow the device to specify positions in a plane. Also, a touch panel (screen) display may be used as the input device.

일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 프로세스의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.Portions of a process may be performed by the computer system 100 in response to the processor 104 executing one or more sequences of one or more instructions contained in the main memory 106 , according to one embodiment. These instructions may be read into main memory 106 from another computer-readable medium, such as storage device 110 . Execution of the sequences of instructions contained within main memory 106 causes processor 104 to perform the process steps described herein. Also, more than one processor in a multi-processing arrangement may be employed to execute sequences of instructions contained within main memory 106 . In an alternative embodiment, hard-wired circuitry may be used in combination with or instead of software instructions. Accordingly, the disclosure herein is not limited to any particular combination of hardware circuitry and software.

본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하여, 동축 케이블, 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크, 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드, 종이 테이프, 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지, 이후 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.The term “computer-readable medium” as used herein refers to any medium that participates in providing instructions to the processor 104 for execution. Such media can take many forms, including, but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks such as storage device 110 . Volatile media includes dynamic memory, such as main memory 106 . Transmission media include coaxial cable, copper wire, and optical fiber, including wires including bus 102 . In addition, the transmission medium may take the form of an acoustic wave or a light wave, such as wavelengths generated during radio frequency (RF) and infrared (IR) data communication. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, a hard disk, magnetic tape, any other magnetic medium, CD-ROM, DVD, any other optical medium, Punch card, paper tape, any other physical medium having a pattern of holes, RAM, PROM, and EPROM, FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or a computer including any other medium readable by the

다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀이 전화선 상에서 데이터를 수신하고, 적외선 송신기를 사용하여 상기 데이터를 적외선 신호로 전환할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.Various forms of computer-readable media may be involved in carrying one or more sequences of one or more instructions to the processor 104 for execution. For example, the instructions may initially be stored on a magnetic disk of a remote computer (bear). The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to computer system 100 may receive the data on the telephone line and convert the data to an infrared signal using an infrared transmitter. An infrared detector coupled to the bus 102 may receive data carried in an infrared signal and place the data on the bus 102 . Bus 102 passes the data to main memory 106 where processor 104 retrieves and executes instructions. Instructions received by main memory 106 may optionally be stored in storage device 110 before or after execution by processor 104 .

또한, 컴퓨터 시스템(100)은 바람직하게는 버스(102)에 커플링된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.Computer system 100 also preferably includes a communication interface 118 coupled to bus 102 . A communication interface 118 couples to a network link 120 that is coupled to a local network 122 to provide two-way data communication. For example, communication interface 118 may be an integrated services digital network (ISDN) card or a modem that provides a data communication connection to a corresponding type of telephone line. As another example, communication interface 118 may be a local area network (LAN) card that provides a data communication connection to a compatible LAN. A wireless link may also be implemented. In any such implementation, communication interface 118 transmits and receives electrical, electromagnetic, or optical signals carrying digital data streams representing various types of information.

통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 보편적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 둘 다 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.Typically, network link 120 provides data communication to other data devices over one or more networks. For example, network link 120 may provide a connection through local network 122 to data equipment operated by a host computer 124 or an Internet Service Provider (ISP) 126 . In turn, the ISP 126 provides data communication services over a worldwide packet data communication network, now commonly referred to as the “Internet” 128 . Local network 122 and Internet 128 both use electrical, electromagnetic or optical signals to carry digital data streams. Signals over various networks, and signals on network link 120 via communication interface 118 that carry digital data to and from computer system 100 are exemplary forms of carrier waves that carry information.

컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 실시예의 조명 최적화를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.Computer system 100 may send messages and receive data, including program code, over network(s), network link 120 and communication interface 118 . In the Internet example, server 130 may transmit the requested code for an application program over Internet 128 , ISP 126 , local network 122 , and communication interface 118 . One such downloaded application may provide, for example, the lighting optimization of this embodiment. The received code may be executed by the processor 104 when received and/or stored in the storage device 110 or other non-volatile storage for later execution. In this way, the computer system 100 may obtain the application code in the form of a carrier wave.

도 31은 본 명세서에 설명된 기술들과 함께 이용될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:31 schematically depicts an exemplary lithographic projection apparatus that may be used with the techniques described herein. The device is:

- 방사선 빔(B)을 컨디셔닝(condition)하는 조명 시스템(IL) -이러한 특정한 경우, 조명 시스템은 방사선 소스(SO)도 포함함- ;- an illumination system IL, which conditions the radiation beam B, which in this particular case also comprises a radiation source SO;

- 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기에 연결되는 제 1 대상물 테이블(예를 들어, 패터닝 디바이스 테이블)(MT);- a first object table (for example) provided with a patterning device holder for holding the patterning device MA (for example a reticle) and connected to a first positioner for accurately positioning the patterning device with respect to the item PS , patterning device table) (MT);

- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기에 연결되는 제 2 대상물 테이블(기판 테이블)(WT); 및- a second object table (substrate) provided with a substrate holder holding a substrate W (eg a resist-coated silicon wafer) and connected to a second positioner for accurately positioning the substrate with respect to the item PS table) (WT); and

- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭 광학 시스템]을 포함한다.- a projection system (“lens”) PS (eg, a projection system) for imaging the irradiated portion of the patterning device MA onto a target portion C (eg comprising one or more dies) of the substrate W for example, refractive, catoptric or catadioptric optical systems].

본 명세서에 도시된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 패터닝 디바이스를 가짐). 하지만, 일반적으로 이는 예를 들어 (반사 패터닝 디바이스를 갖는) 반사형으로 구성될 수도 있다. 상기 장치는 전형적인 마스크로 상이한 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.As shown herein, the apparatus is configured to be transmissive (ie, has a transmissive patterning device). However, in general it may be of a reflective type (with a reflective patterning device), for example. The apparatus can employ different kinds of patterning devices with typical masks; Examples include a programmable mirror array or LCD matrix.

소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저, LPP(레이저 생성 플라즈마) EUV 소스]는 방사선 빔을 생성한다. 예를 들어, 이 빔은 곧바로 또는 빔 익스팬더(beam expander: Ex)와 같은 컨디셔닝 수단을 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.A source SO (eg, a mercury lamp or excimer laser, LPP (laser generated plasma) EUV source) generates a beam of radiation. For example, this beam is fed to the illumination system (illuminator) IL, either directly or after crossing a conditioning means such as a beam expander (Ex). The illuminator IL may comprise adjustment means AD for setting the outer and/or inner radial magnitudes (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution within the beam. It will also typically include various other components such as an integrator IN and a capacitor CO. In this way, the beam B incident on the patterning device MA has the desired uniformity and intensity distribution in its cross-section.

도 31과 관련하여, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 (예를 들어, 적절한 지향 거울들의 도움으로) 장치 내부로 들어올 수 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.31 , the source SO may be within the housing of the lithographic projection apparatus (as is often the case where the source SO is, for example, a mercury lamp), although it may be remote from the lithographic projection apparatus, It should be noted that the radiation beam it generates may enter the device interior (eg, with the aid of suitable directing mirrors); This latter scenario is often where the source SO is an excimer laser (eg, based on KrF, ArF or F 2 lasing).

이후, 상기 빔(B)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과한다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PS)를 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(B)을 포커싱한다. 제 2 위치설정 수단[및 간섭 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 31에 명확히 도시되지는 않는다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 스테퍼의 경우, 패터닝 디바이스 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다.The beam B then intercepts the patterning device MA which is held on the patterning device table MT. Having traversed the patterning device MA, the beam B passes through the lens PS, which focuses the beam B on the target portion C of the substrate W. With the aid of the second positioning means (and the interferometric means IF), the substrate table WT can be moved precisely, for example to position a different target part C in the path of the beam B. . Similarly, the first positioning means can be arranged relative to the path of the beam B, for example after mechanical retrieval of the patterning device MA from a patterning device library or during scanning. MA) can be used to accurately position the In general, the movement of the object tables MT, WT can be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning). , which is not clearly shown in FIG. 31 . However, in the case of a stepper (as opposed to a step-and-scan tool), the patterning device table MT can only be connected or fixed to a short-stroke actuator.

도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:The tool shown can be used in two different modes:

- 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지가 한 번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 그 후, 상이한 타겟부(C)가 빔(B)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다;- In step mode, the patterning device table MT remains essentially stationary, and the entire patterning device image is projected onto the target portion C at one time (ie with a single “flash”). Then, the substrate table WT is shifted in the x and/or y direction so that different target portions C can be irradiated by the beam B;

- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PS)의 배율이다(통상적으로, M = 1/4 또는 1/5). 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.- In scan mode, basically the same scenario applies except that a given target part C is not exposed with a single "flash". Instead, the patterning device table MT is movable in a given direction (the so-called “scan direction”, for example the y direction) at a speed of v, such that the projection beam B is directed to scan over the patterning device image. ; Concurrently, the substrate table WT is moved simultaneously in the same or opposite direction at a speed V = Mv, where M is the magnification of the lens PS (typically, M = 1/4 or 1/5). In this way, a relatively wide target portion C can be exposed without degrading the resolution.

도 32는 또 다른 예시적인 리소그래피 투영 장치(1000)를 개략적으로 도시하며, 이는:32 schematically shows another exemplary lithographic projection apparatus 1000 , which includes:

- 방사선을 제공하는 소스 컬렉터 모듈(SO);- a source collector module (SO) providing radiation;

- 소스 컬렉터 모듈(SO)로부터의 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL);- an illumination system (illuminator) IL configured to condition the radiation beam B (eg EUV radiation) from the source collector module SO;

- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);- a support structure (eg mask table) configured to support a patterning device (eg mask or reticle) MA and connected to a first positioner PM configured to precisely position the patterning device (MT);

- 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및- a substrate table (eg wafer table) configured to hold a substrate (eg resist coated wafer) W and connected to a second positioner PW configured to precisely position the substrate (eg wafer table) ( WT); and

- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.- a projection system (eg comprising one or more dies) configured to project a pattern imparted to the radiation beam B by the patterning device MA onto a target portion C (eg comprising one or more dies) of the substrate W For example, a reflective projection system (PS).

본 명세서에 도시된 바와 같이, 상기 장치(1000)는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.As shown herein, the apparatus 1000 is of a reflective type (eg employing a reflective mask). It should be noted that, since most materials are absorptive within the EUV wavelength range, the patterning device may have multilayer reflectors comprising, for example, a multi-stack of molybdenum and silicon. In one example, the multi-stack reflector has 40 layers of molybdenum and silicon pairs, with each layer being a quarter wavelength thick. Even smaller wavelengths can be created with X-ray lithography. Because most materials are absorptive at EUV and x-ray wavelengths, flakes of patterned absorptive material on the patterning device topography (eg, TaN absorber on top of the multilayer reflector) may or may not be printed (positive resist) or not printed (negative). resist) defines the location of the features.

도 32를 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 32에 도시되지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.Referring to FIG. 32 , the illuminator IL receives the extreme ultraviolet radiation beam from the source collector module SO. Methods of generating EUV radiation include, but are not necessarily limited to, converting a material having at least one element having at least one emission line within the EUV range, such as xenon, lithium or tin, into a plasma state. In one such method, commonly referred to as laser-generated plasma (“LPP”), the plasma can be created by irradiating a fuel, such as droplets, streams, or clusters of material, with a pre-emitting element with a laser beam. The source collector module SO may be part of an EUV radiation system including a laser (not shown in FIG. 32 ) that provides a laser beam to excite the fuel. The resulting plasma emits output radiation, for example EUV radiation, which is collected using a radiation collector disposed in the source collector module. For example, if a CO2 laser is used to provide a laser beam for fuel excitation, the laser and source collector module may be separate entities.

이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울들 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 방사선 소스가 흔히 DPP 방사선 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 방사선 소스는 소스 컬렉터 모듈의 통합부일 수 있다.In this case, the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module, for example with the aid of a beam delivery system comprising suitable directing mirrors and/or a beam expander. . In other cases, the radiation source may be an integral part of the source collector module, for example if the radiation source is a discharge generating plasma EUV generator, commonly referred to as a DPP radiation source.

일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.The illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. In general, at least the outer and/or inner radial magnitudes (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in the pupil plane of the illuminator can be adjusted. The illuminator IL may also include various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam to have a desired uniformity and intensity distribution in the cross-section of the radiation beam.

방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.The radiation beam B is incident on a patterning device (eg mask) MA held on a support structure (eg mask table) MT and is patterned by the patterning device. After being reflected from the patterning device (eg mask) MA, the radiation beam B passes through a projection system PS, which focuses the beam onto a target portion C of the substrate W. . With the aid of the second positioner PW and the position sensor PS2 (for example an interferometric device, a linear encoder or a capacitive sensor), the substrate table WT is, for example, in the path of the radiation beam B It can be precisely moved to position the different target portions (C). Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (eg mask) MA with respect to the path of the radiation beam B. . Patterning device (eg, mask) MA and substrate W may be aligned using patterning device alignment marks M1 , M2 and substrate alignment marks P1 , P2 .

도시된 장치(1000)는 다음 모드들 중 적어도 하나에서 사용될 수 있다:The illustrated apparatus 1000 may be used in at least one of the following modes:

1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴이 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.1. In step mode, the support structure (eg mask table) MT and substrate table WT remain essentially stationary, while the entire pattern imparted to the radiation beam is applied to the target portion C at one time. ) onto the image (ie, a single static exposure). Thereafter, the substrate table WT is shifted in the X and/or Y direction so that different target portions C can be exposed.

2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.2. In the scan mode, the support structure (eg mask table) MT and the substrate table WT are scanned synchronously while the pattern imparted to the radiation beam is projected onto the target portion C [i.e. , single dynamic exposure]. The speed and direction of the substrate table WT relative to the support structure (eg, mask table) MT may be determined by the magnification (reduction) and image reversal characteristics of the projection system PS.

3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.3. In another mode, the support structure (eg mask table) MT holds the programmable patterning device so that it remains essentially stationary, wherein the pattern imparted to the radiation beam is applied onto the target portion C. The substrate table WT is moved or scanned while being projected onto the . In this mode, generally a pulsed radiation source is employed, and the programmable patterning device is updated as needed after every movement of the substrate table WT, or between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography using a programmable patterning device, such as a programmable mirror array of a type as mentioned above.

도 33은 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(1000)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 방사선 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.33 shows the apparatus 1000 in greater detail including a source collector module SO, an illumination system IL, and a projection system PS. The source collector module SO is constructed and arranged such that a vacuum environment can be maintained within an enclosing structure 220 of the source collector module SO. EUV radiation emitting plasma 210 may be formed by a discharge generating plasma radiation source. EUV radiation may be produced by a gas or vapor, such as Xe gas, Li vapor or Sn vapor, in which a very hot plasma 210 is created to emit radiation within the EUV range of the electromagnetic spectrum. The ultra-hot plasma 210 is generated, for example, by an electrical discharge that causes an at least partially ionized plasma. For efficient generation of radiation, a partial pressure of Xe, Li, Sn vapor or any other suitable gas or vapor, for example 10 Pa, may be required. In one embodiment, a plasma of excited tin (Sn) is provided to produce EUV radiation.

초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 더 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.The radiation emitted by the ultra-high temperature plasma 210 is transmitted through an optional gas barrier or contaminant trap 230 (in some cases, a contaminant barrier or foil) located within or behind an opening of a source chamber 211 . through a trap) from the source chamber 211 into a collector chamber 212 . The contaminant trap 230 may include a channel structure. Further, the contaminant trap 230 may include a gas barrier, or a combination of a gas barrier and a channel structure. The contaminant trap or contaminant barrier 230 further shown herein comprises at least a channel structure as known in the art.

컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.The collector chamber 212 may include a radiation collector CO, which may be a so-called grazing incidence collector. The radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252 . Radiation traversing the collector CO may be reflected from a grating spectral filter 240 and focused on a virtual source point IF along the optical axis indicated by dashed line 'O'. The virtual source point IF is commonly referred to as an intermediate focus, and the source collector module is positioned such that the intermediate focus IF is located at or near the opening 221 in the enclosure structure 220 . The virtual source point IF is an image of the radiation emitting plasma 210 .

후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치되는 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.Subsequently, the radiation traverses the illumination system IL, so as to provide the desired angular distribution of the radiation beam 21 in the patterning device MA as well as the desired uniformity of the radiation intensity in the patterning device MA. disposed facet field mirror device 22 and facet pupil mirror device 24 . Upon reflection of the radiation beam 21 at the patterning device MA, which is held by the support structure MT, a patterned beam 26 is formed, which is transmitted by means of the projection system PS. The reflective elements 28 , 30 are imaged onto the substrate W held by the substrate table WT.

일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 33에 나타낸 것보다 1 내지 6 개의 추가적인 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.In general, more elements than shown may be present in the illumination optics unit IL and the projection system PS. The grating spectral filter 240 may optionally be present depending on the type of lithographic apparatus. Also, there may be more mirrors than shown in the figures, for example 1 to 6 additional reflective elements than shown in FIG. 33 may be present in the projection system PS.

도 33에 예시된 바와 같은 컬렉터 광학기(CO)는 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 바람직하게는 방전 생성 플라즈마 방사선 소스와 조합하여 사용된다.The collector optic CO as illustrated in FIG. 33 is shown as a nested collector with grazing incidence reflectors 253 , 254 and 255 , merely as one example of a collector (or collector mirror). The grazing incidence reflectors 253 , 254 and 255 are arranged axisymmetrically around the optical axis O, and a collector optic CO of this type is preferably used in combination with a discharge generating plasma radiation source.

대안적으로, 소스 컬렉터 모듈(SO)은 도 34에 나타낸 바와 같은 LPP 방사선 시스템의 일부분일 수 있다. 레이저(LAS)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.Alternatively, the source collector module SO may be part of an LPP radiation system as shown in FIG. 34 . A laser (LAS) is arranged to deposit laser energy in a fuel such as xenon (Xe), tin (Sn) or lithium (Li), and a highly ionized plasma (highly ionized plasma) having an electron temperature of several tens of eV: 210 ) is created. The energetic radiation generated during the de-excitation and recombination of these ions is emitted from the plasma and collected by a near normal incidence collector optic (CO), enveloping structure. Focus is on the opening 221 of 220 .

본 실시예들은 다음 항목들을 이용하여 더 설명될 수 있다:These embodiments can be further described using the following items:

1. 이미징된 기판과 연계된 피처가 이미징된 기판의 에칭 후에 결함이 있을지 여부를 예측하도록 구성되는 모델을 트레이닝하는 방법으로서,1. A method of training a model configured to predict whether a feature associated with an imaged substrate will be defective after etching of the imaged substrate, comprising:

메트롤로지 툴을 통해, (ⅰ) 주어진 위치에서의 이미징된 기판의 현상 후 이미지 -현상 후 이미지는 복수의 피처들을 포함함- , 및 (ⅱ) 주어진 위치에서의 이미징된 기판의 에칭 후 이미지 -에칭 후 이미지는 복수의 피처들에 대응하는 에칭된 피처들을 포함함- 를 얻는 단계; 및Via the metrology tool, (i) a post-developed image of the imaged substrate at a given location, wherein the post-developed image includes a plurality of features, and (ii) a post-etched image of the imaged substrate at a given location— obtaining the post-etched image including etched features corresponding to the plurality of features; and

현상 후 이미지 및 에칭 후 이미지를 사용하여, 현상 후 이미지에서 복수의 피처들 중 주어진 피처의 결함 있음을 결정하도록 구성되는 모델을 트레이닝하는 단계를 포함하고,using the post-development image and the post-etch image to train a model configured to determine that a given one of a plurality of features in the post-development image is defective;

결함 있음의 결정은 현상 후 이미지에서의 주어진 피처를 에칭 후 이미지에서의 대응하는 에칭 피처와 비교하는 것에 기초하는 방법.A method wherein the determination of a defect is based on comparing a given feature in the post-developed image to a corresponding etched feature in the post-etched image.

2. 1 항에 있어서, 모델은 경험적 모델 또는 기계 학습 모델이고, 경험적 모델은 이미징된 기판과 연계된 피처의 물리적 특성의 함수인 방법.2. The method of clause 1, wherein the model is an empirical model or a machine learning model, wherein the empirical model is a function of a physical property of a feature associated with the imaged substrate.

3. 1 항 또는 2 항에 있어서, 현상 후 이미지를 얻는 단계는:3. The method of 1 or 2, wherein the step of obtaining an image after development comprises:

패터닝 장치를 통해, 기판 상에 마스크 패턴을 이미징하는 단계;imaging the mask pattern on the substrate through the patterning device;

이미징된 기판의 현상된 기판을 얻는 단계;obtaining a developed substrate of the imaged substrate;

주어진 위치에서 현상된 기판에 메트롤로지 툴을 정렬하는 단계; 및aligning the metrology tool to the developed substrate at a given location; and

현상된 기판의 이미지를 캡처하는 단계를 포함하는 방법.A method comprising capturing an image of the developed substrate.

4. 1 항 내지 3 항 중 어느 하나에 있어서, 에칭 후 이미지를 얻는 단계는:4. The method according to any one of items 1 to 3, wherein obtaining the image after etching comprises:

지정된 에칭 조건들로의 에칭 공정을 통해, 이미징된 기판을 에칭하는 단계;etching the imaged substrate through an etching process with specified etching conditions;

주어진 위치에서 에칭된 기판에 메트롤로지 툴을 정렬하는 단계; 및aligning the metrology tool to the etched substrate at a given location; and

에칭된 기판의 에칭 후 이미지를 캡처하는 단계를 포함하는 방법.and capturing an image after etching of the etched substrate.

5. 4 항에 있어서, 에칭 조건들은 에천트 조성, 플라즈마 가스 파라미터들, 에칭 속도, 전자기장, 플라즈마 전위, 유도성 또는 용량성 에칭 타입, 기판의 온도, 이온 에너지 분포, 이온 각도 분포, 스퍼터링 및 재증착 속도, 또는 이들의 조합을 포함하는 방법.5. The etching conditions according to clause 4, wherein the etchant composition, plasma gas parameters, etch rate, electromagnetic field, plasma potential, inductive or capacitive etching type, temperature of the substrate, ion energy distribution, ion angle distribution, sputtering and ash A method comprising a deposition rate, or a combination thereof.

6. 1 항 내지 5 항 중 어느 하나에 있어서, 트레이닝하는 단계는:6. The step of any of 1-5, wherein the training comprises:

복수의 피처들에 기초하여, 현상 후 이미지 및 에칭 후 이미지를 정렬하는 단계;aligning the post-develop image and the post-etch image based on the plurality of features;

현상 후 이미지에서의 복수의 피처들의 피처들 각각을 에칭 후 이미지에서의 에칭된 피처들의 대응하는 피처와 비교하는 단계;comparing each of the features of the plurality of features in the post-developed image to a corresponding feature of the etched features in the post-etched image;

비교에 기초하여, 에칭 후 이미지에서의 주어진 에칭된 피처가 결함 조건을 만족하는지 여부를 결정하는 단계;determining, based on the comparison, whether a given etched feature in the post-etch image satisfies a defect condition;

결함 조건을 만족하지 않음에 응답하여, 식별된 피처를 결함 있는 것으로 분류하는 단계; 및in response to not meeting the defect condition, classifying the identified feature as defective; and

식별된 피처의 결함 있음에 기초하여 모델의 모델 파라미터 값을 조정하는 단계를 포함하는 방법.and adjusting the model parameter values of the model based on the presence of the identified feature.

7. 6 항에 있어서, 모델 파라미터 값을 조정하는 단계는 복수의 모델 파라미터들의 값들을 조정하는 단계를 포함하는 방법.7. The method of clause 6, wherein adjusting the model parameter value comprises adjusting values of the plurality of model parameters.

8. 6 항에 있어서, 결함 조건은 에칭 후 이미지에서의 주어진 에칭된 피처의 물리적 특성인 방법.8. The method of clause 6, wherein the defect condition is a physical property of a given etched feature in the post-etch image.

9. 8 항에 있어서, 물리적 특성은:9. The method of 8, wherein the physical properties are:

주어진 에칭된 피처의 임계 치수; 또는the critical dimension of a given etched feature; or

현상 후 이미지의 주어진 피처에 대한 주어진 에칭된 피처의 변위 중 적어도 하나인 방법.at least one of a displacement of a given etched feature relative to a given feature of the image after development.

10. 1 항 내지 9 항 중 어느 하나에 있어서, 결함 있음은:10. The method according to any one of items 1 to 9, wherein the defect comprises:

결함이 있는지 또는 결함이 없는지의 이진 결정; 또는Binary determination of whether a defect is present or not; or

주어진 피처에 결함이 있을 확률 중 적어도 하나에 의해 특징지어지는 방법.A method characterized by at least one of the probabilities that a given feature is defective.

11. 2 항 내지 10 항 중 어느 하나에 있어서, 기계 학습 모델은 컨볼루션 뉴럴 네트워크인 방법.11. The method according to any of clauses 2 to 10, wherein the machine learning model is a convolutional neural network.

12. 11 항에 있어서, 모델 파라미터들은 기계 학습 모델의 1 이상의 층과 연계된 가중치들 또는 편향들인 방법.12. The method of clause 11, wherein the model parameters are weights or biases associated with one or more layers of the machine learning model.

13. 11 항에 있어서, 가중치들 또는 편향들인 모델 파라미터들은 가중치들 및 편향들인 모델 파라미터들을 포함하는 방법.13. The method of clause 11, wherein the model parameters that are weights or biases include model parameters that are weights and biases.

14. 1 항 내지 13 항 중 어느 하나에 있어서, 메트롤로지 툴은 광학 현미경 또는 전자 빔 현미경인 방법.14. The method according to any one of items 1 to 13, wherein the metrology tool is an optical microscope or an electron beam microscope.

15. 1 항 내지 13 항 중 어느 하나에 있어서, 메트롤로지 툴은 스캐닝 전자 현미경(SEM)이고, 측정 값들은 SEM 이미지로부터 얻어지는 방법.15. The method according to any one of clauses 1 to 13, wherein the metrology tool is a scanning electron microscope (SEM) and the measured values are obtained from SEM images.

16. 1 항 내지 15 항 중 어느 하나에 있어서, 트레이닝된 모델은 현상 후 이미지의 주어진 패턴과 연계된 실패율을 예측하도록 더 구성되며, 실패율은 지정된 에칭 조건들을 사용하여 이미징된 기판이 에칭될 때의 결함 발생을 나타내는 방법.16. The method of any one of clauses 1-15, wherein the trained model is further configured to predict a failure rate associated with a given pattern of the post-development image, the failure rate being that of when the imaged substrate is etched using the specified etching conditions. How to indicate the occurrence of a defect.

17. 1 항 내지 16 항 중 어느 하나에 있어서, 트레이닝 모델의 추가 구성은:17. The method according to any one of clauses 1 to 16, wherein the further configuration of the training model comprises:

관심 패턴과 연계된 복수의 패턴들을 결함이 있거나 결함이 없는 것으로 분류하는 단계;classifying the plurality of patterns associated with the pattern of interest as defective or non-defective;

관심 패턴과 연계된 결함 있는 패턴들의 총 수를 결정하는 단계; 및determining a total number of defective patterns associated with the pattern of interest; and

결함 있는 패턴의 총 수 및 복수의 패턴들의 총 패턴 수의 비율로서 관심 패턴의 실패율을 연산하는 단계를 포함하는 방법.and calculating a failure rate of the pattern of interest as a ratio of the total number of defective patterns and the total number of patterns in the plurality of patterns.

18. 1 항 내지 17 항 중 어느 하나에 있어서,18. according to any one of items 1 to 17,

패터닝 장치를 통해, 기판 상에 원하는 패턴을 이미징하는 단계;imaging the desired pattern on the substrate, via the patterning device;

이미징된 패턴의 현상 후 이미지를 얻는 단계;obtaining an image after development of the imaged pattern;

원하는 패턴이 에칭 후에 결함이 있을지 여부를 분류하기 위해 현상 후 이미지를 사용하여 트레이닝 모델을 실행하는 단계; 및running a training model using the post-development image to classify whether the desired pattern will be defective after etching; and

분류된 결함 있는 패턴에 기초하여, 이미징된 패턴이 에칭 후에 결함이 없도록 에칭 조건을 조정하는 단계를 더 포함하는 방법.based on the classified defective pattern, adjusting etching conditions such that the imaged pattern is defect-free after etching.

19. 이미징된 기판에 대한 에칭 조건들을 결정하는 방법으로서,19. A method of determining etching conditions for an imaged substrate, comprising:

이미징된 기판의 현상 후 이미지, 및 이미징된 기판을 에칭하는 데 사용될 초기 에칭 조건들을 얻는 단계;obtaining an image after development of the imaged substrate, and initial etching conditions to be used to etch the imaged substrate;

현상 후 이미지 및 초기 에칭 조건들을 사용하여 트레이닝된 모델을 통해, 이미징된 기판과 연계된 피처의 실패율을 결정하는 단계 -실패율은 이미징된 기판의 에칭 후에 피처가 결함 있음을 나타냄- ; 및determining, via the model trained using the post-development image and initial etch conditions, a failure rate of a feature associated with the imaged substrate, the failure rate indicating that the feature is defective after etching of the imaged substrate; and

실패율에 기초하여, 에칭 후에 피처가 결함 있을 가능성이 감소되도록 초기 에칭 조건들을 수정하는 단계를 포함하는 방법.based on the failure rate, modifying the initial etching conditions to reduce the likelihood that the feature is defective after etching.

20. 19 항에 있어서, 에칭 조건들을 수정하는 단계는 반복적인 프로세스이고, 반복은:20. The step of clause 19, wherein modifying the etch conditions is an iterative process, the iteration comprising:

주어진 피처와 연계된 주어진 실패율과 주어진 에칭 조건 사이의 관계를 얻는 것;obtaining a relationship between a given etch condition and a given failure rate associated with a given feature;

현상 후 이미지 및 에칭 조건들을 사용한 에칭 모델의 실행을 통해, 이미징된 기판과 연계된 에칭 후 이미지를 결정하는 것;determining a post-etch image associated with the imaged substrate through execution of the etch model using the post-development image and etch conditions;

에칭 후 이미지에 기초하여, 주어진 피처가 결함 조건을 만족하는지 여부를 결정하는 것; 및determining, based on the post-etch image, whether a given feature satisfies a defect condition; and

결함 조건을 만족하지 않음에 응답하여, 관계에 기초하여 주어진 실패율에 비해 더 낮은 실패율과 연계된 또 다른 에칭 조건들을 식별하는 것을 포함하는 방법.and in response to not satisfying the defect condition, identifying further etch conditions associated with a lower failure rate compared to a given failure rate based on the relationship.

21. 19 항 또는 20 항에 있어서, 피처의 결함 조건은:21. The conditions of clauses 19 or 20, wherein the defect condition of the feature is:

피처의 누락;missing features;

피처와 연계된 변위 범위; 또는displacement range associated with the feature; or

피처의 임계 치수와 연계된 공차 범위 중 적어도 하나인 방법.at least one of a tolerance range associated with a critical dimension of a feature.

22. 에칭 공정과 연계된 에칭 특성을 결정하는 방법으로서,22. A method of determining an etch characteristic associated with an etch process, comprising:

메트롤로지 툴을 통해, (ⅰ) 기판의 주어진 위치에서의 이미징된 패턴의 현상 후 이미지(ADI) -이미징된 패턴은 관심 피처 및 관심 피처에 인접한 이웃 피처들을 포함함- , 및 (ⅱ) 기판의 주어진 위치에서의 이미징된 패턴의 에칭 후 이미지(AEI) -AEI는 ADI의 관심 피처에 대응하는 에칭된 피처를 포함함- 를 얻는 단계; 및Via the metrology tool, (i) a post-development image (ADI) of the imaged pattern at a given location on the substrate, the imaged pattern including the feature of interest and neighboring features adjacent to the feature of interest, and (ii) the substrate obtaining a post-etched image (AEI) of the imaged pattern at a given location of AEI, wherein the AEI contains the etched feature corresponding to the feature of interest in the ADI; and

ADI 및 AEI를 사용하여, ADI에서의 관심 피처와 연계된 이웃 피처들과 에칭된 피처 간의 상관관계를 결정하는 단계를 포함하며, 상관관계는 에칭 공정과 연계된 에칭 특성을 특징짓는 방법.A method comprising determining, using the ADI and the AEI, a correlation between the etched feature and neighboring features associated with the feature of interest in the ADI, the correlation characterizing an etching characteristic associated with an etching process.

23. 22 항에 있어서, 관심 피처는 복수의 관심 피처들을 포함하는 방법.23. The method of clause 22, wherein the feature of interest comprises a plurality of features of interest.

24. 22 항 또는 23 항에 있어서, 상관관계는 관심 피처에 인접한 이웃 피처들의 밀도의 함수인 방법.24. The method of clauses 22 or 23, wherein the correlation is a function of the density of neighboring features adjacent to the feature of interest.

25. 22 항 내지 24 항 중 어느 하나에 있어서, ADI에서의 이웃 피처들과 에칭된 피처 사이의 상관관계는:25. The correlation of any of clauses 22-24 between the neighboring features and the etched feature in ADI is:

관심 피처 또는 이웃 피처들의 기하학적 형상;the geometry of the feature of interest or neighboring features;

관심 피처와 연계된 편향 또는 어시스트 피처들의 기하학적 형상;the geometry of the bias or assist features associated with the feature of interest;

관심 피처와 이웃 피처들 사이의 거리;distance between the feature of interest and neighboring features;

라인 피처를 따른 거리;distance along a line feature;

피처의 임계 치수;critical dimension of the feature;

관심 피처, 이웃 피처들, 및 에칭된 관심 피처와 연계된 기판 상의 좌표들;coordinates on the substrate associated with the feature of interest, neighboring features, and the etched feature of interest;

관심 피처 주위의 어시스트 피처들 또는 어시스트 피처들의 부족; 또는assist features or lack of assist features around the feature of interest; or

관심 피처와 연계된 예상 위치로부터의 에지 위치의 편차 중 적어도 하나에 의존하는 방법.A method dependent on at least one of a deviation of an edge position from an expected position associated with a feature of interest.

26. 22 항 내지 25 항 중 어느 하나에 있어서, 피처의 임계 치수에 기초한 상관관계는 다음 수학식을 사용하여 연산되고:26. The correlation according to any one of clauses 22 to 25, wherein the correlation based on the critical dimension of the feature is computed using the following equation:

Figure pct00095
Figure pct00095

Figure pct00096
은 상관관계들의 벡터이며, 여기서 CDAEI는 관심 피처의 AEI CD이고; CDADI i 는 i번째 이웃의 ADI CD이며, r는 상관 계수이고, Q i,j = r CDADIi,CDADIj 는 상관관계 매트릭스인 방법.
Figure pct00096
is a vector of correlations, where CDAEI is the AEI CD of the feature of interest; How CDADI i is the ADI CD of the i-th neighbor, r is the correlation coefficient, and Q i,j = r CDADIi,CDADIj is the correlation matrix.

27. 22 항 내지 26 항 중 어느 하나에 있어서, 관심 피처는:27. The feature of any of clauses 22-26, wherein the feature of interest is:

접촉홀;contact hole;

라인; 또는line; or

라인 단부 중 적어도 하나인 방법.at least one of the line ends.

28. 22 항 내지 27 항 중 어느 하나에 있어서, 이웃 피처들은:28. Any of clauses 22-27, wherein the neighboring features are:

관심 피처에 대해 정의된 방위의 복수의 접촉홀들; 또는a plurality of contact holes in a defined orientation with respect to the feature of interest; or

정의된 피치를 갖는 복수의 라인들 중 적어도 하나인 방법.at least one of the plurality of lines having a defined pitch.

29. 22 항 내지 28 항 중 어느 하나에 있어서, 공간 도메인에서 상관관계의 전력 스펙트럼 밀도를 생성하는 단계를 더 포함하고, 전력 스펙트럼 밀도는 에칭 특성 효과의 크기 및 로딩 효과의 범위를 나타내는 방법.29. The method of any of clauses 22-28, further comprising generating a correlated power spectral density in the spatial domain, wherein the power spectral density represents the magnitude of the etch characteristic effect and the extent of the loading effect.

30. 22 항 내지 29 항 중 어느 하나에 있어서, 상관관계에 기초하여, 및 기판의 중심과 기판의 에지 사이의 주어진 반경방향 거리에서, 상관관계가 타겟 범위 내에 유지되도록 이미징된 패턴과 연계된 에칭 조건들을 결정하는 단계를 더 포함하는 방법.30. Etch associated with the imaged pattern according to any one of clauses 22-29 such that, based on the correlation, and at a given radial distance between the center of the substrate and the edge of the substrate, the correlation remains within the target range. The method further comprising determining conditions.

31. 22 항 내지 30 항 중 어느 하나에 있어서, 상관관계에 기초하여, 상관관계가 타겟 범위 내에 있도록 기판의 중심에 위치된 이미징된 패턴에 대한 에칭 조건들을 결정하는 단계를 더 포함하는 방법.31. The method of any of clauses 22-30, further comprising determining, based on the correlation, etching conditions for the imaged pattern centered on the substrate such that the correlation is within a target range.

32. 22 항 내지 31 항 중 어느 하나에 있어서, 상관관계에 기초하여, 상관관계가 타겟 범위 내에 유지되도록 기판의 에지에 위치된 이미징된 패턴에 대한 에칭 조건들을 결정하는 단계를 더 포함하는 방법.32. The method of any of clauses 22-31, further comprising determining, based on the correlation, etching conditions for the imaged pattern positioned at the edge of the substrate such that the correlation remains within the target range.

33. 31 항 또는 32 항에 있어서, 에칭 조건들은 에천트 조성, 플라즈마 가스 파라미터들, 에칭 속도, 전자기장, 플라즈마 전위, 유도성 또는 용량성 에칭 타입, 기판의 온도, 이온 에너지 분포, 이온 각도 분포, 스퍼터링 및 재증착 속도와 연계된 파라미터들, 포화 효과에 기초한 에칭 사이클 파라미터, 또는 이들의 조합을 포함하는 방법.33. The etch conditions according to paragraphs 31 or 32, wherein the etchant composition, plasma gas parameters, etch rate, electromagnetic field, plasma potential, inductive or capacitive etching type, temperature of the substrate, ion energy distribution, ion angle distribution, A method comprising parameters associated with sputtering and redeposition rates, etch cycle parameters based on saturation effects, or a combination thereof.

34. 30 항 내지 33 항 중 어느 하나에 있어서, 에칭 조건들은:34. The etching conditions according to any of clauses 30 to 33, wherein:

에칭되는 기판의 위치 -위치는 기판의 중심과 기판의 에지 사이의 반경방향 거리임- ;the position of the substrate being etched, the position being the radial distance between the center of the substrate and the edge of the substrate;

에칭 사이클;etching cycle;

에칭 챔버;etching chamber;

에칭 사이클 및 증착 단계의 순서; 또는sequence of etching cycles and deposition steps; or

에칭 챔버와 연계된 튜닝 파라미터들 -튜닝은 튜닝 파라미터의 변화에 대한 상관관계의 민감도에 기초함- 중 적어도 하나에 의존하는 방법.A method that depends on at least one of tuning parameters associated with the etch chamber, wherein the tuning is based on a sensitivity of the correlation to changes in the tuning parameter.

35. 30 항 내지 34 항 중 어느 하나에 있어서, 상관관계를 결정하는 단계는:35. The method of any of clauses 30-34, wherein determining the correlation comprises:

(ⅰ) 기판의 복수의 주어진 위치들에서의 복수의 ADI -각각의 ADI는 동일한 관심 피처를 가짐- , 및 (ⅱ) 복수의 주어진 위치들에서의 복수의 AEI -각각의 AEI는 관심 피처에 대응하는 에칭된 관심 피처를 가짐- 를 얻는 단계;(i) a plurality of ADIs at a plurality of given locations of the substrate, each ADI having the same feature of interest, and (ii) a plurality of AEIs at a plurality of given locations, each AEI corresponding to a feature of interest. having an etched feature of interest that

각각의 ADI에서의 관심 피처의 이웃 피처들과 각각의 AEI에서의 에칭된 관심 피처 사이에서 상관관계를 확립하는 단계를 포함하는 방법.and establishing a correlation between neighboring features of the feature of interest at each ADI and the etched feature of interest at each AEI.

36. 에칭 공정과 연계된 에칭 조건을 결정하는 방법으로서,36. A method of determining etching conditions associated with an etching process, comprising:

에칭 후 이미지(AEI)의 에칭된 관심 피처와 현상 후 이미지(ADI)의 에칭된 관심 피처와 연계된 이웃 피처 간의 상관관계를 얻는 단계; 및obtaining a correlation between the etched feature of interest in the post-etch image (AEI) and neighboring features associated with the etched feature-of-interest in the post-develop image (ADI); and

상관관계에 기초하여, 상관관계가 타겟 범위 내에 유지되도록 에칭 공정과 연계된 에칭 조건을 결정하는 단계를 포함하는 방법.based on the correlation, determining etching conditions associated with the etching process such that the correlation remains within a target range.

37. 36 항에 있어서, 에칭된 피처와 이웃 피처 간의 상관관계를 얻는 단계는 에칭된 피처와 복수의 이웃 피처들 간의 상관관계를 얻는 단계를 포함하는 방법.37. The method of clause 36, wherein obtaining a correlation between the etched feature and a neighboring feature comprises obtaining a correlation between the etched feature and a plurality of neighboring features.

38. 36 항에 있어서, 에칭 조건을 결정하는 단계는:38. The step of clause 36, wherein determining etching conditions comprises:

에칭되는 기판의 위치 -위치는 기판의 중심과 기판의 에지 사이의 반경방향 거리임- ;the position of the substrate being etched, the position being the radial distance between the center of the substrate and the edge of the substrate;

에칭 공정의 에칭 사이클;the etching cycle of the etching process;

에칭 공정에서 사용되는 에칭 챔버;an etching chamber used in the etching process;

에칭 사이클 및 증착 단계의 순서; 또는sequence of etching cycles and deposition steps; or

에칭 챔버와 연계된 에칭 챔버 튜닝 파라미터와 연계된 튜닝 파라미터 -튜닝은 튜닝 파라미터의 변화에 대한 상관관계의 민감도에 기초함- 중 적어도 하나에 의존하는 방법.A method that depends on at least one of a tuning parameter associated with an etch chamber tuning parameter associated with the etch chamber, wherein the tuning is based on a sensitivity of the correlation to a change in the tuning parameter.

39. 38 항에 있어서, 튜닝 파라미터는 복수의 튜닝 파라미터들을 포함하는 방법.39. The method of clause 38, wherein the tuning parameter comprises a plurality of tuning parameters.

40. 36 항 내지 39 항 중 어느 하나에 있어서, 에칭 조건을 결정하는 단계는:40. The step of any of clauses 36-39, wherein determining the etching conditions comprises:

주어진 이미징된 패턴과 연계된 상관관계가 타겟 범위 내에 유지되도록 주어진 에칭 챔버와 연계된 튜닝 파라미터의 값들을 조정하는 단계를 포함하는 방법.A method comprising adjusting values of a tuning parameter associated with a given etch chamber such that a correlation associated with a given imaged pattern is maintained within a target range.

41. 36 항 내지 40 항 중 어느 하나에 있어서, 상관관계를 얻는 단계는:41. The step of any of paragraphs 36-40, wherein obtaining the correlation comprises:

메트롤로지 툴을 통해, (ⅰ) 주어진 위치에서의 이미징된 패턴의 현상 후 이미지(ADI) -이미징된 패턴은 관심 피처 및 관심 피처에 인접한 이웃 피처를 포함함- , 및 (ⅱ) 주어진 위치에서의 이미징된 패턴의 에칭 후 이미지(AEI) -AEI는 ADI의 관심 피처에 대응하는 에칭된 관심 피처를 포함함- 를 얻는 단계; 및Via the metrology tool, (i) a post-development image (ADI) of the imaged pattern at a given location, wherein the imaged pattern includes the feature of interest and neighboring features adjacent to the feature of interest, and (ii) at the given location obtaining a post-etched image (AEI) of the imaged pattern of the AEI comprising the etched feature-of-interest corresponding to the feature-of-interest of the ADI; and

ADI 및 AEI를 사용하여, ADI에서의 관심 피처와 연계된 이웃 피처와 에칭된 피처 간의 상관관계를 결정하는 단계를 포함하는 방법.A method comprising determining, using the ADI and the AEI, a correlation between the etched feature and a neighboring feature associated with the feature of interest in the ADI.

42. 트레이닝된 모델에 의해 생성된 예측을 해석하도록 구성되는 해석 모델을 개발하는 방법으로서,42. A method of developing an interpretation model configured to interpret predictions generated by the trained model, the method comprising:

트레이닝된 모델의 실행을 통해, 데이터 세트를 얻는 단계 -데이터 세트는 현상 후 이미지(ADI)의 복수의 피처들과 연계된 복수의 예측들을 포함하고, ADI는 관심 피처를 포함하며, 복수의 예측들의 각 예측은 트레이닝된 모델에 의해 수행됨- ;obtaining, through execution of the trained model, a data set, the data set comprising a plurality of predictions associated with a plurality of features of the post-development image (ADI), the ADI comprising a feature of interest, and Each prediction is performed by a trained model- ;

복수의 피처들의 각 위치와 관심 피처 사이의 거리들을 결정하는 단계;determining distances between each location of the plurality of features and the feature of interest;

거리들에 기초하여, 복수의 예측들의 각 예측에 가중치들을 할당하는 단계; 및assigning weights to each prediction of the plurality of predictions based on the distances; and

가중된 예측들에 기초하여, 해석 모델의 출력과 가중된 예측들 간의 차이가 감소되도록 해석 모델의 모델 파라미터 값들을 결정하는 단계를 포함하는 방법.based on the weighted predictions, determining model parameter values of the interpretation model such that a difference between the weighted predictions and an output of the interpretation model is reduced.

43. 42 항에 있어서, 복수의 예측들을 얻는 단계는:43. The method of 42, wherein obtaining the plurality of predictions comprises:

ADI에서의 복수의 피처들의 각 피처의 특성을 예측하기 위해 트레이닝된 모델을 실행하는 단계를 포함하고, 특성은 복수의 피처들의 결함 있음을 나타내는 방법.A method, comprising: running a trained model to predict a characteristic of each feature of a plurality of features in ADI, wherein the characteristic is indicative of a defect in the plurality of features.

44. 43 항에 있어서, ADI에서의 주어진 피처의 결함 있음은 주어진 피처가 에칭 후에 결함이 있을 확률을 나타내는 방법.44. The method of clause 43, wherein the defectiveness of a given feature in the ADI indicates the probability that the given feature will be defective after etching.

45. 42 항 내지 44 항 중 어느 하나에 있어서, 예측은 ADI에서의 관심 피처가 에칭 후 결함 없이 프린트될지 결함으로 프린트될지 여부인 방법.45. The method of any of clauses 42-44, wherein the prediction is whether the feature of interest in the ADI will print defect-free or defect-free after etching.

46. 42 항 내지 45 항 중 어느 하나에 있어서, 각 예측에 가중치들을 할당하는 단계는: 연계된 거리가 상대적으로 작은 경우에 복수의 예측들의 예측에 상대적으로 더 높은 가중치를 할당하는 단계를 포함하는 방법.46. The method of any of clauses 42-45, wherein assigning weights to each prediction comprises: assigning a relatively higher weight to the prediction of the plurality of predictions when the associated distance is relatively small. Way.

47. 42 항 내지 46 항 중 어느 하나에 있어서, 해석 모델의 모델 파라미터 값들을 결정하는 단계는:47. The method according to any one of clauses 42 to 46, wherein determining model parameter values of the analytical model comprises:

초기 모델 파라미터 값들 및 가중된 예측들을 얻는 단계;obtaining initial model parameter values and weighted predictions;

초기 출력을 생성하기 위해 초기 모델 파라미터 값들을 사용하여 해석 모델을 실행하는 단계;running the analytical model using the initial model parameter values to produce an initial output;

가중된 예측들과 초기 출력 간의 차이를 결정하는 단계; 및determining a difference between the weighted predictions and the initial output; and

차이에 기초하여, 차이가 최소화되도록 초기 모델 파라미터 값들을 조정하는 단계를 포함하는 피팅 프로세스인 방법.A method, which is a fitting process comprising, based on the difference, adjusting initial model parameter values such that the difference is minimized.

48. 42 항 내지 47 항 중 어느 하나에 있어서, 해석 모델은 입력으로서 관심 피처를 포함하는 ADI를 수신하고 출력으로서 해석 맵을 생성하며, 해석 맵은 관심 피처와 연계된 예측에 대한 관심 피처 부근의 기여들을 나타내는 방법.48. The interpretive model of any of clauses 42-47, wherein the interpretive model receives an ADI comprising the feature of interest as an input and generates an interpretive map as an output, the interpretive map comprising the vicinity of the feature of interest for prediction associated with the feature of interest. How to represent contributions.

49. 42 항 내지 48 항 중 어느 하나에 있어서, 해석 맵은 픽셀화된 이미지이고, 모델 파라미터 값들은 픽셀화된 이미지의 각 픽셀에 할당된 가중치들인 방법.49. The method according to any one of clauses 42 to 48, wherein the interpretation map is a pixelated image and the model parameter values are weights assigned to each pixel of the pixelated image.

50. 42 항 내지 49 항 중 어느 하나에 있어서, 해석 맵은 각 픽셀에 0 또는 1의 값이 할당되는 이진 맵인 방법.50. A method according to any of clauses 42 to 49, wherein the interpretation map is a binary map in which each pixel is assigned a value of 0 or 1.

51. 42 항 내지 50 항 중 어느 하나에 있어서, 이진 맵은 임계값을 넘는 픽셀 값에 기초하여 각 픽셀에 0 또는 1의 값을 할당함으로써 생성되며, 여기서 0은 관심 피처가 에칭 후 결함으로 프린트될 것을 나타내고, 1은 관심 피처가 에칭 후 결함 없이 프린트될 것을 나타내는 방법.51. The binary map of any of clauses 42-50, wherein the binary map is created by assigning a value of 0 or 1 to each pixel based on a pixel value exceeding a threshold, where 0 is the feature of interest printed as a post-etch defect. method, where 1 indicates that the feature of interest will be printed without defects after etching.

52. 42 항 내지 51 항 중 어느 하나에 있어서, 해석 맵은 모델 파라미터 값들에 기초하여 특정 컬러가 할당되는 컬러 이미지인 방법.52. The method according to any of clauses 42 to 51, wherein the interpretation map is a color image to which a particular color is assigned based on model parameter values.

53. 42 항 내지 52 항 중 어느 하나에 있어서, 해석 모델은 ADI의 관심 피처와 연계된 선형 모델인 방법.53. The method of any of clauses 42-52, wherein the analytical model is a linear model associated with the feature of interest in the ADI.

54. 42 항 내지 53 항 중 어느 하나에 있어서, 선형 모델은 최소 제곱 오차를 채택하는 선형 회귀를 사용하여 복수의 예측들에 피팅되는 방법.54. The method according to any of clauses 42 to 53, wherein the linear model is fitted to the plurality of predictions using linear regression employing least squares error.

55. 트레이닝된 모델에 의해 생성된 예측에 대한 현상 후 이미지의 픽셀들의 기여들을 식별하는 방법으로서,55. A method of identifying the contributions of pixels of an image after development to a prediction generated by a trained model, comprising:

(ⅰ) 메트롤로지 툴을 사용한 관심 피처를 포함하는 현상 후 이미지(ADI), 및 (ⅱ) 관심 피처와 관련된 예측을 해석하도록 구성되는 해석 모델을 얻는 단계 -예측은 트레이닝된 모델을 통해 생성됨- ; 및(i) using a metrology tool to obtain a post-development image (ADI) comprising the feature of interest, and (ii) an interpretive model configured to interpret the prediction associated with the feature of interest, the prediction being generated via the trained model. ; and

해석 모델을 ADI 이미지에 적용하여 해석 맵을 생성하는 단계 -해석 맵은 관심 피처의 예측에 대한 ADI 이미지의 각 픽셀의 기여들을 정량화하는 픽셀 값들을 포함함- 를 포함하는 방법.A method comprising: applying an interpretive model to the ADI image to generate an interpretive map, the interpretive map comprising pixel values that quantify contributions of each pixel of the ADI image to a prediction of a feature of interest.

56. 55 항에 있어서, 해석 모델은 ADI의 관심 피처와 연계된 선형 모델인 방법.56. The method of 55, wherein the analytical model is a linear model associated with the feature of interest of the ADI.

57. 55 항 또는 56 항에 있어서, 해석 맵은 각 픽셀에 0 또는 1의 값이 할당되는 이진 맵인 방법.57. A method according to clauses 55 or 56, wherein the interpretation map is a binary map in which each pixel is assigned a value of 0 or 1.

58. 55 항 내지 57 항 중 어느 하나에 있어서, 예측은 관심 피처의 결함 있음이고, 예측은 트레이닝된 모델을 통해 수행되는 방법.58. The method according to any one of clauses 55 to 57, wherein the prediction is defective of the feature of interest, and wherein the prediction is performed via a trained model.

59. 55 항 내지 58 항 중 어느 하나에 있어서, 해석 맵은 각 픽셀에 0 또는 1의 값이 할당되는 이진 맵인 방법.59. A method according to any of clauses 55 to 58, wherein the interpretation map is a binary map in which each pixel is assigned a value of 0 or 1.

60. 현상 후 이미지에서 피처들의 실패율들을 결정하기 위한 모델을 개발하는 방법으로서,60. A method for developing a model for determining failure rates of features in a post-development image, comprising:

기판의 현상 후 이미지(ADI)를 얻는 단계 -ADI는 복수의 피처들을 포함함- ;obtaining a post-developed image (ADI) of the substrate, the ADI comprising a plurality of features;

ADI의 피처들의 서브세트와 관련된 물리적 특성 값들에 기초하여 모델의 제 1 부분을 생성하는 단계; 및generating a first portion of the model based on physical property values associated with the subset of features of the ADI; and

모델의 제 1 부분 및 ADI의 복수의 피처들의 모든 피처들과 관련된 물리적 특성 값들에 기초하여 모델의 제 2 부분을 생성하는 단계를 포함하고, ADI의 피처들의 서브세트는 ADI의 다른 피처들과 구별되는 방법.generating a second portion of the model based on the first portion of the model and physical property values associated with all features of the plurality of features of the ADI, wherein the subset of the features of the ADI is distinct from other features of the ADI how to be

61. 60 항에 있어서, 모델의 제 1 부분 및 모델의 제 2 부분의 생성은 모델의 로그-우도 메트릭을 최대화함으로써 각각 제 1 확률 분포 함수 및 제 2 확률 분포 함수의 피팅을 포함하는 방법.61. The method of 60, wherein generating the first portion of the model and the second portion of the model comprises fitting the first probability distribution function and the second probability distribution function, respectively, by maximizing a log-likelihood metric of the model.

62. 61 항에 있어서, 모델은 (ⅰ) 실패-아닌 홀들에 대한 물리적 특성 값들(예를 들어, CD)의 분포를 추산하도록 구성되는 제 1 확률 분포 함수, 및 (ⅱ) ADI의 모든 복수의 피처들의 물리적 특성 값들에 기초하여 실패율들을 결정하도록 구성되는 제 2 확률 분포 함수의 조합인 방법.62. The clause of clause 61, wherein the model is (i) a first probability distribution function configured to estimate a distribution of physical property values (eg, CD) for non-failure holes, and (ii) all plurality of ADI's A method, wherein the method is a combination of a second probability distribution function configured to determine failure rates based on physical property values of the features.

63. 61 항에 있어서, 모델은 제 1 확률 분포 함수 및 제 2 확률 분포 함수의 가중 합인 방법.63. The method of clause 61, wherein the model is a weighted sum of the first probability distribution function and the second probability distribution function.

64. 61 항에 있어서, 모델의 생성은:64. The method of 61, wherein the generation of the model comprises:

제 1 확률 분포 함수와 관련된 제 1 로그-우도 메트릭을 최대화함으로써 피처들의 서브세트의 물리적 특성 값들의 제곱에 기초하여 제 1 확률 분포 함수를 피팅하는 단계 -피처들의 서브세트는 물리적 특성 임계값 이상의 물리적 특성 값들을 가짐- ;fitting a first probability distribution function based on squares of physical property values of a subset of features by maximizing a first log-likelihood metric associated with the first probability distribution function, wherein the subset of features has a physical property threshold above a physical property threshold. have property values- ;

피팅된 제 1 확률 분포 함수 및 제 2 확률 분포 함수를 조합하는 단계; 및combining the fitted first probability distribution function and the second probability distribution function; and

조합된 분포에 기초하여, 조합된 분포와 관련된 제 2 로그-우도 메트릭이 최대화되도록 복수의 피처들의 모든 피처들의 물리적 특성 값들에 기초하여 제 2 확률 분포 함수 및 이와 연계된 상대 가중치를 피팅하는 단계를 포함하는 방법.fitting, based on the combined distribution, a second probability distribution function and a relative weight associated therewith, based on the physical property values of all features of the plurality of features, such that a second log-likelihood metric associated with the combined distribution is maximized; How to include.

65. 61 항에 있어서, 제 1 확률 분포 함수의 피팅은:65. The fitting of the first probability distribution function of clause 61 is:

(a) 제 1 확률 분포 함수의 파라미터들의 주어진 값들을 사용하여 제 1 로그-우도 메트릭을 결정하는 단계;(a) determining a first log-likelihood metric using given values of parameters of the first probability distribution function;

(b) 제 1 로그-우도 메트릭이 최대화되는지 여부를 결정하는 단계; 및(b) determining whether the first log-likelihood metric is maximized; and

(c) 최대화되지 않음에 응답하여, 기울기에 기초하여, 제 1 확률 분포 함수의 파라미터들의 값들을 조정하는 단계, 및 단계들 (a) 내지 (c)를 수행하는 단계를 포함하는 반복 프로세스이며,(c) in response to not being maximized, adjusting values of parameters of the first probability distribution function based on the slope, and performing steps (a) to (c),

기울기는 제 1 확률 분포 함수의 파라미터들에 대한 제 1 로그-우도 메트릭의 1차 미분인 방법.wherein the slope is the first derivative of the first log-likelihood metric with respect to the parameters of the first probability distribution function.

66. 61 항에 있어서, 제 2 확률 분포 함수의 피팅은:66. The fitting of the second probability distribution function of clause 61 is:

제 2 로그-우도 메트릭의 최대화에 기초하여, 제 1 확률 분포 함수의 파라미터들의 값들을 수정하지 않고 제 2 확률 분포 함수의 파라미터들의 값들 및 그 가중치를 결정하는 단계를 포함하는 방법.and determining, based on the maximization of the second log-likelihood metric, values of parameters of a second probability distribution function and a weight thereof without modifying values of parameters of the first probability distribution function.

67. 61 항에 있어서, 제 2 확률 분포 함수의 피팅은:67. The fitting of the second probability distribution function of clause 61 is:

(a) 피팅된 제 1 확률 분포 함수 및 제 2 확률 분포 함수의 조합된 분포를 얻는 단계;(a) obtaining a combined distribution of a fitted first probability distribution function and a second probability distribution function;

(b) 조합된 분포에 기초하고 피팅된 제 1 분포의 파라미터들의 값들을 고정된 채로 유지하여, 제 2 확률 분포 함수의 파라미터들의 주어진 값들을 사용하여 제 2 로그-우도 메트릭을 결정하는 단계;(b) determining a second log-likelihood metric using given values of parameters of a second probability distribution function based on the combined distribution and holding values of parameters of the fitted first distribution fixed;

(c) 제 2 로그-우도 메트릭이 최대화되는지 여부를 결정하는 단계; 및(c) determining whether a second log-likelihood metric is maximized; and

(d) 최대화되지 않음에 응답하여, 기울기에 기초하여, 제 2 확률 분포 함수의 파라미터들의 값들을 조정하는 단계, 및 단계들 (b) 내지 (d)를 수행하는 단계를 포함하는 반복 프로세스이며,(d) in response to not being maximized, adjusting values of parameters of the second probability distribution function based on the slope, and performing steps (b) to (d),

기울기는 제 2 확률 분포 함수의 파라미터들에 대한 제 2 로그-우도 메트릭의 1차 미분인 방법.wherein the slope is the first derivative of the second log-likelihood metric with respect to the parameters of the second probability distribution function.

68. 61 항 내지 67 항 중 어느 하나에 있어서, 제 1 확률 분포 함수는 물리적 특성과 관련된 절단 값, 정규 분포의 시프트를 설명하는 제 1 위치 파라미터 및 정규 분포의 산포를 설명하는 제 1 스케일 파라미터를 특징으로 하는 정규 분포인 방법.68. The method of any one of clauses 61-67, wherein the first probability distribution function comprises a truncated value associated with the physical property, a first position parameter describing the shift of the normal distribution, and a first scale parameter describing the dispersion of the normal distribution. A method that is characterized by a normal distribution.

69. 61 항 내지 68 항 중 어느 하나에 있어서, 제 2 확률 분포 함수는 GEV 분포에서의 시프트를 설명하는 제 2 위치 파라미터(μ), GEV 분포의 산포를 설명하는 제 2 스케일 파라미터(σ), 및 GEV 분포의 형상을 설명하는 형상 파라미터(ξ)를 특징으로 하는 일반화된 극단값(GEV) 분포인 방법.69. The second probability distribution function according to any one of clauses 61 to 68, wherein the second probability distribution function comprises a second position parameter (μ) describing a shift in the GEV distribution, a second scale parameter (σ) describing the spread of the GEV distribution; and a generalized extreme value (GEV) distribution characterized by a shape parameter (ξ) that describes the shape of the GEV distribution.

70. 61 항 내지 69 항 중 어느 하나에 있어서,70. The method according to any one of items 61 to 69,

패터닝 장치를 통해, 또 다른 기판 상에 또 다른 복수의 피처들을 포함하는 원하는 패턴을 이미징하는 단계;imaging, via the patterning device, a desired pattern comprising another plurality of features on another substrate;

이미징된 패턴의 현상 후 이미지를 얻는 단계;obtaining an image after development of the imaged pattern;

에칭 후 결함이 있는 것으로 ADI 내의 피처들의 일부를 분류하기 위해 현상 후 이미지를 사용하여 제 1 및 제 2 확률 분포 함수들을 실행하는 단계; 및executing first and second probability distribution functions using the post-development image to classify some of the features in the ADI as post-etch defective; and

분류된 피처들에 기초하여, 이미징된 패턴이 에칭 후에 실패하지 않도록 에칭 조건을 조정하는 단계를 더 포함하는 방법.based on the classified features, adjusting etching conditions so that the imaged pattern does not fail after etching.

71. 61 항 내지 70 항 중 어느 하나에 있어서, 복수의 피처들은 복수의 홀들, 복수의 라인들, 복수의 필라들, 또는 이들의 조합을 포함하는 방법.71. The method of any of clauses 61-70, wherein the plurality of features comprises a plurality of holes, a plurality of lines, a plurality of pillars, or a combination thereof.

72. 70 항 또는 71 항에 있어서, 에칭 후에 결함 있는 것으로 분류되는 ADI의 피처들의 일부는:72. A portion of the features of the ADI classified as defective after etching of 70 or 71 are:

홀의 현상을 차단하는 레지스트로 인해 에칭 후 폐쇄된 홀;a hole closed after etching due to a resist blocking the development of the hole;

에칭 후 병합된 홀;merged holes after etching;

복수의 라인들 중 한 라인의 네킹 중 적어도 하나를 포함하는 방법.A method comprising at least one of necking of one of the plurality of lines.

73. 60 항 내지 72 항 중 어느 하나에 있어서,73. The method according to any one of 60 to 72,

에칭 후 ADI 피처들의 실패율을 감소시키도록 리소그래피 공정을 튜닝하는 단계 -튜닝은 도즈, 포커스, 또는 둘 모두를 조정하는 것을 포함함- ;tuning the lithography process to reduce the failure rate of ADI features after etching, wherein the tuning includes adjusting dose, focus, or both;

에칭 후 ADI 피처들의 실패율을 감소시키기 위해 레지스트 층에 대한 추가 필터링 단계가 수행되어야 하는지 여부를 결정하는 단계;determining whether an additional filtering step should be performed on the resist layer to reduce the failure rate of the ADI features after etching;

에칭 후 ADI 피처들의 실패율을 감소시키기 위해 추가 디스큠 또는 펀치-스루 단계가 수행되어야 하는지 여부를 결정하는 단계;determining whether an additional dishing or punch-through step should be performed to reduce the failure rate of the ADI features after etching;

대량 제조 동안, 리소그래피 장치가 프린팅의 지정된 기준을 만족하는지 여부를 결정하기 위해 ADI 피처들을 검사하는 단계; 또는during mass manufacturing, inspecting the ADI features to determine whether the lithographic apparatus meets specified criteria for printing; or

실패율에 기초하여, 에칭 전에 소정 기판 또는 기판의 로트를 재작업하는 단계를 더 포함하는 방법.based on the failure rate, reworking the predetermined substrate or lot of substrates prior to etching.

74. 60 항 내지 73 항 중 어느 하나에 있어서, ADI는 메트롤로지 툴을 통해 또는 프린트된 기판의 이미지들을 저장하는 데이터베이스로부터 얻어진 프린트된 기판의 이미지인 방법.74. The method of any one of 60-73, wherein the ADI is an image of the printed substrate obtained through a metrology tool or from a database storing images of the printed substrate.

75. 60 항 내지 74 항 중 어느 하나에 있어서, 물리적 특성은 피처의 임계 치수(CD)이고, 물리적 특성 임계값은 CD 임계값인 방법.75. The method of any of clauses 60-74, wherein the physical property is a critical dimension (CD) of the feature and the physical property threshold is a CD threshold.

76. 60 항 내지 74 항 중 어느 하나에 있어서, 물리적 특성은:76. The physical property of any one of items 60-74, wherein:

피처의 CD들의 기하학적 평균 -CD들은 ADI에서 제 1 방향 또는 제 2 방향을 따라 측정됨- ;geometric mean of the CDs of the feature, the CDs being measured along the first direction or the second direction in the ADI;

ADI 내의 관심 피처의 방향성 CD;directional CD of the feature of interest within the ADI;

ADI 내의 관심 피처의 곡률 분산; 또는variance of curvature of features of interest within ADI; or

각각의 관심 피처에 대한 다수 메트롤로지 툴 임계치들에서 얻어진 CD 중 적어도 하나인 방법.at least one of a CD obtained from multiple metrology tool thresholds for each feature of interest.

77. 76 항에 있어서, 방향성 CD는:77. The method of 76, wherein the directional CD is:

x-방향을 따라 측정된 CD;CD measured along the x-direction;

y-방향을 따라 측정된 CD; 또는CD measured along the y-direction; or

원하는 각도를 따라 측정된 CD 중 적어도 하나인 방법.at least one of the CDs measured along the desired angle.

78. 60 항 내지 77 항 중 어느 하나에 있어서,78. The method according to any one of items 60 to 77,

모델로부터, 실패-아닌 홀들과 연계된 통계적 특성들을 추출하는 단계; 및extracting, from the model, statistical properties associated with non-failure holes; and

통계적 특성들에 기초하여, 패터닝 공정의 공정 윈도우를 결정하는 단계를 더 포함하는 방법.The method further comprising determining a process window of the patterning process based on the statistical characteristics.

79. 에칭 후에 실패할 피처들의 분율을 결정하는 시스템으로서,79. A system for determining a fraction of features that will fail after etching, comprising:

주어진 위치에서 기판의 현상 후 이미지(ADI)를 캡처하는 메트롤로지 툴 -현상 후 이미지는 복수의 피처들을 포함함- ; 및a metrology tool that captures a post-developed image (ADI) of the substrate at a given location, the post-developed image comprising a plurality of features; and

에칭 후에 실패할 ADI의 복수의 피처들의 실패율들을 결정하기 위한 모델을 실행하도록 구성되는 프로세서를 포함하고,a processor configured to run a model for determining failure rates of a plurality of features of the ADI that will fail after etching;

모델은 (ⅰ) 실패-아닌 홀들에 대한 물리적 특성 값들의 분포를 추산하도록 구성되는 제 1 확률 분포 함수, 및 (ⅱ) ADI의 복수의 피처들 모두의 물리적 특성 값들에 기초하여 실패율들을 결정하도록 구성되는 제 2 확률 분포 함수의 조합인 시스템.The model is configured to determine failure rates based on (i) a first probability distribution function configured to estimate a distribution of physical property values for non-failure holes, and (ii) physical property values of all of the plurality of features of the ADI. A system that is a combination of a second probability distribution function that is

80. 79 항에 있어서, 기판 상에 복수의 피처들을 포함하는 원하는 패턴을 이미징하도록 구성되는 패터닝 장치를 더 포함하고,80. The apparatus of clause 79, further comprising a patterning device configured to image a desired pattern comprising a plurality of features on the substrate;

프로세서는:The processor is:

메트롤로지 툴을 통해, 이미징된 기판의 ADI를 수신하고;receive, via the metrology tool, the ADI of the imaged substrate;

제 1 확률 분포 및 제 2 확률 분포를 실행하여 ADI의 피처들의 실패율들을 결정하며;performing the first probability distribution and the second probability distribution to determine failure rates of features of the ADI;

상대적으로 더 높은 실패율들을 갖는 피처들에 기초하여, 피처들의 실패율들을 감소시키도록 패터닝 장치를 튜닝하도록 구성되는 시스템.A system configured to tune the patterning apparatus to reduce failure rates of features based on features having relatively higher failure rates.

81. 80 항에 있어서, 프로세서는 패터닝 장치의 노브/설정을 통해 도즈 또는 포커스를 튜닝하도록 구성되는 시스템.81. The system of 80, wherein the processor is configured to tune the dose or focus via a knob/setting of the patterning device.

82. 81 항에 있어서, 프로세서는:82. The processor of clause 81, further comprising:

에칭 후에 ADI 피처들의 실패율을 감소시키기 위해 레지스트 층에 대한 추가 필터링 단계가 수행되어야 하는지 여부를 결정하거나;determine whether an additional filtering step should be performed on the resist layer to reduce the failure rate of the ADI features after etching;

에칭 후 ADI 피처들의 실패율을 감소시키기 위해 추가 디스큠 또는 펀치-스루 단계가 수행되어야 하는지 여부를 결정하거나; 또는to determine whether an additional dishing or punch-through step should be performed to reduce the failure rate of the ADI features after etching; or

대량 제조 동안, 리소그래피 장치가 프린팅의 지정된 기준을 만족하는지 여부를 결정하기 위해 ADI 피처들을 검사하도록 더 구성되는 시스템.A system further configured to inspect the ADI features during mass manufacturing to determine whether the lithographic apparatus meets specified criteria for printing.

83. 79 항 내지 82 항 중 어느 하나에 있어서, 메트롤로지 툴은 스캐닝 전자 현미경(SEM)을 포함하고, SEM은 다음 물리적 특성:83. The method of any one of clauses 79-82, wherein the metrology tool comprises a scanning electron microscope (SEM), the SEM having the following physical properties:

ADI에서의 관심 피처의 복수의 인스턴스들의 평균 CD;an average CD of a plurality of instances of a feature of interest in ADI;

ADI에서의 관심 피처의 방향성 CD;directional CD of the feature of interest in ADI;

ADI에서의 관심 피처의 곡률 분산; 또는Curvature variance of the feature of interest in ADI; or

각각의 관심 피처에 대한 다수 메트롤로지 툴 임계치들에서 얻어진 CD 중 적어도 하나를 측정하도록 구성되는 시스템.A system configured to measure at least one of the CD obtained at multiple metrology tool thresholds for each feature of interest.

84. 비-일시적 컴퓨터 판독가능한 매체로서,84. A non-transitory computer readable medium comprising:

1 이상의 프로세서에 의해 실행될 때,When executed by more than one processor,

기판의 현상 후 이미지(ADI)를 얻는 것 -ADI는 복수의 피처들을 포함함- ;obtaining an image (ADI) after development of the substrate, the ADI comprising a plurality of features;

ADI의 피처들의 서브세트와 관련된 물리적 특성 값들에 기초하여 모델의 제 1 부분을 생성하는 것; 및generating a first portion of the model based on physical property values associated with the subset of features of the ADI; and

모델의 제 1 부분 및 ADI의 복수의 피처들의 모든 피처들과 관련된 물리적 특성 값들에 기초하여 모델의 제 2 부분을 생성하는 것을 포함한 작업들을 야기하는 명령어들을 포함하며,instructions that cause tasks including generating a second portion of the model based on physical property values associated with the first portion of the model and all features of the plurality of features of the ADI;

ADI의 피처들의 서브세트는 ADI의 다른 피처들과 구별되는 비-일시적 컴퓨터 판독가능한 매체.A subset of the features of ADI is a non-transitory computer-readable medium that is distinct from other features of the ADI.

85. 84 항에 있어서, 모델은 (ⅰ) 실패-아닌 홀들에 대한 물리적 특성 값들의 분포를 추산하도록 구성되는 제 1 확률 분포 함수, 및 (ⅱ) ADI의 복수의 피처들 모두의 물리적 특성 값들에 기초하여 실패율들을 결정하도록 구성되는 제 2 확률 분포 함수의 조합인 비-일시적 컴퓨터 판독가능한 매체.85. The method of clause 84, wherein the model is based on (i) a first probability distribution function configured to estimate a distribution of physical property values for non-failure holes, and (ii) physical property values of all of the plurality of features of the ADI. A non-transitory computer-readable medium that is a combination of a second probability distribution function configured to determine failure rates based on the second probability distribution function.

86. 85 항에 있어서, 모델은 제 1 확률 분포 함수 및 제 2 확률 분포 함수의 가중 합인 비-일시적 컴퓨터 판독가능한 매체.86. The non-transitory computer-readable medium of clause 85, wherein the model is a weighted sum of a first probability distribution function and a second probability distribution function.

87. 85 항에 있어서, 모델의 생성은:87. The method of 85, wherein the generation of the model comprises:

제 1 확률 분포 함수와 관련된 제 1 로그-우도 메트릭을 최대화함으로써 피처들의 서브세트의 물리적 특성 값들의 제곱에 기초하여 제 1 확률 분포 함수를 피팅하는 단계 -피처들의 서브세트는 물리적 특성 임계값 이상의 물리적 특성 값들을 가짐- ;fitting a first probability distribution function based on squares of physical property values of a subset of features by maximizing a first log-likelihood metric associated with the first probability distribution function, wherein the subset of features has a physical property threshold above a physical property threshold. have property values- ;

피팅된 제 1 확률 분포 함수 및 제 2 확률 분포 함수를 조합하는 단계; 및combining the fitted first probability distribution function and the second probability distribution function; and

조합된 분포에 기초하여, 조합된 분포와 관련된 제 2 로그-우도 메트릭이 최대화되도록 복수의 피처들의 모든 피처들의 물리적 특성 값들에 기초하여 제 2 확률 분포 함수 및 이와 연계된 상대 가중치를 피팅하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.fitting, based on the combined distribution, a second probability distribution function and a relative weight associated therewith, based on the physical property values of all features of the plurality of features, such that a second log-likelihood metric associated with the combined distribution is maximized; A non-transitory computer readable medium comprising

88. 85 항에 있어서, 제 1 확률 분포 함수의 피팅은:88. The fitting of the first probability distribution function according to clause 85, wherein:

(a) 제 1 확률 분포 함수의 파라미터들의 주어진 값들을 사용하여 제 1 로그-우도 메트릭을 결정하는 단계;(a) determining a first log-likelihood metric using given values of parameters of the first probability distribution function;

(b) 제 1 로그-우도 메트릭이 최대화되는지 여부를 결정하는 단계; 및(b) determining whether the first log-likelihood metric is maximized; and

(c) 최대화되지 않음에 응답하여, 기울기에 기초하여, 제 1 확률 분포 함수의 파라미터들의 값들을 조정하는 단계, 및 단계들 (a) 내지 (c)를 수행하는 단계를 포함하는 반복 프로세스이며,(c) in response to not being maximized, adjusting, based on the slope, values of parameters of the first probability distribution function, and performing steps (a) to (c);

기울기는 제 1 확률 분포 함수의 파라미터들에 대한 제 1 로그-우도 메트릭의 1차 미분인 비-일시적 컴퓨터 판독가능한 매체.wherein the slope is the first derivative of a first log-likelihood metric with respect to the parameters of a first probability distribution function.

89. 85 항에 있어서, 제 2 확률 분포 함수의 피팅은:89. The fitting of the second probability distribution function according to clause 85, wherein:

제 2 로그-우도 메트릭의 최대화에 기초하여, 제 1 확률 분포 함수의 파라미터들의 값들을 수정하지 않고 제 2 확률 분포 함수의 파라미터들의 값들 및 그 가중치를 결정하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.determining, based on the maximization of the second log-likelihood metric, values of parameters of a second probability distribution function and their weights without modifying values of parameters of the first probability distribution function; media.

90. 85 항에 있어서, 제 2 확률 분포 함수의 피팅은:90. The fitting of the second probability distribution function of clause 85 is:

(a) 피팅된 제 1 확률 분포 함수 및 제 2 확률 분포 함수의 조합된 분포를 얻는 단계;(a) obtaining a combined distribution of a fitted first probability distribution function and a second probability distribution function;

(b) 조합된 분포에 기초하고 피팅된 제 1 분포의 파라미터들의 값들을 고정된 채로 유지하여, 제 2 확률 분포 함수의 파라미터들의 주어진 값들을 사용하여 제 2 로그-우도 메트릭을 결정하는 단계;(b) determining a second log-likelihood metric using given values of parameters of a second probability distribution function based on the combined distribution and holding values of parameters of the fitted first distribution fixed;

(c) 제 2 로그-우도 메트릭이 최대화되는지 여부를 결정하는 단계; 및(c) determining whether a second log-likelihood metric is maximized; and

(d) 최대화되지 않음에 응답하여, 기울기에 기초하여, 제 2 확률 분포 함수의 파라미터들의 값들을 조정하는 단계, 및 단계들 (b) 내지 (d)를 수행하는 단계를 포함하는 반복 프로세스이며,(d) in response to not being maximized, adjusting values of parameters of the second probability distribution function based on the slope, and performing steps (b) to (d),

기울기는 제 2 확률 분포 함수의 파라미터들에 대한 제 2 로그-우도 메트릭의 1차 미분인 비-일시적 컴퓨터 판독가능한 매체.wherein the slope is the first derivative of a second log-likelihood metric with respect to the parameters of a second probability distribution function.

91. 85 항 내지 90 항 중 어느 하나에 있어서, 제 1 확률 분포 함수는 물리적 특성과 관련된 절단 값, 정규 분포의 시프트를 설명하는 제 1 위치 파라미터 및 정규 분포의 산포를 설명하는 제 1 스케일 파라미터를 특징으로 하는 정규 분포인 비-일시적 컴퓨터 판독가능한 매체.91. The method of any one of 85-90, wherein the first probability distribution function comprises a truncated value associated with the physical property, a first position parameter describing the shift of the normal distribution, and a first scale parameter describing the dispersion of the normal distribution. A non-transitory computer readable medium characterized by a normal distribution.

92. 85 항 내지 91 항 중 어느 하나에 있어서, 제 2 확률 분포 함수는 GEV 분포에서의 시프트를 설명하는 제 2 위치 파라미터(μ), GEV 분포의 산포를 설명하는 제 2 스케일 파라미터(σ), 및 GEV 분포의 형상을 설명하는 형상 파라미터(ξ)를 특징으로 하는 일반화된 극단값(GEV) 분포인 비-일시적 컴퓨터 판독가능한 매체.92. The method according to any one of clauses 85 to 91, wherein the second probability distribution function comprises a second position parameter (μ) describing the shift in the GEV distribution, a second scale parameter (σ) describing the spread of the GEV distribution; and a generalized extreme value (GEV) distribution characterized by a shape parameter (ξ) that describes the shape of the GEV distribution.

93. 85 항 내지 92 항 중 어느 하나에 있어서,93. The method according to any one of 85 to 92,

패터닝 장치를 통해, 기판 상에 복수의 피처들을 포함하는 원하는 패턴을 이미징하는 것;imaging, via the patterning apparatus, a desired pattern comprising a plurality of features on a substrate;

이미징된 패턴의 현상 후 이미지를 얻는 것;obtaining an image after development of the imaged pattern;

에칭 후 결함이 있는 것으로 ADI 내의 피처들의 일부를 분류하기 위해 현상 후 이미지를 사용하여 제 1 및 제 2 확률 분포 함수들을 실행하는 것; 및executing first and second probability distribution functions using the post-development image to classify some of the features in the ADI as post-etch defective; and

분류된 피처들에 기초하여, 이미징된 패턴이 에칭 후에 실패하지 않도록 에칭 조건을 조정하는 것을 포함하는 작업들을 더 야기하는 비-일시적 컴퓨터 판독가능한 매체.Based on the classified features, the non-transitory computer-readable medium further causing tasks comprising adjusting etching conditions such that the imaged pattern does not fail after etching.

94. 84 항 내지 93 항 중 어느 하나에 있어서,94. The method according to any one of items 84 to 93,

에칭 후 ADI 피처들의 실패율을 감소시키도록 리소그래피 공정을 튜닝하는 것 -튜닝은 도즈, 포커스, 또는 둘 모두를 조정하는 것을 포함함- ;tuning the lithography process to reduce the failure rate of ADI features after etching, where tuning includes adjusting dose, focus, or both;

에칭 후 ADI 피처들의 실패율을 감소시키기 위해 레지스트 층에 대한 추가 필터링 단계가 수행되어야 하는지 여부를 결정하는 것;determining whether an additional filtering step should be performed on the resist layer to reduce the failure rate of the ADI features after etching;

에칭 후 ADI 피처들의 실패율을 감소시키기 위해 추가 디스큠 또는 펀치-스루 단계가 수행되어야 하는지 여부를 결정하는 것; 또는determining whether an additional dishing or punch-through step should be performed to reduce the failure rate of the ADI features after etching; or

대량 제조 동안, 리소그래피 장치가 프린팅의 지정된 기준을 만족하는지 여부를 결정하기 위해 ADI 피처들을 검사하는 것을 포함하는 작업들을 더 야기하는 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer readable medium that further causes operations including, during mass manufacturing, examining ADI features to determine whether a lithographic apparatus meets specified criteria for printing.

95. 83 항 내지 93 항 중 어느 하나에 있어서, 물리적 특성은 피처의 임계 치수(CD)이고, 물리적 특성 임계값은 CD 임계값인 비-일시적 컴퓨터 판독가능한 매체.95. The non-transitory computer-readable medium of any one of clauses 83-93, wherein the physical property is a critical dimension (CD) of the feature, and wherein the physical property threshold is a CD threshold.

96. 현상 후 이미지(ADI)에서 피처의 결함 속성을 결정하는 방법으로서,96. A method for determining a defect attribute of a feature in an image after development (ADI), comprising:

ADI 피처의 제 1 이미지를 생성하기 위해 하전 입자 빔에 ADI 피처를 노광하는 단계 -ADI 피처는 레지스트 재료 내의 구조체임- ;exposing the ADI feature to a beam of charged particles to produce a first image of the ADI feature, wherein the ADI feature is a structure in a resist material;

ADI 피처의 제 2 이미지를 생성하기 위해 하전 입자 빔에 ADI 피처를 재-노광하는 단계; 및re-exposing the ADI feature to the charged particle beam to produce a second image of the ADI feature; and

제 1 이미지 및 제 2 이미지로부터 도출된 데이터에 기초하여, ADI 피처의 결함 속성을 결정하는 단계를 포함하는 방법.A method comprising determining, based on data derived from the first image and the second image, a defect attribute of the ADI feature.

97. 96 항에 있어서, 결함 속성을 결정하는 단계는:97. The step of clause 96, wherein determining the defect attribute comprises:

제 1 이미지로부터의 제 1 특성 및 제 2 이미지로부터의 제 2 특성을 추출하는 단계;extracting a first feature from the first image and a second feature from the second image;

제 1 특성과 제 2 특성 간의 차이에 기초하여, 결함 메트릭이 위반되는지 여부를 결정하는 단계; 및determining whether a defect metric is violated based on a difference between the first characteristic and the second characteristic; and

결함 메트릭이 위반됨에 응답하여, ADI 피처를 결함 있는 것으로 분류하는 단계를 포함하는 방법.in response to the defect metric being violated, classifying the ADI feature as defective.

98. 97 항에 있어서, 도출된 데이터는 임계 치수 또는 픽셀 세기를 포함하는 물리적 특성인 방법.98. The method of 97, wherein the derived data is a physical property comprising a critical dimension or pixel intensity.

99. 98 항에 있어서, 결함 메트릭은 제 1 이미지에서의 ADI 피처의 제 1 물리적 특성 및 제 2 이미지에서의 ADI 피처의 제 2 물리적 특성의 함수인 방법.99. The method of clause 98, wherein the defect metric is a function of a first physical characteristic of the ADI feature in the first image and a second physical characteristic of the ADI feature in the second image.

100. 97 항 내지 99 항 중 어느 하나에 있어서, 결함 메트릭은 다변량 함수, 겹선형 함수, 트레이닝된 기계 학습 모델, 또는 이차 이상의 다항식인 방법.100. The method of any of clauses 97-99, wherein the defect metric is a multivariate function, a bilinear function, a trained machine learning model, or a polynomial at least quadratic.

101. 100 항에 있어서, 트레이닝된 기계 학습 모델은:101. The machine learning model of 100, wherein the trained machine learning model comprises:

(ⅰ) 복수의 이미지 쌍들 -각각의 이미지 쌍은 복수의 ADI 피처들의 제 1 이미지 및 제 2 이미지를 포함함- , 및 (ⅱ) ADI 피처들에 대응하는 기판의 에칭 후 이미지들(AEI)을 포함하는 트레이닝 데이터 세트를 사용하여 기계 학습 모델을 트레이닝함으로써 얻어지는 방법.(i) a plurality of image pairs, each image pair including a first image and a second image of the plurality of ADI features, and (ii) post-etch images (AEI) of the substrate corresponding to the ADI features. A method obtained by training a machine learning model using a training data set comprising

102. 101 항에 있어서, 트레이닝 단계는:102. The step of clause 101, wherein the training step comprises:

(a) 기계 학습 모델의 파라미터들을 조정하여, 모델이 제 1 이미지와 제 2 이미지 간의 비교에 기초하여 주어진 ADI 피처의 결함 속성을 결정하도록 하는 단계;(a) adjusting parameters of the machine learning model such that the model determines a defect attribute of a given ADI feature based on a comparison between the first image and the second image;

(b) 모델 결정된 결함 속성이 주어진 ADI 피처에 대응하는 AEI 피처의 결함 속성의 지정된 범위 내에 있는지 여부를 결정하는 단계; 및(b) determining whether the model determined defect attribute is within a specified range of the defect attribute of the AEI feature corresponding to the given ADI feature; and

(c) 지정된 범위 내에 있지 않음에 응답하여, 단계들 (a) 및 (b)를 수행하는 단계를 포함하는 반복 프로세스인 방법.(c) in response to not being within the specified range, performing steps (a) and (b).

103. 96 항 내지 102 항 중 어느 하나에 있어서, 전자 빔은 스캐닝 전자 현미경(SEM)을 통해 생성되고, 제 1 이미지 및 제 2 이미지는 SEM 이미지들인 방법.103. The method of any of clauses 96-102, wherein the electron beam is generated via a scanning electron microscope (SEM), and wherein the first image and the second image are SEM images.

104. 96 항 내지 103 항 중 어느 하나에 있어서, 결함 속성은 ADI 피처에 결함이 있는지 결함이 없는지 여부, 또는 ADI 피처와 연계된 실패 확률인 방법.104. The method of any of clauses 96-103, wherein the defect attribute is whether the ADI feature is defective or not defective, or a probability of failure associated with the ADI feature.

105. 96 항 내지 104 항 중 어느 하나에 있어서, 제 1 이미지는 제 1 노광으로부터 얻어지는 복수의 프레임들을 포함하고, 제 2 이미지는 ADI 피처의 재-노광으로부터 얻어지는 복수의 프레임들을 포함하는 방법.105. The method of any of clauses 96-104, wherein the first image comprises a plurality of frames obtained from a first exposure and the second image comprises a plurality of frames obtained from a re-exposure of the ADI feature.

106. 105 항에 있어서, 결정하는 단계는:106. The method of 105, wherein determining comprises:

제 1 이미지의 1 이상의 프레임 및 제 2 이미지들의 대응하는 1 이상의 프레임과 연계된 물리적 특성 사이의 차이를 결정하는 단계를 포함하는 방법.A method comprising determining a difference between one or more frames of the first image and a physical property associated with the corresponding one or more frames of second images.

107. 96 항 내지 106 항 중 어느 하나에 있어서, 하전 입자 빔은 전자 빔인 방법.107. A method according to any one of clauses 96 to 106, wherein the charged particle beam is an electron beam.

108. 현상 후 이미지에서 피처들의 실패율들을 결정하기 위한 모델을 개발하는 방법으로서,108. A method for developing a model for determining failure rates of features in a post-developed image, comprising:

메트롤로지 툴을 통해, (ⅰ) 기판의 현상 후 이미지(ADI)와 연계된 제 1 측정 데이터 -ADI는 복수의 피처들을 포함함- , 및 (ⅱ) 동일한 ADI와 연계된 제 2 측정 데이터 -제 2 측정 데이터는 제 1 측정에 후속하여 얻어짐- 를 얻는 단계; 및Via the metrology tool, (i) first measurement data associated with a post-developed image (ADI) of the substrate, the ADI comprising a plurality of features, and (ii) second measurement data associated with the same ADI; second measurement data is obtained subsequent to the first measurement; and

제 1 측정 데이터 및 제 2 측정 데이터에 기초하여, ADI의 피처들의 실패율들을 결정하기 위한 모델을 생성하는 단계를 포함하고, 생성하는 단계는:based on the first measurement data and the second measurement data, generating a model for determining failure rates of features of the ADI, the generating comprising:

모델과 연계된 메트릭이 모델 파라미터들의 초기 값들과 연계된 메트릭에 비해 개선되도록 1 이상의 모델 파라미터의 값들을 조정하는 단계를 포함하는 방법. A method comprising adjusting values of one or more model parameters such that the metric associated with the model improves as compared to the metric associated with initial values of the model parameters.

109. 108 항에 있어서, 모델에 기초하여, 주어진 ADI의 주어진 제 1 측정 및 주어진 ADI의 제 2 측정에 대한 모델들에 의해 예측된 피처들의 실패율들에 기초하여 패터닝 공정의 공정 윈도우를 결정하는 단계를 더 포함하는 방법.109. The method of clause 108, further comprising, based on the model, determining a process window of the patterning process based on failure rates of features predicted by the models for a given first measurement of a given ADI and a second measurement of a given ADI. How to include more.

110. 108 항에 있어서, 모델을 생성하는 단계는:110. The method of 108, wherein generating the model comprises:

제 1 측정 데이터 및 제 2 측정 데이터를 사용하여, 모델의 로그-우도 메트릭을 최대화함으로써 실패율 파라미터와 연계된 제 1 확률 밀도 함수(PDF), 및 실패율 파라미터의 여수와 연계된 제 2 확률 밀도 함수(PDF)를 피팅하는 단계를 포함하는 방법.A first probability density function (PDF) associated with the failure rate parameter by maximizing the log-likelihood metric of the model using the first and second measurement data, and a second probability density function associated with the freeness of the failure rate parameter ( PDF).

111. 110 항에 있어서, 제 1 확률 밀도 함수를 피팅하는 단계는:111. The step of clause 110, wherein fitting the first probability density function comprises:

모델의 로그-우도 메트릭을 최대화함으로써 제 1 PDF 및 제 2 PDF와 연계된 각각의 모델 파라미터의 값들을 결정하는 단계를 포함하는 방법.and determining values of each model parameter associated with the first PDF and the second PDF by maximizing a log-likelihood metric of the model.

112. 111 항에 있어서, 모델은:112. The method of clause 111, wherein the model is:

제 1 물리적 특성 및 제 2 물리적 특성의 조합된 분포, 및 모델 파라미터들의 제 1 세트에 의해 특징지어지는 제 1 PDF -제 1 물리적 특성은 제 1 측정 데이터와 연계되고, 제 2 물리적 특성은 ADI의 제 2 측정 데이터와 연계됨- ; 및a first PDF characterized by a combined distribution of the first and second physical properties, and a first set of model parameters - a first physical property associated with the first measurement data, and wherein the second physical property is of the ADI associated with the second measurement data-; and

제 1 물리적 특성 및 제 2 물리적 특성의 또 다른 조합된 분포, 및 모델 파라미터들의 제 2 세트에 의해 특징지어지는 제 2 PDF를 포함하는 방법.A method comprising: another combined distribution of the first physical property and the second physical property; and a second PDF characterized by a second set of model parameters.

113. 110 항에 있어서, 제 1 PDF는:113. The method of 110, wherein the first PDF is:

다변량 분포의 시프트를 설명하는 제 1 위치 파라미터 및 제 2 위치 파라미터; 및a first positional parameter and a second positional parameter describing the shift of the multivariate distribution; and

다변량 분포의 산포를 설명하는 제 1 스케일 파라미터 및 제 2 스케일 파라미터에 의해 특징지어지는 다변량 분포인 방법.A method which is a multivariate distribution characterized by a first scale parameter and a second scale parameter that describe the spread of the multivariate distribution.

114. 110 항에 있어서, 제 2 PDF는:114. The method of 110, wherein the second PDF is:

GEV 분포의 시프트를 설명하는 제 3 위치 파라미터 및 제 4 위치 파라미터;a third position parameter and a fourth position parameter describing the shift in the GEV distribution;

GEV 분포의 산포를 설명하는 제 3 스케일 파라미터 및 제 4 스케일 파라미터; 및a third scale parameter and a fourth scale parameter describing the spread of the GEV distribution; and

GEV 분포의 형상을 설명하는 형상 파라미터(ξ)에 의해 특징지어지는 일반화된 극단값(GEV) 분포인 방법.A method that is a generalized extreme value (GEV) distribution characterized by a shape parameter (ξ) that describes the shape of the GEV distribution.

115. 108 항 내지 114 항 중 어느 하나에 있어서, 메트롤로지 툴은 스캐닝 전자 현미경(SEM)인 방법.115. The method of any of clauses 108-114, wherein the metrology tool is a scanning electron microscope (SEM).

116. 115 항에 있어서, 제 1 측정 데이터는 ADI의 제 1 SEM 이미지이고, 제 2 측정 데이터는 ADI의 제 2 SEM 이미지인 방법.116. The method of clause 115, wherein the first measurement data is a first SEM image of the ADI and the second measurement data is a second SEM image of the ADI.

117. 116 항에 있어서, 제 1 측정 데이터는 ADI의 제 1 SEM 이미지에서의 피처들의 제 1 물리적 특성 값들을 포함하고, 제 2 측정 데이터는 ADI의 제 2 SEM 이미지에서의 피처들의 제 2 물리적 특성 값들을 포함하는 방법.117. The method of clause 116, wherein the first measurement data comprises first physical property values of features in the first SEM image of the ADI, and the second measurement data comprises second physical property values of the features in the second SEM image of the ADI. How to include values.

118. 117 항에 있어서, 모델을 생성하는 단계는:118. The method of clause 117, wherein generating the model comprises:

ADI의 제 1 SEM 이미지에서의 복수의 피처들의 제 1 물리적 특성 값들에 기초하여 제 1 PDF를 피팅하는 단계; 및fitting a first PDF based on first physical property values of a plurality of features in a first SEM image of the ADI; and

ADI의 제 2 SEM 이미지에서의 복수의 피처들의 제 2 물리적 특성 값들에 기초하여 제 2 PDF를 피팅하는 단계를 포함하고,fitting a second PDF based on second physical property values of the plurality of features in a second SEM image of the ADI;

제 1 PDF 및 제 2 PDF는 둘 다 모델과 관련된 로그-우도 메트릭을 최대화함으로써 동시에 피팅되는 방법.A method wherein the first PDF and the second PDF are both fitted simultaneously by maximizing a log-likelihood metric associated with the model.

119. 118 항에 있어서, 제 1 PDF 및 제 2 PDF의 피팅은:119. The fitting of clause 118, of the first PDF and the second PDF:

(a) 제 1 PDF 및 제 2 PDF의 파라미터들의 주어진 값들을 사용하여 로그-우도 메트릭을 결정하는 단계;(a) determining a log-likelihood metric using given values of parameters of the first PDF and the second PDF;

(b) 로그-우도 메트릭이 최대화되는지 여부를 결정하는 단계;(b) determining whether the log-likelihood metric is maximized;

(c) 최대화되지 않음에 응답하여, 기울기에 기초하여, 제 1 PDF의 모델 파라미터들의 제 1 세트의 값들 및 모델 파라미터들의 제 2 세트의 값들, 및 실패율 파라미터를 조정하는 단계, 및 단계들 (a) 내지 (c)를 수행하는 단계를 포함하는 반복 프로세스이며,(c) in response to not being maximized, adjusting, based on the slope, the values of the first set of model parameters and the values of the second set of model parameters of the first PDF, and the failure rate parameter, and steps (a) ) to (c) is an iterative process comprising the steps of:

기울기는 제 1 모델 파라미터들, 제 2 모델 파라미터들, 및 실패율 파라미터에 대한 로그-우도 메트릭의 1차 미분인 방법.wherein the slope is the first derivative of the log-likelihood metric for the first model parameters, the second model parameters, and the failure rate parameter.

120. 118 항에 있어서, 모델의 피팅은:120. The fitting of clause 118, wherein the fit of the model is:

제 1 PDF 및 제 2 PDF와 연계된 실패율 파라미터의 값이 동일하도록 이루어지는 방법.wherein the values of the failure rate parameter associated with the first PDF and the second PDF are the same.

121. 111 항 내지 120 항 중 어느 하나에 있어서,121. The method according to any one of items 111 to 120,

제 1 측정 데이터 및 제 2 측정 데이터에 기초하여 모델 파라미터들의 제 1 세트 및 모델 파라미터들의 제 2 세트의 1 이상의 모델 파라미터 사이의 관계를 결정하는 단계;determining a relationship between the first set of model parameters and one or more model parameters of the second set of model parameters based on the first measurement data and the second measurement data;

관계에 기초하여, 모델 파라미터들의 제 1 세트 또는 모델 파라미터들의 제 2 세트의 수를 감소시키기 위해 모델 파라미터들의 제 2 세트에 관하여 모델 파라미터들의 제 1 세트를 수정하는 단계; 및modifying the first set of model parameters with respect to the second set of model parameters to reduce a number of the first set of model parameters or the second set of model parameters based on the relationship; and

제 1 측정 데이터 및 제 2 측정 데이터를 사용하여, 수정된 파라미터들에 기초하여 모델을 생성하는 단계를 더 포함하는 방법.using the first measurement data and the second measurement data to generate a model based on the modified parameters.

122. 112 항 내지 121 항 중 어느 하나에 있어서, 물리적 특성은 피처의 임계 치수(CD)인 방법.122. The method of any of clauses 112-121, wherein the physical property is the critical dimension (CD) of the feature.

123. 112 항 내지 122 항 중 어느 하나에 있어서, 물리적 특성은:123. The physical property of any one of clauses 112 to 122, wherein the physical property is:

ADI에서의 관심 피처의 복수의 인스턴스들의 평균 CD;an average CD of a plurality of instances of a feature of interest in ADI;

ADI에서의 관심 피처의 방향성 CD;directional CD of the feature of interest in ADI;

ADI에서의 관심 피처의 곡률 분산; 또는Curvature variance of the feature of interest in ADI; or

각각의 관심 피처에 대한 다수 메트롤로지 툴 임계치들에서 얻어진 CD 중 적어도 하나인 방법.at least one of a CD obtained from multiple metrology tool thresholds for each feature of interest.

124. 123 항에 있어서, 방향성 CD는:124. The method of 123, wherein the directional CD is:

x-방향을 따라 측정된 CD;CD measured along the x-direction;

y-방향을 따라 측정된 CD; 또는CD measured along the y-direction; or

원하는 각도를 따라 측정된 CD 중 적어도 하나인 방법.at least one of the CDs measured along the desired angle.

125. 108 항 내지 124 항 중 어느 하나에 있어서, 실패율은 ADI 피처 또는 대응하는 AEI 피처의 물리적 특성에 의해 특징지어지는 결함 조건을 나타내고, 결함 조건은:125. The method of any one of clauses 108-124, wherein the failure rate represents a fault condition characterized by a physical property of the ADI feature or the corresponding AEI feature, wherein the fault condition is:

피처의 누락;missing features;

피처와 연계된 변위 범위; 또는displacement range associated with the feature; or

피처의 임계 치수와 연계된 공차 범위 중 1 이상을 포함하는 방법.A method comprising at least one of a tolerance range associated with a critical dimension of a feature.

126. 비-일시적 컴퓨터 판독가능한 매체로서,126. A non-transitory computer readable medium comprising:

1 이상의 프로세서에 의해 실행될 때,When executed by more than one processor,

ADI 피처의 제 1 이미지를 생성하기 위해 하전 입자 빔에 ADI 피처를 노광하는 것 -ADI 피처는 레지스트 재료 내의 구조체임- ;exposing the ADI feature to a beam of charged particles to produce a first image of the ADI feature, wherein the ADI feature is a structure in a resist material;

ADI 피처의 제 2 이미지를 생성하기 위해 하전 입자 빔에 ADI 피처를 재-노광하는 것; 및re-exposing the ADI feature to the charged particle beam to produce a second image of the ADI feature; and

제 1 이미지 및 제 2 이미지와 연계된 물리적 특성에 기초하여, ADI 피처의 결함 속성을 결정하는 것을 포함하는 작업들을 야기하는 명령어들이 저장되어 있는 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer-readable medium having stored thereon instructions for causing tasks including determining a defect attribute of an ADI feature based on a physical characteristic associated with the first image and the second image.

127. 126 항에 있어서, 결함 속성을 결정하는 것은:127. The method of clause 126, wherein determining the defect attribute comprises:

제 1 이미지로부터의 제 1 특성 및 제 2 이미지로부터의 제 2 특성을 추출하는 것;extracting a first feature from the first image and a second feature from the second image;

제 1 특성과 제 2 특성 간의 차이에 기초하여, 결함 메트릭이 위반되는지 여부를 결정하는 것; 및determining whether a defect metric is violated based on the difference between the first characteristic and the second characteristic; and

결함 메트릭이 위반됨에 응답하여, ADI 피처를 결함 있는 것으로 분류하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.and in response to a defect metric being violated, classifying the ADI feature as defective.

128. 127 항에 있어서, 물리적 특성은 임계 치수 또는 픽셀 세기인 비-일시적 컴퓨터 판독가능한 매체.128. The non-transitory computer-readable medium of clause 127, wherein the physical property is a critical dimension or pixel intensity.

129. 127 항에 있어서, 결함 메트릭은 제 1 이미지에서의 ADI 피처의 제 1 물리적 특성 및 제 2 이미지에서의 ADI 피처의 제 2 물리적 특성의 함수인 비-일시적 컴퓨터 판독가능한 매체.129. The non-transitory computer-readable medium of clause 127, wherein the defect metric is a function of a first physical characteristic of the ADI feature in the first image and a second physical characteristic of the ADI feature in the second image.

130. 127 항 내지 129 항 중 어느 하나에 있어서, 결함 메트릭은 다변량 함수, 겹선형 함수, 트레이닝된 기계 학습 모델, 또는 이차 이상의 다항식인 비-일시적 컴퓨터 판독가능한 매체.130. The non-transitory computer-readable medium of any of clauses 127-129, wherein the defect metric is a multivariate function, a bilinear function, a trained machine learning model, or a polynomial at least quadratic.

131. 130 항에 있어서, 트레이닝된 기계 학습 모델은:131. The machine learning model of 130, wherein the trained machine learning model comprises:

(ⅰ) 복수의 이미지 쌍들 -각각의 이미지 쌍은 복수의 ADI 피처들의 제 1 이미지 및 제 2 이미지를 포함함- , 및 (ⅱ) ADI 피처들에 대응하는 기판의 에칭 후 이미지들(AEI)을 포함하는 트레이닝 데이터 세트를 사용하여 기계 학습 모델을 트레이닝함으로써 얻어지는 비-일시적 컴퓨터 판독가능한 매체.(i) a plurality of image pairs, each image pair including a first image and a second image of the plurality of ADI features, and (ii) post-etch images (AEI) of the substrate corresponding to the ADI features; A non-transitory computer-readable medium obtained by training a machine learning model using a training data set comprising:

132. 131 항에 있어서, 트레이닝 단계는:132. The step of clause 131, wherein the training step comprises:

(a) 기계 학습 모델의 파라미터들을 조정하여, 모델이 제 1 이미지와 제 2 이미지 간의 비교에 기초하여 주어진 ADI 피처의 결함 속성을 결정하도록 하는 단계;(a) adjusting parameters of the machine learning model such that the model determines a defect attribute of a given ADI feature based on a comparison between the first image and the second image;

(b) 모델 결정된 결함 속성이 주어진 ADI 피처에 대응하는 AEI 피처의 결함 속성의 지정된 범위 내에 있는지 여부를 결정하는 단계; 및(b) determining whether the model determined defect attribute is within a specified range of the defect attribute of the AEI feature corresponding to the given ADI feature; and

(c) 지정된 범위 내에 있지 않음에 응답하여, 단계들 (a) 및 (b)를 수행하는 단계를 포함하는 반복 프로세스인 비-일시적 컴퓨터 판독가능한 매체.(c) in response to not being within the specified range, performing steps (a) and (b).

133. 126 항 내지 132 항 중 어느 하나에 있어서, 전자 빔은 스캐닝 전자 현미경(SEM)을 통해 생성되고, 제 1 이미지 및 제 2 이미지는 SEM 이미지들인 비-일시적 컴퓨터 판독가능한 매체.133. The non-transitory computer readable medium of any of clauses 126-132, wherein the electron beam is generated via a scanning electron microscope (SEM), and wherein the first image and the second image are SEM images.

134. 126 항 내지 133 항 중 어느 하나에 있어서, 결함 속성은 ADI 피처에 결함이 있는지 결함이 없는지 여부, 또는 ADI 피처와 연계된 실패 확률인 비-일시적 컴퓨터 판독가능한 매체.134. The non-transitory computer-readable medium of any one of clauses 126-133, wherein the fault attribute is whether the ADI feature is defective or non-defective, or a probability of failure associated with the ADI feature.

135. 126 항 내지 134 항 중 어느 하나에 있어서, 제 1 이미지는 제 1 노광으로부터 얻어지는 복수의 프레임들을 포함하고, 제 2 이미지는 ADI 피처의 재-노광으로부터 얻어지는 복수의 프레임들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.135. The non-transitory of any of clauses 126-134, wherein the first image comprises a plurality of frames obtained from a first exposure and the second image comprises a plurality of frames obtained from a re-exposure of the ADI feature. computer readable medium.

136. 135 항에 있어서, 결정하는 것은:136. The method of 135, wherein determining comprises:

제 1 이미지의 1 이상의 프레임 및 제 2 이미지들의 대응하는 1 이상의 프레임과 연계된 물리적 특성 사이의 차이를 결정하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer-readable medium comprising determining a difference between one or more frames of a first image and a physical property associated with the corresponding one or more frames of second images.

137. 128 항 내지 135 항 중 어느 하나에 있어서, 하전 입자 빔은 전자 빔인 비-일시적 컴퓨터 판독가능한 매체.137. The non-transitory computer readable medium of any of clauses 128-135, wherein the charged particle beam is an electron beam.

138. 비-일시적 컴퓨터 판독가능한 매체로서,138. A non-transitory computer readable medium comprising:

1 이상의 프로세서에 의해 실행될 때,When executed by more than one processor,

메트롤로지 툴을 통해, (ⅰ) 기판의 현상 후 이미지(ADI)와 연계된 제 1 측정 데이터 -ADI는 복수의 피처들을 포함함- , 및 (ⅱ) 동일한 ADI와 연계된 제 2 측정 데이터 -제 2 측정 데이터는 제 1 측정에 후속하여 얻어짐- 를 얻는 것; 및Via the metrology tool, (i) first measurement data associated with a post-developed image (ADI) of the substrate, the ADI comprising a plurality of features, and (ii) second measurement data associated with the same ADI; the second measurement data is obtained subsequent to the first measurement; and

제 1 측정 데이터 및 제 2 측정 데이터에 기초하여, ADI의 피처들의 실패율들을 결정하기 위한 모델을 생성하는 것을 포함하는 작업들을 야기하는 명령어들이 저장되어 있고, 생성하는 것은:Stored are instructions that cause tasks including generating a model for determining failure rates of features of the ADI based on the first measurement data and the second measurement data, the generating comprising:

모델과 연계된 메트릭이 모델 파라미터들의 초기 값들과 연계된 메트릭에 비해 개선되도록 1 이상의 모델 파라미터의 값들을 조정하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체. A non-transitory computer-readable medium comprising adjusting values of one or more model parameters such that the metric associated with the model improves compared to the metric associated with initial values of the model parameters.

139. 138 항에 있어서, 모델에 기초하여, 주어진 ADI의 주어진 제 1 측정 및 주어진 ADI의 제 2 측정에 대한 모델들에 의해 예측된 피처들의 실패율들에 기초하여 패터닝 공정의 공정 윈도우를 결정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.139. The method of clause 138, further comprising, based on the model, determining the process window of the patterning process based on failure rates of features predicted by the models for a given first measurement of a given ADI and a second measurement of a given ADI. further comprising a non-transitory computer readable medium.

140. 138 항에 있어서, 모델을 생성하는 것은:140. The method of 138, wherein generating the model comprises:

제 1 측정 데이터 및 제 2 측정 데이터를 사용하여, 모델의 로그-우도 메트릭을 최대화함으로써 실패율 파라미터와 연계된 제 1 확률 밀도 함수(PDF), 및 실패율 파라미터의 여수와 연계된 제 2 확률 밀도 함수(PDF)를 피팅하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.Using the first and second measured data, a first probability density function (PDF) associated with the failure rate parameter by maximizing the log-likelihood metric of the model, and a second probability density function associated with the freeness of the failure rate parameter ( PDF), which is a non-transitory computer readable medium.

141. 140 항에 있어서, 제 1 확률 밀도 함수의 피팅은:141. The method of 140, wherein the fitting of the first probability density function is:

모델의 로그-우도 메트릭을 최대화함으로써 제 1 PDF 및 제 2 PDF와 연계된 각각의 모델 파라미터의 값들을 결정하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer-readable medium comprising determining values of each model parameter associated with a first PDF and a second PDF by maximizing a log-likelihood metric of the model.

142. 141 항에 있어서, 모델은:142. The method of 141, wherein the model is:

제 1 물리적 특성 및 제 2 물리적 특성의 조합된 분포, 및 모델 파라미터들의 제 1 세트에 의해 특징지어지는 제 1 PDF -제 1 물리적 특성은 제 1 측정 데이터와 연계되고, 제 2 물리적 특성은 ADI의 제 2 측정 데이터와 연계됨- ; 및a first PDF characterized by the combined distribution of the first physical property and the second physical property, and a first set of model parameters - a first physical property associated with the first measurement data, and the second physical property being an ADI's associated with the second measurement data-; and

제 1 물리적 특성 및 제 2 물리적 특성의 또 다른 조합된 분포, 및 모델 파라미터들의 제 2 세트에 의해 특징지어지는 제 2 PDF를 포함하는 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer-readable medium comprising a second PDF characterized by another combined distribution of a first physical property and a second physical property, and a second set of model parameters.

143. 142 항에 있어서, 제 1 PDF는:143. The method of 142, wherein the first PDF is:

다변량 분포의 시프트를 설명하는 제 1 위치 파라미터 및 제 2 위치 파라미터; 및a first positional parameter and a second positional parameter describing the shift of the multivariate distribution; and

다변량 분포의 산포를 설명하는 제 1 스케일 파라미터 및 제 2 스케일 파라미터에 의해 특징지어지는 다변량 분포인 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer-readable medium that is a multivariate distribution characterized by a first scale parameter and a second scale parameter that describe the spread of the multivariate distribution.

144. 143 항에 있어서, 제 2 PDF는:144. The second PDF of 143, wherein:

GEV 분포의 시프트를 설명하는 제 3 위치 파라미터 및 제 4 위치 파라미터;a third position parameter and a fourth position parameter describing the shift in the GEV distribution;

GEV 분포의 산포를 설명하는 제 3 스케일 파라미터 및 제 4 스케일 파라미터; 및a third scale parameter and a fourth scale parameter describing the spread of the GEV distribution; and

GEV 분포의 형상을 설명하는 형상 파라미터(ξ)에 의해 특징지어지는 일반화된 극단값(GEV) 분포인 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer readable medium that is a generalized extreme value (GEV) distribution characterized by a shape parameter (ξ) that describes the shape of the GEV distribution.

145. 137 항 내지 144 항 중 어느 하나에 있어서, 메트롤로지 툴은 스캐닝 전자 현미경(SEM)인 비-일시적 컴퓨터 판독가능한 매체.145. The non-transitory computer readable medium of any one of clauses 137-144, wherein the metrology tool is a scanning electron microscope (SEM).

146. 145 항에 있어서, 제 1 측정 데이터는 ADI의 제 1 SEM 이미지이고, 제 2 측정 데이터는 ADI의 제 2 SEM 이미지인 비-일시적 컴퓨터 판독가능한 매체.146. The non-transitory computer readable medium of clause 145, wherein the first measurement data is a first SEM image of the ADI and the second measurement data is a second SEM image of the ADI.

147. 146 항에 있어서, 제 1 측정 데이터는 ADI의 제 1 SEM 이미지에서의 피처들의 제 1 물리적 특성 값들을 포함하고, 제 2 측정 데이터는 ADI의 제 2 SEM 이미지에서의 피처들의 제 2 물리적 특성 값들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.147. The first measurement data of clause 146, wherein the first measurement data comprises first physical property values of features in the first SEM image of the ADI, and the second measurement data includes second physical property values of the features in the second SEM image of the ADI. A non-transitory computer-readable medium containing values.

148. 147 항에 있어서, 모델을 생성하는 것은:148. The method of 147, wherein generating the model comprises:

ADI의 제 1 SEM 이미지에서의 복수의 피처들의 제 1 물리적 특성 값들에 기초하여 제 1 PDF를 피팅하는 것; 및fitting the first PDF based on first physical property values of the plurality of features in the first SEM image of the ADI; and

ADI의 제 2 SEM 이미지에서의 복수의 피처들의 제 2 물리적 특성 값들에 기초하여 제 2 PDF를 피팅하는 것을 포함하고,fitting a second PDF based on second physical property values of the plurality of features in a second SEM image of the ADI;

제 1 PDF 및 제 2 PDF는 둘 다 모델과 관련된 로그-우도 메트릭을 최대화함으로써 동시에 피팅되는 비-일시적 컴퓨터 판독가능한 매체.The first PDF and the second PDF are both fitted simultaneously by maximizing a log-likelihood metric associated with the model.

149. 148 항에 있어서, 제 1 PDF 및 제 2 PDF의 피팅은:149. The fitting of clause 148, of the first PDF and the second PDF is:

(a) 제 1 PDF 및 제 2 PDF의 파라미터들의 주어진 값들을 사용하여 로그-우도 메트릭을 결정하는 단계;(a) determining a log-likelihood metric using given values of parameters of the first PDF and the second PDF;

(b) 로그-우도 메트릭이 최대화되는지 여부를 결정하는 단계;(b) determining whether the log-likelihood metric is maximized;

(c) 최대화되지 않음에 응답하여, 기울기에 기초하여, 제 1 PDF의 모델 파라미터들의 제 1 세트의 값들 및 모델 파라미터들의 제 2 세트의 값들, 및 실패율 파라미터를 조정하는 단계, 및 단계들 (a) 내지 (c)를 수행하는 단계를 포함하는 반복 프로세스이며,(c) in response to not being maximized, adjusting, based on the slope, the values of the first set of model parameters and the values of the second set of model parameters of the first PDF, and the failure rate parameter, and steps (a) ) to (c) is an iterative process comprising the steps of:

기울기는 제 1 모델 파라미터들, 제 2 모델 파라미터들, 및 실패율 파라미터에 대한 로그-우도 메트릭의 1차 미분인 비-일시적 컴퓨터 판독가능한 매체.wherein the slope is the first derivative of the log-likelihood metric for the first model parameters, the second model parameters, and the failure rate parameter.

150. 149 항에 있어서, 모델의 피팅은:150. The fitting of clause 149 of the model is:

제 1 PDF 및 제 2 PDF와 연계된 실패율 파라미터의 값이 동일하도록 이루어지는 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer-readable medium, wherein a value of a failure rate parameter associated with the first PDF and the second PDF is the same.

151. 141 항 내지 150 항 중 어느 하나에 있어서,151. The method according to any one of items 141 to 150,

제 1 측정 데이터 및 제 2 측정 데이터에 기초하여 모델 파라미터들의 제 1 세트 및 모델 파라미터들의 제 2 세트의 1 이상의 모델 파라미터 사이의 관계를 결정하는 것;determining a relationship between the first set of model parameters and one or more model parameters of the second set of model parameters based on the first measurement data and the second measurement data;

관계에 기초하여, 모델 파라미터들의 제 1 세트 또는 모델 파라미터들의 제 2 세트의 수를 감소시키기 위해 모델 파라미터들의 제 2 세트에 관하여 모델 파라미터들의 제 1 세트를 수정하는 것; 및modifying the first set of model parameters with respect to the second set of model parameters to reduce a number of the first set of model parameters or the second set of model parameters based on the relationship; and

제 1 측정 데이터 및 제 2 측정 데이터를 사용하여, 수정된 파라미터들에 기초하여 모델을 생성하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.The non-transitory computer-readable medium further comprising using the first measurement data and the second measurement data to generate a model based on the modified parameters.

152. 142 항 내지 151 항 중 어느 하나에 있어서, 물리적 특성은 피처의 임계 치수(CD)인 비-일시적 컴퓨터 판독가능한 매체.152. The non-transitory computer readable medium of any of clauses 142-151, wherein the physical property is a critical dimension (CD) of the feature.

153. 142 항 내지 152 항 중 어느 하나에 있어서, 물리적 특성은:153. The physical property of any one of clauses 142 to 152, wherein the physical property is:

ADI에서의 관심 피처의 복수의 인스턴스들의 평균 CD;an average CD of a plurality of instances of a feature of interest in ADI;

ADI에서의 관심 피처의 방향성 CD;directional CD of the feature of interest in ADI;

ADI에서의 관심 피처의 곡률 분산; 또는Curvature variance of the feature of interest in ADI; or

각각의 관심 피처에 대한 다수 메트롤로지 툴 임계치들에서 얻어진 CD 중 적어도 하나인 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer readable medium that is at least one of a CD obtained at multiple metrology tool thresholds for each feature of interest.

154. 153 항에 있어서, 방향성 CD는:154. The directional CD of 153, wherein:

x-방향을 따라 측정된 CD;CD measured along the x-direction;

y-방향을 따라 측정된 CD; 또는CD measured along the y-direction; or

원하는 각도를 따라 측정된 CD 중 적어도 하나인 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer readable medium that is at least one of a CD measured along a desired angle.

155. 138 항 내지 154 항 중 어느 하나에 있어서, 실패율은 ADI 피처 또는 대응하는 AEI 피처의 물리적 특성에 의해 특징지어지는 결함 조건을 나타내고, 결함 조건은:155. The method of any one of clauses 138-154, wherein the failure rate represents a fault condition characterized by a physical property of the ADI feature or the corresponding AEI feature, wherein the fault condition is:

피처의 누락;missing features;

피처와 연계된 변위 범위; 또는displacement range associated with the feature; or

피처의 임계 치수와 연계된 공차 범위 중 1 이상을 포함하는 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer-readable medium comprising one or more of a tolerance range associated with a critical dimension of a feature.

156. 현상 후 이미지(ADI) 피처에 기초하여 에칭 후 이미지(AEI) 피처를 결정하도록 구성되는 모델을 트레이닝하는 방법으로서,156. A method of training a model configured to determine post-etch image (AEI) features based on post-develop image (ADI) features, the method comprising:

(ⅰ) 기판 상에 이미징된 ADI 피처의 측정, 및 (ⅱ) 측정된 ADI 피처에 대응하는, 에칭 공정을 거친 기판 상의 에칭 후 이미지(AEI) 피처의 측정을 얻는 단계;(i) measurements of the imaged ADI features on the substrate, and (ii) obtaining measurements of post-etched image (AEI) features on the etched substrate that correspond to the measured ADI features;

측정된 ADI 피처를 특징짓는 변수들의 제 1 세트 및 측정된 AEI 피처를 특징짓는 변수들의 제 2 세트를 할당하는 단계;allocating a first set of variables characterizing the measured ADI feature and a second set of variables characterizing the measured AEI feature;

측정된 ADI 피처의 변수들의 제 1 세트의 조합과 측정된 AEI 피처의 변수들의 제 2 세트의 조합 사이의 상관관계를 결정하는 단계; 및determining a correlation between the combination of the first set of variables of the measured ADI feature and the combination of the second set of variables of the measured AEI feature; and

상관관계에 기초하여, 지정된 상관관계 임계치 내의 상관관계 값들을 갖는 변수들의 제 1 세트의 1 이상의 서브-조합을 포함함으로써 모델을 트레이닝하는 단계 -모델은 입력 ADI 피처에 대한 AEI 피처를 결정하는 데 사용됨- 를 포함하는 방법.based on the correlation, training the model by including one or more sub-combinations of a first set of variables having correlation values within a specified correlation threshold, the model being used to determine an AEI feature for the input ADI feature. - How to include.

157. 156 항에 있어서, 상관관계를 결정하는 단계는:157. The method of 156, wherein determining the correlation comprises:

(ⅰ) 변수들의 제 1 세트의 조합과 연계된 파라미터들의 제 1 세트, 및 (ⅱ) 변수들의 제 2 세트의 조합과 연계된 파라미터들의 제 2 세트의 주어진 값들을 사용하여 상관관계를 연산하는 단계;calculating a correlation using given values of (i) a first set of parameters associated with a first set of combinations of variables, and (ii) a second set of parameters associated with a second set of combinations of variables. ;

상관관계가 최대화되는지 여부를 결정하는 단계; 및determining whether the correlation is maximized; and

상관관계가 최대화되지 않음에 응답하여, 상관관계가 최대화될 때까지 파라미터들의 제 1 세트 및 파라미터들의 제 2 세트의 주어진 값들을 조정하는 단계를 포함하는 방법.in response to the correlation not being maximized, adjusting the given values of the first set of parameters and the second set of parameters until the correlation is maximized.

158. 157 항에 있어서, 파라미터들의 제 1 세트 및 파라미터들의 제 2 세트의 주어진 값들을 조정하는 단계는 상관관계가 지정된 범위 내에 있을 때까지 수행되는 방법.158. The method of 157, wherein adjusting the given values of the first set of parameters and the second set of parameters is performed until the correlation is within a specified range.

159. 156 항 내지 158 항 중 어느 하나에 있어서, 모델을 트레이닝하는 단계는:159. The method according to any one of clauses 156 to 158, wherein training the model comprises:

(a) 변수들의 제 1 및 제 2 세트의 서브-조합들 및 서브-조합의 상관관계가 지정된 상관관계 임계치를 초과하는지 여부를 결정하는 단계;(a) determining whether a correlation of sub-combinations and sub-combinations of the first and second sets of variables exceeds a specified correlation threshold;

(b) 서브-조합들이 초과함에 응답하여, 모델에 서브-조합을 포함하는 단계; 및(b) in response to the sub-combinations being exceeded, including the sub-combination in the model; and

(c) 서브-조합들이 초과하지 않음에 응답하여, 변수들의 제 1 세트의 또 다른 서브-조합을 선택하는 단계, 및 지정된 반복 횟수 동안 또는 서브-조합이 소진될 때까지 단계 (a) 내지 (c)를 반복하는 단계를 포함하는 방법.(c) in response to the sub-combinations not being exceeded, selecting another sub-combination of the first set of variables, and for a specified number of iterations or until the sub-combination is exhausted, steps (a) to ( c) repeating.

160. 159 항에 있어서, 지정된 상관관계 임계치는 0.01보다 큰 방법.160. The method of 159, wherein the designated correlation threshold is greater than 0.01.

161. 156 항 내지 160 항 중 어느 하나에 있어서, 변수들의 제 1 세트의 조합 또는 1 이상의 서브-조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델인 방법.161. The method of any of clauses 156-160, wherein the combination or one or more sub-combinations of the first set of variables is a linear combination, a non-linear combination, or a machine learning model.

162. 161 항에 있어서, 변수들의 제 1 세트의 조합 또는 1 이상의 서브-조합은 변수들의 제 1 세트의 가중 합이고, 가중치들은 양의 값들 또는 음의 값들인 방법.162. The method of 161, wherein the combination or one or more sub-combinations of the first set of variables is a weighted sum of the first set of variables, wherein the weights are positive values or negative values.

163. 156 항 내지 162 항 중 어느 하나에 있어서, 변수들의 제 2 세트의 조합 또는 1 이상의 서브-조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델인 방법.163. The method of any of clauses 156-162, wherein the combination or one or more sub-combinations of the second set of variables is a linear combination, a non-linear combination, or a machine learning model.

164. 163 항에 있어서, 상관관계는 다음 수학식을 사용하여 연산되고:164. The clause of clause 163, wherein the correlation is calculated using the following equation:

Figure pct00097
Figure pct00097

Figure pct00098
은 변수들의 제 1 세트의 벡터 형태이고,
Figure pct00099
은 파라미터들의 제 1 세트에 대응하며,
Figure pct00100
은 변수들의 제 1 세트의 1 이상의 조합을 포함하고,
Figure pct00101
은 변수들의 제 2 세트의 벡터 형태이며,
Figure pct00102
은 파라미터들의 제 2 세트에 대응하고,
Figure pct00103
은 변수들의 제 2 세트의 1 이상의 조합을 포함하며, R 2 의 분자는
Figure pct00104
Figure pct00105
사이의 공분산을 나타내고, 분모는
Figure pct00106
의 분산과
Figure pct00107
의 분산의 곱을 나타내는 방법.
Figure pct00098
is the vector form of the first set of variables,
Figure pct00099
corresponds to the first set of parameters,
Figure pct00100
comprises one or more combinations of the first set of variables,
Figure pct00101
is the vector form of the second set of variables,
Figure pct00102
corresponds to the second set of parameters,
Figure pct00103
comprises one or more combinations of the second set of variables, wherein the numerator of R 2 is
Figure pct00104
Wow
Figure pct00105
represents the covariance between, and the denominator is
Figure pct00106
dispersion of
Figure pct00107
A method of representing the product of variance of .

165. 156 항 내지 164 항 중 어느 하나에 있어서, 변수들의 제 1 세트는 측정된 ADI 피처의 ADI 윤곽 상의 위치의 세트에 대응하고, 변수들의 제 2 세트는 측정된 AEI 피처의 AEI 윤곽 상의 위치의 세트에 대응하는 방법.165. The first set of variables of any of clauses 156-164, wherein the first set of variables corresponds to a set of positions on the ADI contour of the measured ADI feature, and the second set of variables is a set of positions on the AEI contour of the measured ADI feature. How to respond to a set.

166. 156 항 내지 165 항 중 어느 하나에 있어서, 1 이상의 서브-조합은 측정된 ADI 피처에 대해 수행되는 프로세스에 의해 야기되는 측정된 ADI 피처의 ADI 윤곽의 변형량을 특징짓는 방법.166. The method of any of clauses 156-165, wherein the one or more sub-combinations characterizes the amount of deformation of the ADI contour of the measured ADI feature caused by a process performed on the measured ADI feature.

167. 166 항에 있어서, 변형량은 ADI 윤곽의 주어진 위치와 AEI 윤곽의 대응하는 위치 간의 차이인 방법.167. The method of 166, wherein the amount of deformation is a difference between a given position of the ADI contour and a corresponding position of the AEI contour.

168. 167 항에 있어서, 변형량은 변수들의 제 1 세트의 선형 조합에 의해 특징지어지는 방법.168. The method of 167, wherein the amount of deformation is characterized by a linear combination of the first set of variables.

169. 156 항 내지 168 항 중 어느 하나에 있어서, 1 이상의 서브-조합은:169. The method of any one of items 156-168, wherein the one or more sub-combinations are:

측정된 ADI 피처의 지정된 방향으로의 병진;translation of the measured ADI feature in a specified direction;

측정된 ADI 피처의 임계 치수;the critical dimension of the measured ADI feature;

측정된 ADI 피처의 지정된 방향으로의 신장;elongation in a specified direction of the measured ADI feature;

측정된 ADI 피처의 삼각도; 및Triangulation of measured ADI features; and

측정된 ADI 피처의 회전 중 1 이상을 특징짓는 방법.A method of characterizing one or more of the rotations of a measured ADI feature.

170. 156 항 내지 169 항 중 어느 하나에 있어서, 상관관계를 결정하는 단계는 변수들의 제 1 세트 또는 변수들의 제 2 세트에서 1 이상의 변수를 제외하는 희소성 제약에 기초하며, 1 이상의 변수는 0.01보다 작은 상관관계 값과 연계되는 방법.170. The method of any one of clauses 156-169, wherein determining the correlation is based on a sparsity constraint excluding the at least one variable from the first set of variables or the second set of variables, wherein the at least one variable is greater than 0.01. How to associate with small correlation values.

171. 156 항 내지 170 항 중 어느 하나에 있어서, 측정된 ADI 및 측정된 AEI는 입력 타겟 피처에 대한 ADI 피처 및 AEI 피처를 생성하도록 구성되는 시뮬레이션 프로세스 또는 메트롤로지 툴을 통해 얻어지는 방법.171. The method of any of clauses 156-170, wherein the measured ADI and the measured AEI are obtained via a simulation process or metrology tool configured to generate an ADI feature and an AEI feature for an input target feature.

172. 171 항에 있어서, 메트롤로지 툴은 기판의 ADI 및 AEI를 캡처하도록 구성되는 스캐닝 전자 현미경(SEM)이며, ADI는 ADI 피처를 포함하고, AEI는 AEI 피처를 포함하는 방법.172. The method of 171, wherein the metrology tool is a scanning electron microscope (SEM) configured to capture the ADI and AEI of the substrate, the ADI comprising an ADI feature, and the AEI comprising an AEI feature.

173. 171 항에 있어서, ADI는 ADI 피처의 제 1 및 제 2 SEM 측정으로부터 얻어지는 이미지들을 포함하고, AEI는 AEI 피처의 제 1 및 제 2 SEM 측정들로부터 얻어지는 이미지들을 포함하는 방법.173. The method of 171, wherein the ADI comprises images obtained from the first and second SEM measurements of the ADI feature, and the AEI comprises images obtained from the first and second SEM measurements of the AEI feature.

174. 156 항 내지 173 항 중 어느 하나에 있어서, ADI 피처는 관심 피처 및 1 이상의 이웃 피처를 포함하는 방법.174. The method of any of clauses 156-173, wherein the ADI feature comprises a feature of interest and one or more neighboring features.

175. 174 항에 있어서, 변수들의 제 1 세트는 관심 피처와 연계된 변수들의 제 1 서브세트 및 1 이상의 이웃 피처와 연계된 변수들의 제 2 서브세트를 포함하는 방법.175. The method of 174, wherein the first set of variables comprises a first subset of variables associated with a feature of interest and a second subset of variables associated with one or more neighboring features.

176. 175 항에 있어서, 조합 또는 1 이상의 서브-조합은 관심 피처와 연계된 변수들의 제 1 서브세트 및 1 이상의 이웃 피처와 연계된 변수들의 제 2 서브세트의 가중 합이고, 이웃 피처의 변수들에 할당되는 가중치들은 관심 피처로부터 떨어져 있는 또 다른 이웃 피처의 변수들보다 상대적으로 더 높은 방법.176. The combination or one or more sub-combinations of clause 175, wherein the combination or one or more sub-combinations is a weighted sum of the first subset of variables associated with the feature of interest and the second subset of the variables associated with the one or more neighboring features, the variables of the neighboring feature The weights assigned to the method are relatively higher than the variables of other neighboring features away from the feature of interest.

177. 156 항 내지 176 항 중 어느 하나에 있어서,177. The method according to any one of items 156 to 176,

상관관계에 기초하여, 상관관계가 개선되게 하도록 메트롤로지 툴 설정들을 조정하는 단계를 더 포함하는 방법.based on the correlation, adjusting the metrology tool settings to cause the correlation to improve.

178. 177 항에 있어서, 메트롤로지 툴 설정은: e-빔 세기, 입사각, 전압 콘트라스트, SEM 임계치, 픽셀 크기, 스캔 속도, 또는 프레임 수 중 적어도 하나를 포함하는 방법.178. The method of 177, wherein the metrology tool settings include at least one of: e-beam intensity, angle of incidence, voltage contrast, SEM threshold, pixel size, scan rate, or number of frames.

179. 156 항 내지 178 항 중 어느 하나에 있어서,179. The method according to any one of items 156 to 178,

상관관계에 기초하여, 상관관계가 개선되게 하도록 윤곽 추출 알고리즘과 연계된 1 이상의 파라미터를 조정하는 단계를 더 포함하는 방법.based on the correlation, adjusting one or more parameters associated with the contour extraction algorithm such that the correlation is improved.

180. 156 항 내지 179 항 중 어느 하나에 있어서,180. The method according to any one of items 156 to 179,

상관관계를 사용한 패터닝 공정 및 에칭 공정의 시뮬레이션을 통해, 패터닝 공정의 수율이 지정된 수율 임계치보다 크게 하도록 레지스트 공정 또는 에칭 공정과 연계된 파라미터들을 조정하는 단계를 더 포함하는 방법.and adjusting parameters associated with the resist process or the etch process such that, through simulation of the patterning process and the etch process using correlation, a yield of the patterning process is greater than a specified yield threshold.

181. 156 항 내지 180 항 중 어느 하나에 있어서,181. The method according to any one of items 156 to 180,

상관관계를 사용한 패터닝 공정의 시뮬레이션을 통해, 리소그래피 장치의 성능 메트릭이 지정된 성능 임계치 내에 있게 하도록 리소그래피 공정과 관련된 파라미터들을 조정하는 단계를 더 포함하는 방법.The method further comprising adjusting parameters associated with the lithographic process such that, through simulation of the patterning process using correlation, a performance metric of the lithographic apparatus is within a specified performance threshold.

182. 181 항에 있어서, 패터닝 공정의 파라미터는: 리소그래피 장치와 연계된 도즈 또는 포커스 조건들을 포함하는 방법.182. The method of clause 181, wherein the parameters of the patterning process include: dose or focus conditions associated with the lithographic apparatus.

183. 156 항 내지 182 항 중 어느 하나에 있어서,183. The method according to any one of items 156 to 182,

ADI 피처들의 변수들의 제 1 세트의 선택된 조합 및 포커스 및 노광 조건들에 대한 그 민감도에 기초하여 공정 품질을 모니터링하는 단계; 및monitoring process quality based on the selected combination of the first set of variables of the ADI features and its sensitivity to focus and exposure conditions; and

지정된 범위에서 공정 품질을 유지하도록 1 이상의 공정 파라미터를 조정하는 단계를 더 포함하는 방법.The method further comprising adjusting one or more process parameters to maintain process quality within a specified range.

184. 183 항에 있어서, 모니터링은:184. The method of 183, wherein the monitoring comprises:

팁-대-팁 패턴의 관련 ADI 윤곽 속성들을 측정하는 단계; 및measuring relevant ADI contour properties of the tip-to-tip pattern; and

측정된 민감도 및 상관관계에 기초하여, ADI 피처의 AEI 피처로의 팁-대-팁 변환을 개선하도록 1 이상의 공정 파라미터를 조정하는 단계를 포함하는 방법.based on the measured sensitivity and correlation, adjusting one or more process parameters to improve tip-to-tip conversion of the ADI feature to the AEI feature.

185. 메트롤로지 툴로서,185. A metrology tool comprising:

기판을 이미징한 후의 ADI 피처 및 기판을 에칭한 후의 AEI 피처를 측정하도록 구성되는 빔 발생기; 및a beam generator configured to measure the ADI features after imaging the substrate and the AEI features after etching the substrate; and

프로세서를 포함하고, 프로세서는:A processor, comprising:

측정된 ADI 피처와 에칭 공정을 거친 기판 상에 프린트된 측정된 ADI 피처에 대응하는 측정된 AEI 피처 사이의 상관관계를 얻고 -상관관계는 측정된 ADI 피처가 어떻게 AEI 피처로 변환되는지를 특징짓는 변수들의 조합에 기초함- ; Obtain a correlation between the measured ADI features and the measured AEI features corresponding to the measured ADI features printed on the etched substrate - the correlation is a variable that characterizes how the measured ADI features are converted into AEI features based on a combination of - ;

상관관계에 기초하여, 상관관계가 개선되게 하도록 메트롤로지 툴의 설정들을 조정하도록 구성되며, 설정들은 각각의 설정에 대한 상관관계의 미분에 기초하여 결정되고, 미분은 메트롤로지 툴의 설정 당 상관관계의 개선을 나타내는 메트롤로지 툴. and adjust settings of the metrology tool such that, based on the correlation, the correlation is improved, the settings are determined based on a derivative of the correlation for each setting, wherein the derivative is per setting of the metrology tool A metrology tool that shows improvement in correlation.

186. 185 항에 있어서, 메트롤로지 툴은 스캐닝 전자 현미경(SEM)인 메트롤로지 툴.186. The metrology tool of clause 185, wherein the metrology tool is a scanning electron microscope (SEM).

187. 186 항에 있어서, 메트롤로지 툴의 설정들은: e-빔 세기, 입사각, 전압 콘트라스트, SEM 임계치, 픽셀 크기, 스캔 속도, 또는 프레임 수 중 적어도 하나의 값들을 포함하는 메트롤로지 툴.187. The metrology tool of clause 186, wherein the settings of the metrology tool include values of at least one of: e-beam intensity, angle of incidence, voltage contrast, SEM threshold, pixel size, scan rate, or number of frames.

188. 186 항에 있어서, 빔 발생기는 전자 빔 발생기인 메트롤로지 툴.188. The metrology tool of 186, wherein the beam generator is an electron beam generator.

189. 185 항 내지 188 항 중 어느 하나에 있어서, 프로세서는:189. The processor of any of clauses 185-188, further comprising:

상관관계에 기초하여, 상관관계가 개선되게 하기 위해 윤곽 추출 알고리즘과 연계된 1 이상의 파라미터를 조정하도록 더 구성되는 메트롤로지 툴.The metrology tool further configured to adjust, based on the correlation, one or more parameters associated with the contour extraction algorithm to improve the correlation.

190. 185 항 내지 188 항 중 어느 하나에 있어서, 1 이상의 서브-조합은:190. The method of any one of items 185-188, wherein the one or more sub-combinations are:

측정된 ADI 피처의 지정된 방향으로의 병진;translation of the measured ADI feature in a specified direction;

측정된 ADI 피처의 임계 치수;critical dimension of the measured ADI feature;

측정된 ADI 피처의 지정된 방향으로의 신장;elongation in a specified direction of the measured ADI feature;

측정된 ADI 피처의 삼각도; 또는Triangulation of measured ADI features; or

측정된 ADI 피처의 회전 중 1 이상을 특징짓는 메트롤로지 툴.A metrology tool that characterizes one or more of the rotations of a measured ADI feature.

191. 185 항에 있어서, 프로세서는:191. The method of 185, wherein the processor comprises:

패터닝 공정과 연계된 1 이상의 공정 파라미터를 변동시키고;varying one or more process parameters associated with the patterning process;

변동된 공정 파라미터들을 사용하여 패터닝된 기판의 ADI 및 AEI 이미지를 얻도록 더 구성되는 메트롤로지 툴.A metrology tool further configured to obtain ADI and AEI images of the patterned substrate using the varied process parameters.

192. 191 항에 있어서, 변동된 파라미터들은:192. The parameters of 191, wherein the changed parameters are:

기판을 패터닝하는 데 사용되는 마스크 패턴의 피처의 시프팅을 통한 오버레이;overlay through shifting of features in the mask pattern used to pattern the substrate;

기판을 패터닝하는 데 사용되는 마스크 패턴의 피처의 리사이징(resizing)을 통한 평균 CD;average CD through resizing of features in the mask pattern used to pattern the substrate;

패터닝 장치의 포커스; 또는focus of the patterning device; or

패터닝 장치의 도즈 중 적어도 하나를 포함하는 메트롤로지 툴.A metrology tool comprising at least one of a dose of a patterning device.

193. 현상 후 이미지(ADI)에 기초하여 에칭 후 이미지(AEI)를 결정하도록 구성되는 모델을 트레이닝하는 방법으로서,193. A method of training a model configured to determine a post-etch image (AEI) based on the post-develop image (ADI), the method comprising:

(ⅰ) 이미징된 기판의 ADI, 및 (ⅱ) 이미징된 기판을 에칭한 후의 에칭 후 이미지(AEI)를 얻는 단계;(i) obtaining an ADI of the imaged substrate, and (ii) a post-etch image (AEI) after etching the imaged substrate;

ADI의 변수들의 제 1 세트의 조합과 AEI의 변수들의 제 2 세트의 조합 사이의 상관관계를 결정하는 단계 -변수들의 제 1 세트 및 제 2 세트는 각각 ADI 및 AEI의 그레이 스케일 값들임- ; 및determining a correlation between the combination of the first set of variables of the ADI and the second set of variables of the AEI, the first and second sets of variables being gray scale values of the ADI and the AEI, respectively; and

상관관계에 기초하여, 지정된 상관관계 임계치 내의 상관관계 값들을 갖는 변수들의 제 1 세트의 1 이상의 서브-조합을 포함함으로써 모델을 트레이닝하는 단계 -모델은 입력 ADI에 대한 AEI를 결정하는 데 사용됨- 를 포함하는 방법.based on the correlation, training the model by including one or more sub-combinations of the first set of variables having correlation values within a specified correlation threshold, the model being used to determine the AEI for the input ADI. How to include.

194. 193 항에 있어서, 상관관계를 결정하는 단계는:194. The method of 193, wherein determining the correlation comprises:

(ⅰ) 변수들의 제 1 세트의 조합과 연계된 파라미터들의 제 1 세트, 및 (ⅱ) 변수들의 제 2 세트의 조합과 연계된 파라미터들의 제 2 세트의 주어진 값들을 사용하여 상관관계를 연산하는 단계;calculating a correlation using given values of (i) a first set of parameters associated with a first set of combinations of variables, and (ii) a second set of parameters associated with a second set of combinations of variables. ;

상관관계가 최대화되는지 여부를 결정하는 단계; 및determining whether the correlation is maximized; and

상관관계가 지정된 범위 내에 있지 않음에 응답하여, 상관관계가 최대화될 때까지 파라미터들의 제 1 세트 및 파라미터들의 제 2 세트의 주어진 값들을 조정하는 단계를 포함하는 방법.in response to the correlation being not within the specified range, adjusting the given values of the first set of parameters and the second set of parameters until the correlation is maximized.

195. 193 항 또는 194 항에 있어서, 모델을 트레이닝하는 단계는:195. The method of 193 or 194, wherein training the model comprises:

(a) 변수들의 제 1 세트의 서브-조합 및 서브-조합의 상관관계가 지정된 상관관계 임계치를 초과하는지 여부를 결정하는 단계;(a) determining whether a sub-combination of a first set of variables and a correlation of the sub-combination exceed a specified correlation threshold;

(b) 서브-조합이 초과함에 응답하여, 모델에 서브-조합을 포함하는 단계; 및(b) in response to the sub-combination being exceeded, including the sub-combination in the model; and

(c) 서브-조합이 초과하지 않음에 응답하여, 변수들의 제 1 세트의 또 다른 서브-조합을 선택하는 단계, 및 지정된 반복 횟수 동안 또는 서브-조합이 소진될 때까지 단계 (a) 내지 (c)를 반복하는 단계를 포함하는 방법.(c) in response to the sub-combination not being exceeded, selecting another sub-combination of the first set of variables, and for a specified number of iterations or until the sub-combination is exhausted, steps (a) to ( c) repeating.

196. 비-일시적 컴퓨터 판독가능한 매체로서,196. A non-transitory computer readable medium comprising:

1 이상의 프로세서에 의해 실행될 때, 작업들이 현상 후 이미지(ADI) 피처에 기초하여 에칭 후 이미지(AEI) 피처를 결정하도록 구성되는 모델을 트레이닝하게 하는 명령어들을 포함하고, 작업들은:instructions that, when executed by the one or more processors, cause the operations to train a model configured to determine a post-etch image (AEI) feature based on the post-develop image (ADI) feature, the operations comprising:

(ⅰ) 기판 상에 이미징된 ADI 피처의 측정, 및 (ⅱ) 측정된 ADI 피처에 대응하는, 에칭 공정을 거친 기판 상의 에칭 후 이미지(AEI) 피처의 측정을 얻는 것;(i) measurements of the imaged ADI features on the substrate, and (ii) obtaining measurements of post-etched image (AEI) features on the substrate that have been subjected to the etching process, corresponding to the measured ADI features;

측정된 ADI 피처를 특징짓는 변수들의 제 1 세트 및 측정된 AEI 피처를 특징짓는 변수들의 제 2 세트를 할당하는 것;assigning a first set of variables characterizing the measured ADI feature and a second set of variables characterizing the measured AEI feature;

측정된 ADI 피처의 변수들의 제 1 세트의 조합과 측정된 AEI 피처의 변수들의 제 2 세트의 조합 사이의 상관관계를 결정하는 것; 및determining a correlation between the combination of the first set of variables of the measured ADI feature and the combination of the second set of variables of the measured AEI feature; and

상관관계에 기초하여, 지정된 상관관계 임계치 내의 상관관계 값들을 갖는 변수들의 제 1 세트의 1 이상의 서브-조합을 포함함으로써 모델을 트레이닝하는 것 -모델은 입력 ADI 피처에 대한 AEI 피처를 결정하는 데 사용되도록 구성됨- 을 포함하는 비-일시적 컴퓨터 판독가능한 매체.training the model, based on the correlation, by including one or more sub-combinations of the first set of variables having correlation values within a specified correlation threshold, the model being used to determine an AEI feature for the input ADI feature A non-transitory computer readable medium comprising - configured to be

197. 196 항에 있어서, 상관관계를 결정하는 것은:197. The method of 196, wherein determining the correlation comprises:

(ⅰ) 변수들의 제 1 세트의 조합과 연계된 파라미터들의 제 1 세트, 및 (ⅱ) 변수들의 제 2 세트의 조합과 연계된 파라미터들의 제 2 세트의 주어진 값들을 사용하여 상관관계를 연산하는 단계;calculating a correlation using given values of (i) a first set of parameters associated with a first set of combinations of variables, and (ii) a second set of parameters associated with a second set of combinations of variables. ;

상관관계가 최대화되는지 여부를 결정하는 단계; 및determining whether the correlation is maximized; and

상관관계가 최대화되지 않음에 응답하여, 상관관계가 최대화될 때까지 파라미터들의 제 1 세트 및 파라미터들의 제 2 세트의 주어진 값들을 조정하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.in response to the correlation not being maximized, adjusting the given values of the first set of parameters and the second set of parameters until the correlation is maximized.

198. 197 항에 있어서, 파라미터들의 제 1 세트 및 파라미터들의 제 2 세트의 주어진 값들을 조정하는 단계는 상관관계가 지정된 범위 내에 있을 때까지 수행되는 비-일시적 컴퓨터 판독가능한 매체.198. The non-transitory computer-readable medium of clause 197, wherein adjusting the given values of the first set of parameters and the second set of parameters is performed until the correlation is within a specified range.

199. 196 항 내지 198 항 중 어느 하나에 있어서, 모델을 트레이닝하는 것은:199. The method of any one of clauses 196-198, wherein training the model comprises:

(a) 변수들의 제 1 및 제 2 세트의 서브-조합들 및 서브-조합의 상관관계가 지정된 상관관계 임계치를 초과하는지 여부를 결정하는 단계;(a) determining whether a correlation of sub-combinations and sub-combinations of the first and second sets of variables exceeds a specified correlation threshold;

(b) 서브-조합들이 초과함에 응답하여, 모델에 서브-조합을 포함하는 단계; 및(b) in response to the sub-combinations being exceeded, including the sub-combination in the model; and

(c) 서브-조합들이 초과하지 않음에 응답하여, 변수들의 제 1 세트의 또 다른 서브-조합을 선택하는 단계, 및 지정된 반복 횟수 동안 또는 서브-조합들이 소진될 때까지 단계 (a) 내지 (c)를 반복하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.(c) in response to the sub-combinations not being exceeded, selecting another sub-combination of the first set of variables, and steps (a)-( A non-transitory computer readable medium comprising repeating c).

200. 199 항에 있어서, 지정된 상관관계 임계치는 0.01보다 큰 비-일시적 컴퓨터 판독가능한 매체.200. The non-transitory computer readable medium of clause 199, wherein the designated correlation threshold is greater than 0.01.

201. 196 항 내지 200 항 중 어느 하나에 있어서, 변수들의 제 1 세트의 조합 또는 1 이상의 서브-조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델인 비-일시적 컴퓨터 판독가능한 매체.201. The non-transitory computer-readable medium of any of clauses 196-200, wherein the combination or one or more sub-combinations of the first set of variables is a linear combination, a non-linear combination, or a machine learning model.

202. 201 항에 있어서, 변수들의 제 1 세트의 조합 또는 1 이상의 서브-조합은 변수들의 제 1 세트의 가중 합이고, 가중치들은 양의 값들 또는 음의 값들인 비-일시적 컴퓨터 판독가능한 매체.202. The non-transitory computer-readable medium of clause 201, wherein the combination or one or more sub-combinations of the first set of variables is a weighted sum of the first set of variables, the weights being positive values or negative values.

203. 196 항 내지 202 항 중 어느 하나에 있어서, 변수들의 제 2 세트의 조합 또는 1 이상의 서브-조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델인 비-일시적 컴퓨터 판독가능한 매체.203. The non-transitory computer-readable medium of any of clauses 196-202, wherein the combination or one or more sub-combinations of the second set of variables is a linear combination, a non-linear combination, or a machine learning model.

204. 203 항에 있어서, 상관관계는 다음 수학식을 사용하여 연산되고:204. The clause of clause 203, wherein the correlation is computed using the following equation:

Figure pct00108
Figure pct00108

Figure pct00109
은 변수들의 제 1 세트의 벡터 형태이고,
Figure pct00110
은 파라미터들의 제 1 세트에 대응하며,
Figure pct00111
은 변수들의 제 1 세트의 1 이상의 조합을 포함하고,
Figure pct00112
은 변수들의 제 2 세트의 벡터 형태이며,
Figure pct00113
은 파라미터들의 제 2 세트에 대응하고,
Figure pct00114
은 변수들의 제 2 세트의 1 이상의 조합을 포함하며, R 2 의 분자는
Figure pct00115
Figure pct00116
사이의 공분산을 나타내고, 분모는
Figure pct00117
의 분산과
Figure pct00118
의 분산의 곱을 나타내는 비-일시적 컴퓨터 판독가능한 매체.
Figure pct00109
is the vector form of the first set of variables,
Figure pct00110
corresponds to the first set of parameters,
Figure pct00111
comprises one or more combinations of the first set of variables,
Figure pct00112
is the vector form of the second set of variables,
Figure pct00113
corresponds to the second set of parameters,
Figure pct00114
comprises one or more combinations of the second set of variables, wherein the numerator of R 2 is
Figure pct00115
Wow
Figure pct00116
represents the covariance between, and the denominator is
Figure pct00117
dispersion of
Figure pct00118
A non-transitory computer readable medium representing the product of the variances of .

205. 196 항 내지 204 항 중 어느 하나에 있어서, 변수들의 제 1 세트는 측정된 ADI 피처의 ADI 윤곽 상의 위치의 세트에 대응하고, 변수들의 제 2 세트는 측정된 AEI 피처의 AEI 윤곽 상의 위치의 세트에 대응하는 비-일시적 컴퓨터 판독가능한 매체.205. The first set of variables of any of clauses 196-204, wherein the first set of variables corresponds to a set of positions on the ADI contour of the measured ADI feature, and the second set of variables is a set of positions on the AEI contour of the measured AEI feature. A non-transitory computer-readable medium corresponding to a set.

206. 196 항 내지 205 항 중 어느 하나에 있어서, 1 이상의 서브-조합은 측정된 ADI 피처에 대해 수행되는 프로세스에 의해 야기되는 측정된 ADI 피처의 ADI 윤곽의 변형량을 특징짓는 비-일시적 컴퓨터 판독가능한 매체.206. The non-transitory computer readable according to any one of clauses 196-205, wherein the one or more sub-combinations characterizes an amount of deformation of the ADI contour of the measured ADI feature caused by a process performed on the measured ADI feature. media.

207. 206 항에 있어서, 변형량은 ADI 윤곽의 주어진 위치와 AEI 윤곽의 대응하는 위치 간의 차이인 비-일시적 컴퓨터 판독가능한 매체.207. The non-transitory computer-readable medium of clause 206, wherein the amount of deformation is a difference between a given position of the ADI contour and a corresponding position of the AEI contour.

208. 207 항에 있어서, 변형량은 변수들의 제 1 세트의 선형 조합에 의해 특징지어지는 비-일시적 컴퓨터 판독가능한 매체.208. The non-transitory computer-readable medium of clause 207, wherein the amount of deformation is characterized by a linear combination of the first set of variables.

209. 196 항 내지 208 항 중 어느 하나에 있어서, 1 이상의 서브-조합은: 측정된 ADI 피처의 지정된 방향으로의 병진; 측정된 ADI 피처의 임계 치수; 측정된 ADI 피처의 지정된 방향으로의 신장; 측정된 ADI 피처의 삼각도; 또는 측정된 ADI 피처의 회전 중 1 이상을 특징짓는 비-일시적 컴퓨터 판독가능한 매체.209. The method of any one of clauses 196-208, wherein the one or more sub-combinations comprise: translation of the measured ADI feature in a designated direction; critical dimension of the measured ADI feature; elongation in a specified direction of the measured ADI feature; Triangulation of measured ADI features; or a non-transitory computer readable medium characterizing one or more of the measured rotation of the ADI feature.

210. 196 항 내지 209 항 중 어느 하나에 있어서, 상관관계를 결정하는 것은 변수들의 제 1 세트 또는 변수들의 제 2 세트에서 1 이상의 변수를 제외하는 희소성 제약에 기초하며, 1 이상의 변수는 0.01보다 작은 상관관계 값과 연계되는 비-일시적 컴퓨터 판독가능한 매체.210. The method of any one of clauses 196-209, wherein determining the correlation is based on a sparsity constraint excluding the at least one variable from the first set of variables or the second set of variables, wherein the at least one variable is less than 0.01. A non-transitory computer readable medium associated with a correlation value.

211. 196 항 내지 210 항 중 어느 하나에 있어서, 측정된 ADI 및 측정된 AEI는 입력 타겟 피처에 대한 ADI 피처 및 AEI 피처를 생성하도록 구성되는 시뮬레이션 프로세스 또는 메트롤로지 툴을 통해 얻어지는 비-일시적 컴퓨터 판독가능한 매체.211. The non-transitory computer of any of clauses 196-210, wherein the measured ADI and the measured AEI are obtained via a simulation process or metrology tool configured to generate the ADI feature and the AEI feature for the input target feature. readable medium.

212. 211 항에 있어서, 메트롤로지 툴은 기판의 ADI 및 AEI를 캡처하도록 구성되는 스캐닝 전자 현미경(SEM)이며, ADI는 ADI 피처를 포함하고, AEI는 AEI 피처를 포함하는 비-일시적 컴퓨터 판독가능한 매체.212. The non-transitory computer read of clause 211, wherein the metrology tool is a scanning electron microscope (SEM) configured to capture the ADI and AEI of the substrate, the ADI comprising the ADI feature, and the AEI comprising the AEI feature. possible medium.

213. 212 항에 있어서, ADI는 ADI 피처의 제 1 및 제 2 SEM 측정으로부터 얻어지는 이미지들을 포함하고, AEI는 AEI 피처의 제 1 및 제 2 SEM 측정들로부터 얻어지는 이미지들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.213. The non-transitory computer read of clause 212, wherein the ADI comprises images obtained from the first and second SEM measurements of the ADI feature and the AEI comprises images obtained from the first and second SEM measurements of the AEI feature. possible medium.

214. 196 항 내지 213 항 중 어느 하나에 있어서, ADI 피처는 관심 피처 및 1 이상의 이웃 피처를 포함하는 비-일시적 컴퓨터 판독가능한 매체.214. The non-transitory computer-readable medium of any of clauses 196-213, wherein the ADI features include a feature of interest and one or more neighboring features.

215. 214 항에 있어서, 변수들의 제 1 세트는 관심 피처와 연계된 변수들의 제 1 서브세트 및 1 이상의 이웃 피처와 연계된 변수들의 제 2 서브세트를 포함하는 비-일시적 컴퓨터 판독가능한 매체.215. The non-transitory computer-readable medium of clause 214, wherein the first set of variables comprises a first subset of variables associated with a feature of interest and a second subset of variables associated with one or more neighboring features.

216. 215 항에 있어서, 조합 또는 1 이상의 서브-조합은 관심 피처와 연계된 변수들의 제 1 서브세트 및 1 이상의 이웃 피처와 연계된 변수들의 제 2 서브세트의 가중 합이고, 이웃 피처의 변수들에 할당되는 가중치들은 관심 피처로부터 떨어져 있는 또 다른 이웃 피처의 변수들보다 상대적으로 더 높은 비-일시적 컴퓨터 판독가능한 매체.216. The combination or one or more sub-combinations of clause 215, wherein the combination or one or more sub-combinations is a weighted sum of the first subset of variables associated with the feature of interest and the second subset of the variables associated with the one or more neighboring features, the variables of the neighboring feature The weights assigned to the non-transitory computer-readable medium are relatively higher than variables of another neighboring feature away from the feature of interest.

217. 196 항 내지 216 항 중 어느 하나에 있어서,217. The method of any one of paragraphs 196-216,

상관관계에 기초하여, 상관관계가 개선되게 하도록 메트롤로지 툴 설정들을 조정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.based on the correlation, adjusting metrology tool settings to cause the correlation to be improved.

218. 217 항에 있어서, 메트롤로지 툴 설정은: e-빔 세기, 입사각, 전압 콘트라스트, SEM 임계치, 픽셀 크기, 스캔 속도, 또는 프레임 수 중 적어도 하나를 포함하는 비-일시적 컴퓨터 판독가능한 매체.218. The non-transitory computer-readable medium of clause 217, wherein the metrology tool settings include at least one of: e-beam intensity, angle of incidence, voltage contrast, SEM threshold, pixel size, scan rate, or number of frames.

219. 196 항 내지 218 항 중 어느 하나에 있어서,219. The method of any one of 196-218,

상관관계에 기초하여, 상관관계가 개선되게 하도록 윤곽 추출 알고리즘과 연계된 1 이상의 파라미터를 조정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.based on the correlation, adjusting one or more parameters associated with the contour extraction algorithm to cause the correlation to be improved.

220. 196 항 내지 219 항 중 어느 하나에 있어서,220. The method of any one of items 196 to 219,

상관관계를 사용한 패터닝 공정 및 에칭 공정의 시뮬레이션을 통해, 패터닝 공정의 수율이 지정된 수율 임계치보다 크게 하도록 레지스트 공정 또는 에칭 공정과 연계된 파라미터들을 조정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.and adjusting parameters associated with the resist process or the etch process such that, through simulation of the patterning process and the etch process using correlation, a yield of the patterning process is greater than a specified yield threshold.

221. 196 항 내지 220 항 중 어느 하나에 있어서,221. The method according to any one of 196-220,

상관관계를 사용한 패터닝 공정의 시뮬레이션을 통해, 리소그래피 장치의 성능 메트릭이 지정된 성능 임계치 내에 있게 하도록 리소그래피 공정과 관련된 파라미터들을 조정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.The non-transitory computer readable medium further comprising adjusting parameters related to the lithographic process such that, through simulation of the patterning process using correlation, a performance metric of the lithographic apparatus is within a specified performance threshold.

222. 221 항에 있어서, 패터닝 공정의 파라미터는: 리소그래피 장치와 연계된 도즈 또는 포커스 조건들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.222. The non-transitory computer readable medium of clause 221, wherein the parameters of the patterning process include: dose or focus conditions associated with a lithographic apparatus.

223. 196 항 내지 222 항 중 어느 하나에 있어서,223. The method according to any one of 196 to 222,

ADI 피처들의 변수들의 제 1 세트의 선택된 조합 및 포커스 및 노광 조건들에 대한 그 민감도에 기초하여 공정 품질을 모니터링하는 것; 및monitoring process quality based on the selected combination of the first set of variables of the ADI features and its sensitivity to focus and exposure conditions; and

지정된 범위에서 공정 품질을 유지하도록 1 이상의 공정 파라미터를 조정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.The non-transitory computer readable medium further comprising adjusting one or more process parameters to maintain process quality within a specified range.

224. 223 항에 있어서, 모니터링은:224. The method of 223, wherein the monitoring comprises:

팁-대-팁 패턴의 관련 ADI 윤곽 속성들을 측정하는 단계; 및measuring relevant ADI contour properties of the tip-to-tip pattern; and

측정된 민감도 및 상관관계에 기초하여, ADI 피처의 AEI 피처로의 팁-대-팁 변환을 개선하도록 1 이상의 공정 파라미터를 조정하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer readable medium comprising adjusting one or more process parameters to improve tip-to-tip conversion of an ADI feature to an AEI feature based on the measured sensitivity and correlation.

225. 비-일시적 컴퓨터 판독가능한 매체로서,225. A non-transitory computer readable medium comprising:

1 이상의 프로세서에 의해 실행될 때, 작업들이 현상 후 이미지(ADI)에 기초하여 에칭 후 이미지(AEI)를 결정하도록 구성되는 모델을 트레이닝하게 하는 명령어들을 포함하고, 작업들은:instructions that, when executed by the one or more processors, cause the operations to train a model configured to determine a post-etch image (AEI) based on the post-development image (ADI), the operations comprising:

(ⅰ) 이미징된 기판의 ADI, 및 (ⅱ) 이미징된 기판을 에칭한 후의 에칭 후 이미지(AEI)를 얻는 것;obtaining (i) an ADI of the imaged substrate, and (ii) a post-etch image (AEI) after etching the imaged substrate;

ADI의 변수들의 제 1 세트의 조합과 AEI의 변수들의 제 2 세트의 조합 사이의 상관관계를 결정하는 것 -변수들의 제 1 세트 및 제 2 세트는 각각 ADI 및 AEI의 그레이 스케일 값들임- ; 및determining a correlation between the combination of the first set of variables of the ADI and the second set of variables of the AEI, the first and second set of variables being gray scale values of the ADI and the AEI, respectively; and

상관관계에 기초하여, 지정된 상관관계 임계치 내의 상관관계 값들을 갖는 변수들의 제 1 세트의 1 이상의 서브-조합을 포함함으로써 모델을 트레이닝하는 것 -모델은 입력 ADI에 대한 AEI를 결정하는 데 사용되도록 구성됨- 를 포함하는 비-일시적 컴퓨터 판독가능한 매체.training the model, based on the correlation, by including one or more sub-combinations of the first set of variables having correlation values within a specified correlation threshold, wherein the model is configured to be used to determine an AEI for an input ADI. - A non-transitory computer readable medium comprising a.

226. 225 항에 있어서, 상관관계를 결정하는 것은:226. The method of 225, wherein determining the correlation comprises:

(ⅰ) 변수들의 제 1 세트의 조합과 연계된 파라미터들의 제 1 세트, 및 (ⅱ) 변수들의 제 2 세트의 조합과 연계된 파라미터들의 제 2 세트의 주어진 값들을 사용하여 상관관계를 연산하는 단계;calculating a correlation using given values of (i) a first set of parameters associated with a first set of combinations of variables, and (ii) a second set of parameters associated with a second set of combinations of variables. ;

상관관계가 최대화되는지 여부를 결정하는 단계; 및determining whether the correlation is maximized; and

상관관계가 지정된 범위 내에 있지 않음에 응답하여, 상관관계가 최대화될 때까지 파라미터들의 제 1 세트 및 파라미터들의 제 2 세트의 주어진 값들을 조정하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.responsive to the correlation being not within the specified range, adjusting the given values of the first set of parameters and the second set of parameters until the correlation is maximized.

227. 225 항 또는 226 항에 있어서, 모델을 트레이닝하는 것은:227. The method of 225 or 226, wherein training the model comprises:

(a) 변수들의 제 1 세트의 서브-조합 및 서브-조합의 상관관계가 지정된 상관관계 임계치를 초과하는지 여부를 결정하는 단계;(a) determining whether a sub-combination of a first set of variables and a correlation of the sub-combination exceed a specified correlation threshold;

(b) 서브-조합이 초과함에 응답하여, 모델에 서브-조합을 포함하는 단계; 및(b) in response to the sub-combination being exceeded, including the sub-combination in the model; and

(c) 서브-조합이 초과하지 않음에 응답하여, 변수들의 제 1 세트의 또 다른 서브-조합을 선택하는 단계, 및 지정된 반복 횟수 동안 또는 서브-조합이 소진될 때까지 단계 (a) 내지 (c)를 반복하는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.(c) in response to the sub-combination not being exceeded, selecting another sub-combination of the first set of variables, and for a specified number of iterations or until the sub-combination is exhausted, steps (a) to ( A non-transitory computer readable medium comprising repeating c).

228. 현상 후 이미지(ADI)에 기초하여 에칭 후 이미지(AEI)를 결정하는 방법으로서,228. A method of determining an image after etching (AEI) based on the image after development (ADI), the method comprising:

기판의 ADI를 얻는 단계; 및obtaining the ADI of the substrate; and

트레이닝된 모델을 통해, ADI를 트레이닝된 모델에 입력하고 ADI를 출력함으로써 AEI를 결정하는 단계 -트레이닝된 모델은 측정된 ADI의 변수들의 제 1 세트의 조합과 측정된 AEI의 변수들의 제 2 세트의 조합 사이의 상관관계에 기초하여 트레이닝되고, 상관관계는 지정된 상관관계 임계치 내에 있음- 를 포함하는 방법.through the trained model, determining the AEI by inputting the ADI into the trained model and outputting the ADI - the trained model is a combination of the first set of variables of the measured ADI and the second set of variables of the measured AEI trained based on correlations between combinations, wherein the correlations are within a specified correlation threshold.

229. 228 항에 있어서, 상관관계는:229. The clause of 228, wherein the correlation is:

(ⅰ) 변수들의 제 1 세트의 조합과 연계된 파라미터들의 제 1 세트, 및 (ⅱ) 변수들의 제 2 세트의 조합과 연계된 파라미터들의 제 2 세트의 주어진 값들을 사용하여 상관관계를 연산하는 단계;calculating a correlation using given values of (i) a first set of parameters associated with a first set of combinations of variables, and (ii) a second set of parameters associated with a second set of combinations of variables. ;

상관관계가 최대화되는지 여부를 결정하는 단계; 및determining whether the correlation is maximized; and

상관관계가 최대화되지 않음에 응답하여, 상관관계가 최대화될 때까지 파라미터들의 제 1 세트 및 파라미터들의 제 2 세트의 주어진 값들을 조정하는 단계에 의해 결정되는 방법.in response to the correlation not being maximized, adjusting the given values of the first set of parameters and the second set of parameters until the correlation is maximized.

230. 228 항 또는 229 항에 있어서, 트레이닝된 모델은:230. The model of 228 or 229, wherein the trained model comprises:

(a) 변수들의 제 1 및 제 2 세트의 서브-조합들 및 서브-조합의 상관관계가 지정된 상관관계 임계치를 초과하는지 여부를 결정하는 단계;(a) determining whether a correlation of sub-combinations and sub-combinations of the first and second sets of variables exceeds a specified correlation threshold;

(b) 서브-조합들이 초과함에 응답하여, 모델에 서브-조합을 포함하는 단계; 및(b) in response to the sub-combinations being exceeded, including the sub-combination in the model; and

(c) 서브-조합들이 초과하지 않음에 응답하여, 변수들의 제 1 세트의 또 다른 서브-조합을 선택하는 단계, 및 지정된 반복 횟수 동안 또는 서브-조합이 소진될 때까지 단계 (a) 내지 (c)를 반복하는 단계에 의해 얻어지는 방법.(c) in response to the sub-combinations not being exceeded, selecting another sub-combination of the first set of variables, and for a specified number of iterations or until the sub-combination is exhausted, steps (a) to ( c) repeating step.

231. 228 항 내지 230 항 중 어느 하나에 있어서, 변수들의 제 1 세트의 조합 또는 1 이상의 서브-조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델인 방법.231. The method of any of 228-230, wherein the combination or one or more sub-combinations of the first set of variables is a linear combination, a non-linear combination, or a machine learning model.

232. 231 항에 있어서, 변수들의 제 1 세트의 조합은 변수들의 제 1 세트의 가중 합이고, 가중치들은 양의 값들 또는 음의 값들인 방법.232. The method of 231, wherein the combination of the first set of variables is a weighted sum of the first set of variables, wherein the weights are positive values or negative values.

233. 228 항 내지 232 항 중 어느 하나에 있어서, 변수들의 제 2 세트의 조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델인 방법.233. The method of any of clauses 228-232, wherein the combination of the second set of variables is a linear combination, a non-linear combination, or a machine learning model.

234. 228 항 내지 233 항 중 어느 하나에 있어서, ADI는 ADI 피처를 포함하고, AEI는 ADI 피처에 대응하는 AEI 피처를 포함하며, AEI 피처는 트레이닝된 모델을 통해 결정되는 방법.234. The method of any of clauses 228-233, wherein the ADI comprises an ADI feature and the AEI comprises an AEI feature corresponding to the ADI feature, wherein the AEI feature is determined via a trained model.

235. 228 항 내지 233 항 중 어느 하나에 있어서, 트레이닝된 모델은:235. The model according to any one of clauses 228 to 233, wherein the trained model comprises:

지정된 방향으로의 ADI의 피처의 병진;translation of features in ADI in a specified direction;

ADI의 피처의 임계 치수;critical dimensions of features in ADI;

지정된 방향으로의 ADI의 피처의 신장;elongation of a feature in the ADI in a specified direction;

ADI의 피처의 삼각도; 또는Triangulation of features in ADI; or

ADI의 피처의 회전 중 1 이상을 결정하는 방법.How to determine one or more of the rotations of a feature in ADI.

236. 비-일시적 컴퓨터 판독가능한 매체로서,236. A non-transitory computer readable medium comprising:

1 이상의 프로세서에 의해 실행될 때, 작업들이 현상 후 이미지(ADI) 피처에 기초하여 에칭 후 이미지(AEI) 피처를 결정하게 하는 명령어들을 포함하고, 작업들은:instructions that, when executed by the one or more processors, cause the operations to determine a post-etch image (AEI) feature based on the post-develop image (ADI) feature, the operations comprising:

기판의 ADI를 얻는 것; 및obtaining the ADI of the substrate; and

트레이닝된 모델을 통해, ADI를 트레이닝된 모델에 입력하고 ADI를 출력함으로써 AEI를 결정하는 것을 포함하며, 트레이닝된 모델은 측정된 ADI의 변수들의 제 1 세트의 조합과 측정된 AEI의 변수들의 제 2 세트의 조합 사이의 상관관계에 기초하여 트레이닝되고, 상관관계는 지정된 상관관계 임계치 내에 있는 비-일시적 컴퓨터 판독가능한 매체.determining, via the trained model, the AEI by inputting the ADI to the trained model and outputting the ADI, wherein the trained model is a combination of a first set of variables of the measured ADI and a second set of variables of the measured AEI; A non-transitory computer-readable medium trained based on correlations between combinations of sets, wherein the correlations are within a specified correlation threshold.

237. 236 항에 있어서, 상관관계는:237. The correlation of 236, wherein the correlation is:

(ⅰ) 변수들의 제 1 세트의 조합과 연계된 파라미터들의 제 1 세트, 및 (ⅱ) 변수들의 제 2 세트의 조합과 연계된 파라미터들의 제 2 세트의 주어진 값들을 사용하여 상관관계를 연산하는 단계;calculating a correlation using given values of (i) a first set of parameters associated with a first set of combinations of variables, and (ii) a second set of parameters associated with a second set of combinations of variables. ;

상관관계가 최대화되는지 여부를 결정하는 단계; 및determining whether the correlation is maximized; and

상관관계가 최대화되지 않음에 응답하여, 상관관계가 최대화될 때까지 파라미터들의 제 1 세트 및 파라미터들의 제 2 세트의 주어진 값들을 조정하는 단계에 의해 결정되는 비-일시적 컴퓨터 판독가능한 매체.in response to the correlation not being maximized, adjusting the given values of the first set of parameters and the second set of parameters until the correlation is maximized.

238. 236 항 또는 237 항에 있어서, 트레이닝된 모델은:238. The model of 236 or 237, wherein the trained model comprises:

(a) 변수들의 제 1 및 제 2 세트의 서브-조합들 및 서브-조합의 상관관계가 지정된 상관관계 임계치를 초과하는지 여부를 결정하는 단계;(a) determining whether a correlation of sub-combinations and sub-combinations of the first and second sets of variables exceeds a specified correlation threshold;

(b) 서브-조합들이 초과함에 응답하여, 모델에 서브-조합을 포함하는 단계; 및(b) in response to the sub-combinations being exceeded, including the sub-combination in the model; and

(c) 서브-조합들이 초과하지 않음에 응답하여, 변수들의 제 1 세트의 또 다른 서브-조합을 선택하는 단계, 및 지정된 반복 횟수 동안 또는 서브-조합이 소진될 때까지 단계 (a) 내지 (c)를 반복하는 단계에 의해 얻어지는 비-일시적 컴퓨터 판독가능한 매체.(c) in response to the sub-combinations not being exceeded, selecting another sub-combination of the first set of variables, and for a specified number of iterations or until the sub-combination is exhausted, steps (a) to ( A non-transitory computer readable medium obtained by repeating c).

239. 236 항 내지 238 항 중 어느 하나에 있어서, 변수들의 제 1 세트의 조합 또는 1 이상의 서브-조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델인 비-일시적 컴퓨터 판독가능한 매체.239. The non-transitory computer-readable medium of any one of clauses 236-238, wherein the combination or one or more sub-combinations of the first set of variables is a linear combination, a non-linear combination, or a machine learning model.

240. 237 항에 있어서, 변수들의 제 1 세트의 조합은 변수들의 제 1 세트의 가중 합이고, 가중치들은 양의 값들 또는 음의 값들인 비-일시적 컴퓨터 판독가능한 매체.240. The non-transitory computer-readable medium of clause 237, wherein the combination of the first set of variables is a weighted sum of the first set of variables, the weights being positive values or negative values.

241. 236 항 내지 240 항 중 어느 하나에 있어서, 변수들의 제 2 세트의 조합은 선형 조합, 비선형 조합, 또는 기계 학습 모델인 비-일시적 컴퓨터 판독가능한 매체.241. The non-transitory computer-readable medium of any of clauses 236-240, wherein the combination of the second set of variables is a linear combination, a non-linear combination, or a machine learning model.

242. 236 항 내지 241 항 중 어느 하나에 있어서, ADI는 ADI 피처를 포함하고, AEI는 ADI 피처에 대응하는 AEI 피처를 포함하며, AEI 피처는 트레이닝된 모델을 통해 결정되는 비-일시적 컴퓨터 판독가능한 매체.242. The non-transitory computer-readable according to any one of clauses 236 to 241, wherein the ADI comprises an ADI feature, the AEI comprises an AEI feature corresponding to the ADI feature, and wherein the AEI feature is determined via a trained model. media.

243. 236 항 내지 242 항 중 어느 하나에 있어서, 트레이닝된 모델은:243. The model of any one of clauses 236 to 242, wherein the trained model comprises:

지정된 방향으로의 ADI의 피처의 병진;translation of features in ADI in a specified direction;

ADI의 피처의 임계 치수;critical dimensions of features in ADI;

지정된 방향으로의 ADI의 피처의 신장;elongation of a feature in the ADI in a specified direction;

ADI의 피처의 삼각도; 또는Triangulation of features in ADI; or

ADI의 피처의 회전 중 1 이상을 결정하는 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer readable medium that determines one or more of rotations of features of the ADI.

244. 현상 후 이미지의 결함 있음과 연계된 해석 모델을 결정하는 방법으로서,244. A method for determining an interpretation model associated with a defect in an image after development, comprising:

메트롤로지 툴을 통해, (ⅰ) 주어진 위치에서의 이미징된 기판의 현상 후 이미지(ADI), 및 (ⅱ) 주어진 위치에서의 이미징된 기판의 에칭 후 이미지(AEI)를 얻는 단계; 및Obtaining, via a metrology tool, (i) a post-development image (ADI) of the imaged substrate at a given location, and (ii) a post-etch image (AEI) of the imaged substrate at a given location; and

ADI 및 AEI에 기초하여, ADI에서 피처의 결함 있음을 설명하는 ADI의 부분들을 식별하도록 구성되는 해석 모델을 결정하는 단계를 포함하는 방법.A method comprising determining, based on the ADI and the AEI, an interpretation model configured to identify portions of the ADI that describe a defect in the feature in the ADI.

245. 244 항에 있어서, 해석 모델을 결정하는 단계는:245. The method of 244, wherein determining an interpretation model comprises:

LIME(local interpretable model-agnostic explanation) 접근법을 적용하여 해석 모델을 결정하는 단계를 포함하고, 해석 모델은 ADI를 입력으로서 사용하여 ADI의 피처의 결함 있음을 설명하는 해석 맵을 생성하는 방법.A method, comprising: applying a local interpretable model-agnostic explanation (LIME) approach to determine an interpretation model, wherein the interpretation model uses the ADI as an input to generate an interpretation map describing the defect of a feature of the ADI.

246. 244 항에 있어서, 해석 모델을 결정하는 단계는:246. The method of 244, wherein determining an interpretation model comprises:

ADI와 AEI 사이의 상관관계 데이터를 결정하는 단계; 및determining correlation data between the ADI and the AEI; and

상관관계 데이터를 사용하여, 고유값들이 지정된 임계치를 초과하는 고유벡터들을 결정하기 위해 주 성분 분석 또는 판별 분석을 수행하는 단계를 포함하는 방법.using the correlation data, performing principal component analysis or discriminant analysis to determine eigenvectors whose eigenvalues exceed a specified threshold.

247. 246 항에 있어서,247. The method of 246,

ADI를 고유벡터들에 투영하여 분류 값을 연산하는 단계; 및calculating a classification value by projecting the ADI onto the eigenvectors; and

분류 값이 지정된 임계치를 초과하는 것에 응답하여, 입력 ADI 내의 피처의 결함 있음을 설명하는 것으로서 입력 ADI의 부분을 식별하는 단계를 더 포함하는 방법.responsive to the classification value exceeding the specified threshold, identifying the portion of the input ADI as delineating that a feature in the input ADI is defective.

248. 피처의 결함 있음과 관련된 현상 후 이미지의 부분들을 결정하는 비-일시적 컴퓨터 판독가능한 매체로서,248. A non-transitory computer readable medium for determining portions of an image after development associated with a defect in a feature, comprising:

1 이상의 프로세서에 의해 실행될 때,When executed by more than one processor,

패터닝된 기판의 현상 후 이미지(ADI)를 수신하는 것;receiving a post-development image (ADI) of the patterned substrate;

ADI를 해석 모델에 입력하는 것 -해석 모델은 ADI의 피처의 결함 있음을 설명하는 ADI의 부분들을 결정하도록 트레이닝됨- ; 및inputting the ADI into the analytical model, the analytical model being trained to determine the portions of the ADI that account for the defect in the features of the ADI; and

해석 모델을 통해, ADI의 피처의 결함 있음을 설명하는 ADI의 1 이상의 부분과 연계된 데이터를 생성하는 것을 포함하는 작업들을 야기하는 명령어들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.A non-transitory computer-readable medium comprising instructions that cause tasks including generating, via an interpretation model, data associated with one or more portions of the ADI that describes a defect in a feature of the ADI.

249. 피처의 결함 있음을 설명하는 현상 후 이미지의 부분들을 결정하는 시스템으로서,249. A system for determining portions of an image after development that account for a defect in a feature, comprising:

해석 모델을 저장하도록 구성되는 저장 회로 -해석 모델은 패턴의 현상 후 이미지(ADI) 및 에칭 후 이미지(AEI)를 포함하는 트레이닝 데이터의 세트에 기초하여 피처의 결함 있음을 설명하는 부분들을 결정하도록 트레이닝됨- ;a storage circuit configured to store the analytical model, the analytical model being trained to determine portions that describe defects in a feature based on a set of training data comprising an after-development image (ADI) and a post-etch image (AEI) of the pattern. become- ;

제어 회로 -이는:Control circuit - which is:

패터닝된 기판의 ADI를 수신하고; receive ADI of the patterned substrate;

ADI를 해석 모델에 입력하며; input the ADI into the analysis model;

해석 모델을 통해, ADI의 피처의 결함 있음을 설명하는 ADI의 1 이상의 부분과 연계된 데이터를 생성하도록 구성됨- ; 및 configured to generate, through the interpretive model, data associated with one or more portions of the ADI that describes a defect in a feature of the ADI; and

생성된 데이터를 디스플레이 디바이스에 표시하도록 구성되는 입력/출력 회로를 포함하는 시스템.A system comprising input/output circuitry configured to display the generated data on a display device.

본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 짧은 파장들을 생성할 수 있는 신흥 이미징 기술들로 유용할 수 있다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외) 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다.The concepts disclosed herein may simulate or mathematically model any general imaging system imaging sub-wavelength features, and may be particularly useful with emerging imaging technologies capable of producing increasingly shorter wavelengths. Emerging technologies already in use include EUV (extreme ultraviolet) lithography, which can use ArF lasers to generate wavelengths of 193 nm, and even 157 nm using fluorine lasers. Also, EUV lithography can generate wavelengths in the 20-5 nm range by hitting a material (solid or plasma) with high-energy electrons to generate photons within this range, or by using a synchrotron.

본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.While the concepts disclosed herein may be used for imaging on a substrate, such as a silicon wafer, the disclosed concepts are applicable to any type of lithographic imaging systems, for example those used for imaging on substrates other than silicon wafers. It should be understood that it can also be used as

본 명세서에서는 IC 제조에 있어서 실시예들의 특정 사용예에 대하여 언급되지만, 본 명세서의 실시예들은 다수의 다른 가능한 적용예들을 가질 수 있다는 것을 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이(LCD), 박막 자기 헤드, MEMS(micromechanical systems) 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "패터닝 디바이스", "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 또는 교환가능한 것으로 간주될 수 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴) 또는 메트롤로지 또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한 번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함하는 기판을 칭할 수도 있다.Although reference is made herein to specific uses of the embodiments in IC fabrication, it should be understood that the embodiments herein may have many other possible applications. For example, it may be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid crystal displays (LCDs), thin film magnetic heads, micromechanical systems (MEMS), and the like. One of ordinary skill in the art, in the context of these alternative applications, will refer to any use of the terms "reticle", "wafer" or "die" herein in the context of the more generic term "patterning device", "substrate" or "target portion", respectively. It will be understood that the terms may be considered synonymous or interchangeable. The substrates referred to herein may be processed before or after exposure, for example in a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist) or a metrology or inspection tool. Where applicable, the teachings herein may be applied to these and other substrate processing tools. Also, as the substrate may be processed more than once, for example to create a multilayer IC, the term substrate as used herein may also refer to a substrate comprising layers that have already been processed multiple times.

본 명세서에서, 여기에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 약 365, 약 248, 약 193, 약 157 또는 약 126 nm의 파장을 갖는) 자외 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.As used herein, the terms “radiation” and “beam” refer to particle beams such as ion beams or electron beams (eg, about 365, about 248, about 193, about 157, or about 126 nm). It encompasses all forms of electromagnetic radiation, including ultraviolet radiation (having a wavelength of

본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 결과들 및/또는 공정들이 더 바람직한 특성들, 예컨대 기판 상의 디자인 패턴의 더 높은 투영 정확성, 더 큰 공정 윈도우 등을 갖도록 패터닝 장치(예를 들어, 리소그래피 장치), 패터닝 공정 등을 조정하는 것을 칭하거나 의미한다. 따라서, 본 명세서에서 사용되는 "최적화하는" 및 "최적화"라는 용어는 1 이상의 파라미터에 대한 1 이상의 값의 초기 세트에 비해, 적어도 하나의 관련 메트릭에서 개선, 예를 들어 국부적 최적을 제공하는 1 이상의 파라미터에 대한 1 이상의 값을 식별하는 공정을 칭하거나 의미한다. "최적" 및 다른 관련 용어들은 이에 따라 해석되어야 한다. 일 실시예에서, 최적화 단계들은 1 이상의 메트릭에서 추가 개선을 제공하도록 반복적으로 적용될 수 있다.The terms “optimizing” and “optimizing” as used herein refer to a patterning apparatus such that results and/or processes have more desirable properties, such as higher projection accuracy of a design pattern on a substrate, a larger process window, etc. (eg, a lithographic apparatus) refers to or means to adjust a patterning process, etc. Thus, as used herein, the terms “optimizing” and “optimizing” refer to one or more that provide an improvement, e.g., a local optimum, in at least one relevant metric, compared to an initial set of one or more values for one or more parameters. Refers to or means the process of identifying one or more values for a parameter. "Optimal" and other related terms should be interpreted accordingly. In one embodiment, optimization steps may be applied iteratively to provide further improvements in one or more metrics.

본 발명의 실시형태들은 여하한의 편리한 형태로 구현될 수 있다. 예를 들어, 일 실시예는 유형의 전달 매체(예를 들어, 디스크) 또는 무형의 전달 매체(예를 들어, 통신 신호)일 수 있는 적절한 전달 매체에서 전달될 수 있는 1 이상의 적절한 컴퓨터 프로그램에 의해 구현될 수 있다. 본 발명의 실시예들은, 구체적으로 본 명세서에 설명된 방법을 구현하도록 배치되는 컴퓨터 프로그램을 실행하는 프로그램가능한 컴퓨터의 형태를 취할 수 있는 적절한 장치를 사용하여 구현될 수 있다. 따라서, 본 발명의 실시예들은 하드웨어, 펌웨어, 소프트웨어 또는 여하한의 그 조합으로 구현될 수 있다. 또한, 본 발명의 실시예들은 기계-판독가능한 매체 상에 저장된 명령어들로서 구현될 수 있으며, 이는 1 이상의 프로세서에 의해 판독되고 실행될 수 있다. 기계-판독가능한 매체는 기계(예를 들어, 컴퓨팅 디바이스)에 의해 판독가능한 형태로 정보를 저장하거나 전송하는 여하한의 메카니즘을 포함할 수 있다. 예를 들어, 기계-판독가능한 매체는 ROM(read only memory); RAM(random access memory); 자기 디스크 저장 매체; 광학 저장 매체; 플래시 메모리 디바이스; 전기, 광학, 음향 또는 다른 형태의 전파 신호(propagated signal)(예를 들어, 반송파, 적외선 신호, 디지털 신호 등), 및 그 밖의 것들을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴(routine), 명령어들은 본 명세서에서 소정 동작을 수행하는 것으로서 설명될 수 있다. 하지만, 이러한 설명들은 단지 편의를 위한 것이며, 이러한 동작은 사실상 컴퓨팅 디바이스, 프로세서, 제어기, 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 디바이스들로부터 일어난다는 것을 이해하여야 한다.Aspects of the invention may be embodied in any convenient form. For example, an embodiment may be transmitted by one or more suitable computer programs, which may be delivered on a suitable transmission medium, which may be a tangible transmission medium (eg, a disk) or an intangible transmission medium (eg, a communication signal). can be implemented. Embodiments of the present invention may be implemented using any suitable apparatus, which may take the form of a programmable computer running a computer program specifically arranged to implement the method described herein. Accordingly, embodiments of the present invention may be implemented in hardware, firmware, software, or any combination thereof. Further, embodiments of the invention may be implemented as instructions stored on a machine-readable medium, which may be read and executed by one or more processors. A machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (eg, a computing device). For example, machine-readable media may include read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory device; electrical, optical, acoustic, or other forms of propagated signals (eg, carrier waves, infrared signals, digital signals, etc.), and the like. Also, firmware, software, routines, and instructions may be described herein as performing certain operations. It should be understood, however, that these descriptions are for convenience only, and that such operations may in fact occur from a computing device, processor, controller, or other device executing firmware, software, routines, instructions, or the like.

블록 다이어그램들에서, 예시된 구성요소들은 개별 기능 블록들로서 도시되어 있지만, 실시예들은 본 명세서에 설명된 기능이 예시된 바와 같이 구성되는 시스템들로 제한되지 않는다. 구성요소들 각각에 의해 제공되는 기능은 현재 도시된 것과 상이하게 구성되는 소프트웨어 또는 하드웨어 모듈들에 의해 제공될 수 있으며, 예를 들어 이러한 소프트웨어 또는 하드웨어는 (예를 들어, 데이터 센터 내에서 또는 지리적으로) 혼합, 결합, 복제, 분리, 분포, 또는 달리 상이하게 구성될 수 있다. 본 명세서에 설명된 기능은 유형의 비-일시적 기계 판독가능한 매체 상에 저장된 코드를 실행하는 1 이상의 컴퓨터의 1 이상의 프로세서에 의해 제공될 수 있다. 몇몇 경우, 타사의 콘텐츠 전송 네트워크가 네트워크를 통해 전달되는 정보의 일부 또는 전부를 호스팅할 수 있으며, 이 경우 정보(예를 들어, 콘텐츠)가 공급되거나 달리 제공되라고 하는 범위에 대하여, 정보는 콘텐츠 전송 네트워크로부터 그 정보를 검색하도록 명령어들을 송신함으로써 제공될 수 있다.In the block diagrams, illustrated components are shown as individual functional blocks, but embodiments are not limited to systems in which the functionality described herein is configured as illustrated. The functionality provided by each of the components may be provided by software or hardware modules that are configured differently than presently shown, for example, such software or hardware (eg, within a data center or geographically ) may be mixed, combined, replicated, separated, distributed, or otherwise configured differently. The functions described herein may be provided by one or more processors of one or more computers executing code stored on a tangible, non-transitory, machine-readable medium. In some cases, third-party content delivery networks may host some or all of the information passed over the network, in which case, to the extent that the information (eg, content) is supplied or otherwise said to be made available, the information may be transmitted through the content delivery network. may be provided by sending instructions to retrieve the information from the network.

달리 특정적으로 명시되지 않는 한, 논의에서 명백한 바와 같이, 본 명세서 전반에 걸쳐 "처리", "연산", "계산", "결정" 등과 같은 용어를 사용한 설명들은 특수 목적 컴퓨터 또는 유사한 특수 목적 전자 처리/연산 디바이스와 같은 특정한 장치의 동작 또는 공정을 지칭한다는 것을 이해한다.Unless specifically indicated otherwise, as is clear from the discussion, descriptions using terms such as "processing", "operation", "compute", "determining", etc. throughout this specification refer to special purpose computers or similar special purpose electronic devices. It is understood to refer to the operation or process of a particular apparatus, such as a processing/computing device.

본 출원은 수 개의 발명들을 설명한다는 것을 이해하여야 한다. 이러한 발명들을 다수의 개별 특허 출원들로 분리하기보다는, 이 발명들은 단일 문서로 그룹화되었는데, 이는 이들의 관련 대상이 출원 과정에서의 절약에 적합하기 때문이다. 하지만, 이러한 발명들의 별개의 장점들 및 측면들은 합쳐지지 않아야 한다. 몇몇 경우, 실시예들이 본 명세서에 명시된 결점들을 모두 해결하지만, 본 발명들은 독립적으로 유용하며, 몇몇 실시예들은 이러한 문제들의 서브세트만을 해결하거나 본 기재내용을 검토하는 당업자에게 명백할 언급되지 않은 다른 이점들을 제공한다는 것을 이해하여야 한다. 비용의 제약으로 인해, 본 명세서에 개시된 일부 발명들은 현재 청구되지 않을 수 있으며, 본 청구항을 보정함으로써 또는 계속 출원과 같이 추후 출원에서 청구될 수 있다. 유사하게, 공간 제약으로 인해, 본 문서의 초록(Abstract)이나 발명의 요약(Summary) 부분들은 이러한 발명들 전부의 포괄적인 목록 또는 이러한 발명들의 모든 실시형태들을 포함하는 것으로 간주되어서는 안 된다.It should be understood that this application describes several inventions. Rather than isolating these inventions into a number of separate patent applications, these inventions have been grouped into a single document because their related subject matter is suitable for savings in the filing process. However, the separate advantages and aspects of these inventions should not be combined. In some instances, while embodiments solve all of the deficiencies specified herein, the present inventions are useful independently, and some embodiments solve only a subset of these problems or other, not mentioned, which will be apparent to one of ordinary skill in the art upon reviewing this disclosure. It should be understood that it provides advantages. Due to cost constraints, some inventions disclosed herein may not be claimed now and may be claimed in future applications as amended claims or as continuation applications. Similarly, due to space constraints, neither the Abstract nor the Summary portions of this document should be considered an exhaustive list of all such inventions or an inclusive of all embodiments of such inventions.

설명 및 도면들은 본 발명을 개시된 특정 형태로 제한하려는 것이 아니라, 반대로 본 발명이 첨부된 청구항에 의해 정의되는 본 발명의 기술사상 및 범위 내에 있는 모든 변형예, 균등물 및 대안예를 포함하기 위한 것임을 이해하여야 한다.It is understood that the description and drawings are not intended to limit the invention to the specific form disclosed, but, on the contrary, to cover all modifications, equivalents and alternatives falling within the spirit and scope of the invention as defined by the appended claims. should understand

본 발명의 다양한 실시형태들의 변형예들 및 대안적인 실시예들은 이 설명을 고려하여 당업자에게 명백할 것이다. 따라서, 이 설명 및 도면들은 단지 예시적인 것으로서 해석되어야 하며, 본 발명을 수행하는 일반적인 방식을 당업자에게 교시하기 위한 것이다. 본 명세서에 도시되고 설명된 본 발명의 형태들은 실시예들의 예시들로서 취해진 것으로 이해되어야 한다. 본 명세서에 도시되고 기술된 것들을 대신하여 요소들 및 재료들이 대체될 수 있으며, 부품들 및 공정들은 역전되거나 생략될 수 있고, 소정 특징들은 독립적으로 이용될 수 있으며, 실시예들 또는 실시예들의 특징들은 조합될 수 있고, 이는 모두 이러한 설명의 이점을 가진 후에 당업자에게 명백할 것이다. 다음 청구항들에 기재된 본 발명의 기술사상 및 범위를 벗어나지 않고 본 명세서에 설명된 요소들이 변경될 수 있다. 본 명세서에 사용된 표제는 단지 편제의 목적만을 위한 것이며, 설명의 범위를 제한하는 데 사용되지는 않는다.Modifications and alternative embodiments of various embodiments of the invention will be apparent to those skilled in the art in view of this description. Accordingly, this description and drawings are to be construed as illustrative only, and are intended to teach those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as examples of embodiments. Elements and materials may be substituted for those shown and described herein, parts and processes may be reversed or omitted, certain features may be used independently, and features of the embodiments or embodiments. These may be combined, all of which will be apparent to those skilled in the art after having the benefit of this description. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as set forth in the following claims. The headings used herein are for organizational purposes only and should not be used to limit the scope of the description.

본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.While the concepts disclosed herein may be used for imaging on a substrate, such as a silicon wafer, the disclosed concepts are applicable to any type of lithographic imaging systems, for example those used for imaging on substrates other than silicon wafers. It should be understood that it can also be used as

본 명세서에서 사용되는 바와 같이, 달리 특정적으로 명시되지 않는 한, "또는(or)"이라는 용어는 실행불가능한 경우를 제외하고 모든 가능한 조합들을 포괄한다. 예를 들어, 데이터베이스가 A 또는 B를 포함할 수 있다고 언급되는 경우, 달리 특정적으로 명시되거나 실행불가능하지 않는 한, 데이터베이스는 A, 또는 B, 또는 A와 B를 포함할 수 있다. 두 번째 예시로서, 데이터베이스가 A, B, 또는 C를 포함할 수 있다고 언급되는 경우, 달리 특정적으로 명시되거나 실행불가능하지 않는 한, 데이터베이스는 A, 또는 B, 또는 C, 또는 A와 B, 또는 A와 C, 또는 B와 C, 또는 A와 B와 C를 포함할 수 있다.As used herein, unless specifically stated otherwise, the term "or" encompasses all possible combinations except where impractical. For example, where it is stated that a database may include A or B, the database may include A, or B, or A and B, unless specifically stated otherwise or impracticable. As a second example, where it is stated that a database may comprise A, B, or C, the database is A, or B, or C, or A and B, or It may include A and C, or B and C, or A and B and C.

상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 이해할 것이다.The above description is for the purpose of illustration and not limitation. Accordingly, it will be understood by those skilled in the art that modifications may be made as set forth without departing from the scope of the claims set forth below.

앞선 설명에서, 흐름도에서의 여하한의 공정들, 설명들 또는 블록들은 모듈들, 세그먼트들 또는 공정에서의 특정한 논리 기능들 또는 단계들을 구현하기 위한 1 이상의 실행가능한 명령어를 포함하는 코드의 부분들을 나타내는 것으로 이해되어야 하며, 당업자라면 이해하는 바와 같이, 관련 기능에 따라 실질적으로 동시에 또는 역순으로 수행되는 것을 포함하여, 기능들이 도시되거나 논의된 순서를 벗어나 실행될 수 있는 대안적인 구현들이 본 발명의 예시적인 실시예들의 범위 내에 포함된다.In the preceding description, any processes, descriptions, or blocks in a flowchart represent modules, segments, or portions of code comprising one or more executable instructions for implementing particular logical functions or steps in a process. It should be understood that, and as will be understood by those skilled in the art, alternative implementations of the present invention may exist in which the functions may be performed outside the order shown or discussed, including being performed substantially concurrently or in reverse order depending on the functions involved, as will be understood by those skilled in the art. Included within the scope of examples.

소정 미국 특허, 미국 특허 출원 또는 기타 자료(예를 들어, 기사)가 인용참조된 범위에서, 이러한 미국 특허, 미국 특허 출원 및 기타 자료의 텍스트는 이러한 자료와 본 명세서에 명시된 기재내용 및 도면 간에 상충하지 않는 정도로만 인용참조된다. 이러한 상충의 경우, 이러한 인용참조된 미국 특허, 미국 특허 출원 및 기타 자료에서의 여하한의 이러한 상충하는 텍스트는 본 명세서에서 구체적으로 인용참조되지 않는다.To the extent that any U.S. patent, U.S. patent application, or other material (eg, article) is cited by reference, the text of such U.S. patent, U.S. patent application, and other material is in conflict between such material and the disclosure and drawings set forth herein. It is cited only to the extent that it is not. In case of such conflict, any such conflicting text in such referenced US patents, US patent applications and other materials is not specifically incorporated by reference herein.

소정 실시예들이 설명되었지만, 이 실시예들은 단지 예시의 방식으로 제시되었으며, 본 발명의 범위를 제한하도록 의도되지 않는다. 실제로, 본 명세서에 설명된 신규한 방법들, 장치들 및 시스템들은 다양한 다른 형태들로 구현될 수 있다; 또한, 본 명세서에 설명된 방법들, 장치들 및 시스템들의 형태에서의 다양한 생략, 대체 및 변경이 본 발명의 기술사상을 벗어나지 않고 행해질 수 있다. 첨부된 청구항 및 그 균등물은 본 발명의 기술사상 및 범위 내에 속하는 이러한 형태 또는 변형예를 포함하도록 의도된다.While certain embodiments have been described, these embodiments have been presented by way of example only and are not intended to limit the scope of the invention. Indeed, the novel methods, apparatuses, and systems described herein may be embodied in various other forms; In addition, various omissions, substitutions, and changes in the form of the methods, apparatuses, and systems described herein may be made without departing from the spirit of the present invention. The appended claims and their equivalents are intended to cover such forms or modifications as fall within the spirit and scope of the present invention.

Claims (15)

이미징된 기판과 연계된 피처(feature)가 상기 이미징된 기판의 에칭 후에 결함이 있을지 여부를 예측하도록 구성되는 모델을 트레이닝(train)하는 방법으로서,
메트롤로지 툴을 통해, (ⅰ) 주어진 위치에서의 상기 이미징된 기판의 현상 후 이미지 -상기 현상 후 이미지는 복수의 피처들을 포함함- , 및 (ⅱ) 상기 주어진 위치에서의 상기 이미징된 기판의 에칭 후 이미지 -상기 에칭 후 이미지는 상기 복수의 피처들에 대응하는 에칭된 피처들을 포함함- 를 얻는 단계; 및
상기 현상 후 이미지 및 상기 에칭 후 이미지를 사용하여, 상기 현상 후 이미지에서 상기 복수의 피처들 중 주어진 피처의 결함 있음(defectiveness)을 결정하도록 구성되는 모델을 트레이닝하는 단계
를 포함하고,
상기 결함 있음의 결정은 상기 현상 후 이미지에서의 주어진 피처를 상기 에칭 후 이미지에서의 대응하는 에칭 피처와 비교하는 것에 기초하는, 방법.
A method of training a model configured to predict whether a feature associated with an imaged substrate will be defective after etching of the imaged substrate, comprising:
Via a metrology tool, (i) a post-developed image of the imaged substrate at a given location, the post-developed image comprising a plurality of features, and (ii) an image of the imaged substrate at the given location. obtaining a post-etch image, wherein the post-etch image includes etched features corresponding to the plurality of features; and
training a model configured to determine a defectiveness of a given one of the plurality of features in the post-developed image using the post-developed image and the post-etched image;
including,
wherein the determination of the defect is based on comparing a given feature in the post-developed image to a corresponding etched feature in the post-etch image.
제 1 항에 있어서,
상기 모델은 경험적 모델 또는 기계 학습 모델이고, 상기 경험적 모델은 상기 이미징된 기판과 연계된 피처의 물리적 특성의 함수인, 방법.
The method of claim 1,
wherein the model is an empirical model or a machine learning model, wherein the empirical model is a function of a physical property of a feature associated with the imaged substrate.
제 1 항에 있어서,
상기 현상 후 이미지를 얻는 단계는:
패터닝 장치를 통해, 기판 상에 마스크 패턴을 이미징하는 단계;
상기 이미징된 기판의 현상된 기판을 얻는 단계;
상기 주어진 위치에서 상기 현상된 기판에 상기 메트롤로지 툴을 정렬하는 단계; 및
상기 현상된 기판의 이미지를 캡처하는 단계를 포함하는, 방법.
The method of claim 1,
The steps of obtaining an image after the development are:
imaging the mask pattern on the substrate through the patterning device;
obtaining a developed substrate of the imaged substrate;
aligning the metrology tool to the developed substrate at the given position; and
and capturing an image of the developed substrate.
제 1 항에 있어서,
상기 에칭 후 이미지를 얻는 단계는:
지정된 에칭 조건들로의 에칭 공정을 통해, 상기 이미징된 기판을 에칭하는 단계;
상기 주어진 위치에서 에칭된 기판에 상기 메트롤로지 툴을 정렬하는 단계; 및
상기 에칭된 기판의 에칭 후 이미지를 캡처하는 단계를 포함하는, 방법.
The method of claim 1,
The steps of obtaining an image after the etching include:
etching the imaged substrate through an etching process with specified etching conditions;
aligning the metrology tool to the etched substrate at the given location; and
and capturing an image after etching of the etched substrate.
제 4 항에 있어서,
상기 에칭 조건들은 에천트 조성, 플라즈마 가스 파라미터들, 에칭 속도, 전자기장, 플라즈마 전위, 유도성 또는 용량성 에칭 타입, 상기 기판의 온도, 이온 에너지 분포, 이온 각도 분포, 스퍼터링 및 재증착 속도, 또는 이들의 조합을 포함하는, 방법.
5. The method of claim 4,
The etch conditions may include etchant composition, plasma gas parameters, etch rate, electromagnetic field, plasma potential, inductive or capacitive etching type, temperature of the substrate, ion energy distribution, ion angle distribution, sputtering and redeposition rates, or these A method comprising a combination of
제 1 항에 있어서,
상기 트레이닝하는 단계는:
상기 복수의 피처들에 기초하여, 상기 현상 후 이미지 및 상기 에칭 후 이미지를 정렬하는 단계;
상기 현상 후 이미지에서의 상기 복수의 피처들의 피처들 각각을 상기 에칭 후 이미지에서의 에칭된 피처들의 대응하는 피처와 비교하는 단계;
비교에 기초하여, 상기 에칭 후 이미지에서의 주어진 에칭된 피처가 결함 조건(defect condition)을 만족하는지 여부를 결정하는 단계;
상기 결함 조건을 만족하지 않음에 응답하여, 식별된 피처를 결함 있는 것으로 분류하는 단계; 및
상기 식별된 피처의 결함 있음에 기초하여 상기 모델의 모델 파라미터 값을 조정하는 단계를 포함하는, 방법.
The method of claim 1,
The training steps include:
aligning the post-developed image and the post-etched image based on the plurality of features;
comparing each of the features of the plurality of features in the post-developed image to a corresponding feature of the etched features in the post-etched image;
determining, based on the comparison, whether a given etched feature in the post-etched image satisfies a defect condition;
in response to not meeting the defect condition, classifying the identified feature as defective; and
and adjusting model parameter values of the model based on the presence of the identified feature.
제 6 항에 있어서,
상기 모델 파라미터 값을 조정하는 단계는 복수의 모델 파라미터들의 값들을 조정하는 단계를 포함하는, 방법.
7. The method of claim 6,
wherein adjusting the model parameter value comprises adjusting values of a plurality of model parameters.
제 6 항에 있어서,
상기 결함 조건은 상기 에칭 후 이미지에서의 주어진 에칭된 피처의 물리적 특성인, 방법.
7. The method of claim 6,
wherein the defect condition is a physical property of a given etched feature in the post-etched image.
제 8 항에 있어서,
상기 물리적 특성은:
상기 주어진 에칭된 피처의 임계 치수; 또는
상기 현상 후 이미지의 주어진 피처에 대한 상기 주어진 에칭된 피처의 변위 중 적어도 하나인, 방법.
9. The method of claim 8,
The physical properties are:
the critical dimension of the etched feature given above; or
at least one of the displacement of the given etched feature relative to the given feature of the image after developing.
제 1 항에 있어서,
상기 결함 있음은:
결함이 있는지 또는 결함이 없는지의 이진 결정; 또는
상기 주어진 피처에 결함이 있을 확률 중 적어도 하나에 의해 특징지어지는, 방법.
The method of claim 1,
The above defects are:
Binary determination of whether a defect is present or not; or
characterized by at least one of a probability that the given feature is defective.
제 2 항에 있어서,
상기 기계 학습 모델은 컨볼루션 뉴럴 네트워크인, 방법.
3. The method of claim 2,
wherein the machine learning model is a convolutional neural network.
제 11 항에 있어서,
모델 파라미터들은 상기 기계 학습 모델의 1 이상의 층과 연계된 가중치들 또는 편향들인, 방법.
12. The method of claim 11,
wherein model parameters are weights or biases associated with one or more layers of the machine learning model.
제 11 항에 있어서,
가중치들 또는 편향들인 모델 파라미터들은 가중치들 및 편향들인 모델 파라미터들을 포함하는, 방법.
12. The method of claim 11,
wherein the model parameters that are weights or biases include model parameters that are weights and biases.
제 1 항에 있어서,
상기 메트롤로지 툴은 광학 현미경 또는 전자 빔 현미경인, 방법.
The method of claim 1,
wherein the metrology tool is an optical microscope or an electron beam microscope.
에칭 후에 실패할 피처들의 분율(fraction)을 결정하는 시스템으로서,
주어진 위치에서 기판의 현상 후 이미지(ADI)를 캡처하는 메트롤로지 툴 -상기 현상 후 이미지는 복수의 피처들을 포함함- ; 및
에칭 후에 실패할 ADI의 복수의 피처들의 실패율(failure rate)들을 결정하기 위한 모델을 실행하도록 구성되는 프로세서
를 포함하고,
상기 모델은 (ⅰ) 실패-아닌 홀(non-failing hole)들에 대한 물리적 특성 값들의 분포를 추산하도록 구성되는 제 1 확률 분포 함수, 및 (ⅱ) 상기 ADI의 복수의 피처들 모두의 물리적 특성 값들에 기초하여 실패율들을 결정하도록 구성되는 제 2 확률 분포 함수의 조합인, 시스템.
A system for determining a fraction of features that will fail after etching, comprising:
a metrology tool that captures a post-developed image (ADI) of the substrate at a given location, the post-developed image comprising a plurality of features; and
a processor configured to run a model for determining failure rates of a plurality of features of the ADI that will fail after etching
including,
The model includes (i) a first probability distribution function configured to estimate a distribution of physical property values for non-failing holes, and (ii) a physical property of all of the plurality of features of the ADI. a combination of a second probability distribution function configured to determine failure rates based on the values.
KR1020227011188A 2019-09-05 2020-09-03 How to determine if a pattern is defective based on the image after development KR20220053029A (en)

Applications Claiming Priority (15)

Application Number Priority Date Filing Date Title
EP19195527.7 2019-09-05
EP19195527.7A EP3789826A1 (en) 2019-09-05 2019-09-05 Method for determining defectiveness of pattern based on after development image
EP19196323 2019-09-10
EP19196323.0 2019-09-10
EP19218296.2 2019-12-19
EP19218296 2019-12-19
EP20169181.3 2020-04-10
EP20169181 2020-04-10
EP20176236 2020-05-25
EP20176236.6 2020-05-25
EP20189952 2020-08-06
EP20189952.3 2020-08-06
EP20192283 2020-08-21
EP20192283.8 2020-08-21
PCT/EP2020/074663 WO2021043936A1 (en) 2019-09-05 2020-09-03 Method for determining defectiveness of pattern based on after development image

Publications (1)

Publication Number Publication Date
KR20220053029A true KR20220053029A (en) 2022-04-28

Family

ID=72340367

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227011188A KR20220053029A (en) 2019-09-05 2020-09-03 How to determine if a pattern is defective based on the image after development

Country Status (5)

Country Link
KR (1) KR20220053029A (en)
CN (1) CN114556228A (en)
IL (1) IL290778A (en)
TW (2) TWI780476B (en)
WO (1) WO2021043936A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116342983A (en) * 2023-05-29 2023-06-27 全芯智造技术有限公司 Method, electronic device and computer readable medium for generating and using graphic model
CN117213696A (en) * 2023-11-07 2023-12-12 南京易信同控制设备科技有限公司 Multichannel pressure scanning valve based on pressure sensitive core and pressure detection method thereof

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4143637A1 (en) * 2020-04-30 2023-03-08 Photronics, Inc. System, method, and program product for manufacturing a photomask
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
EP4152096A1 (en) * 2021-09-15 2023-03-22 ASML Netherlands B.V. System and method for inspection by failure mechanism classification and identification in a charged particle system
CN115967609B (en) * 2021-10-11 2024-05-24 中国移动通信集团山东有限公司 Content delivery network fault detection method and equipment
CN114975153A (en) * 2022-05-31 2022-08-30 长鑫存储技术有限公司 Semiconductor structure defect monitoring method and device, computer equipment and storage medium
CN114771120B (en) * 2022-06-18 2022-09-02 南通人民彩印有限公司 Pressure control method and device in micro-contact printing process and artificial intelligence system
US20240087135A1 (en) * 2022-09-09 2024-03-14 Applied Materials, Inc. Clog detection via image analytics
TWI833471B (en) * 2022-11-29 2024-02-21 孟申機械工廠股份有限公司 Defect detection method and device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6782337B2 (en) * 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
CN101305320B (en) 2005-09-09 2012-07-04 Asml荷兰有限公司 System and method for mask verification using an individual mask error model
NL1036189A1 (en) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
WO2018125219A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Systems, methods, and apparatuses for implementing geometric kernel based machine learning for reducing opc model error
US10474042B2 (en) * 2017-03-22 2019-11-12 Kla-Tencor Corporation Stochastically-aware metrology and fabrication
WO2018202361A1 (en) * 2017-05-05 2018-11-08 Asml Netherlands B.V. Method to predict yield of a device manufacturing process
CN107728589B (en) * 2017-09-25 2019-11-15 华南理工大学 A kind of on-line monitoring method of flexibility IC substrate etch developing process
WO2019162346A1 (en) * 2018-02-23 2019-08-29 Asml Netherlands B.V. Methods for training machine learning model for computation lithography

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116342983A (en) * 2023-05-29 2023-06-27 全芯智造技术有限公司 Method, electronic device and computer readable medium for generating and using graphic model
CN117213696A (en) * 2023-11-07 2023-12-12 南京易信同控制设备科技有限公司 Multichannel pressure scanning valve based on pressure sensitive core and pressure detection method thereof

Also Published As

Publication number Publication date
WO2021043936A1 (en) 2021-03-11
IL290778A (en) 2022-04-01
CN114556228A (en) 2022-05-27
TWI780476B (en) 2022-10-11
TW202117576A (en) 2021-05-01
TW202303433A (en) 2023-01-16

Similar Documents

Publication Publication Date Title
TWI780476B (en) Method and system for determining defective patterns
KR102304331B1 (en) Methods for determining process models by machine learning
KR102376200B1 (en) Identification of hot spots or defects by machine learning
TWI753517B (en) Semiconductor device geometry method and system
CN112384860B (en) Inverse optical proximity correction and process model calibration based on machine learning
JP7256287B2 (en) Method for determining patterns in a patterning process
US20220342316A1 (en) Method for determining defectiveness of pattern based on after development image
CN113454533A (en) Method for determining random variations of a printed pattern
EP3789826A1 (en) Method for determining defectiveness of pattern based on after development image
EP3594750A1 (en) Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
US11669019B2 (en) Method for determining stochastic variation associated with desired pattern
KR20220034900A (en) How to improve process-based contour information of structures in images
KR20220127925A (en) A method for calibrating a simulation process based on a defect-based process window
KR20190026887A (en) Performance metrics visualization of computer analysis of design layouts
US20230081821A1 (en) Method for predicting stochastic contributors
KR20230038764A (en) Device and method for generating noise removal model
US20240054669A1 (en) Apparatus and method for determining three dimensional data based on an image of a patterned substrate
US20230244152A1 (en) Systems, methods, and products for determining printing probability of assist feature and its application
KR20210121153A (en) Improved Gauge Selection for Model Calibration
US20240212125A1 (en) Patterning parameter determination using a charged particle inspection system
US20240210336A1 (en) Patterning device defect detection systems and methods
US20240005457A1 (en) Apparatus and methods to generate deblurring model and deblur image
JP2024522605A (en) System and method for filtering test data - Patents.com
CN116615750A (en) Apparatus and method for determining three-dimensional data based on an image of a patterned substrate