KR20220043809A - 이미지 센서용 후면 딥 트렌치 격리 구조체 - Google Patents

이미지 센서용 후면 딥 트렌치 격리 구조체 Download PDF

Info

Publication number
KR20220043809A
KR20220043809A KR1020200180892A KR20200180892A KR20220043809A KR 20220043809 A KR20220043809 A KR 20220043809A KR 1020200180892 A KR1020200180892 A KR 1020200180892A KR 20200180892 A KR20200180892 A KR 20200180892A KR 20220043809 A KR20220043809 A KR 20220043809A
Authority
KR
South Korea
Prior art keywords
photodiode
doped
image sensing
layer
sensing die
Prior art date
Application number
KR1020200180892A
Other languages
English (en)
Other versions
KR102641186B1 (ko
Inventor
청타 우
꾸어화 쩡
여우륀 투
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20220043809A publication Critical patent/KR20220043809A/ko
Application granted granted Critical
Publication of KR102641186B1 publication Critical patent/KR102641186B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • H01L27/14612Pixel-elements with integrated switching, control, storage or amplification elements involving a transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14634Assemblies, i.e. Hybrid structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14689MOS based technologies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Element Separation (AREA)
  • Transforming Light Signals Into Electric Signals (AREA)

Abstract

본 개시는 후면 딥 트렌치 격리(BDTI) 구조체에 의해 둘러싸인 포토다이오드를 구비하는 이미지 센서 및 관련된 형성 방법에 관한 것이다. 몇몇 실시형태에서, 복수의 픽셀 영역이 이미지 감지 다이 내에 배치되고 각각은 방사선을 전기 신호로 변환하도록 구성되는 포토다이오드를 포함한다. 포토다이오드는, 제1 도핑 타입과는 상이한 제2 도핑 타입을 갖는 포토다이오드 도핑 층에 의해 둘러싸이는 제1 도핑 타입을 갖는 포토다이오드 도핑 칼럼을 포함한다. BDTI 구조체가 인접한 픽셀 영역들 사이에 배치되고 이미지 센서 다이의 후면으로부터 포토다이오드 도핑 층 내의 한 위치까지 연장된다. BDTI 구조체는 유전체 충전 층 및 제2 도핑 타입을 갖는 도핑된 라이너를 포함한다. 도핑된 라이너는 유전체 충전 층의 측벽 표면을 라이닝한다.

Description

이미지 센서용 후면 딥 트렌치 격리 구조체{BACK-SIDE DEEP TRENCH ISOLATION STRUCTURE FOR IMAGE SENSOR}
많은 현대의 전자 디바이스는 이미지 센서를 사용하는 광학 이미징 디바이스(예를 들면, 디지털 카메라)를 포함한다. 이미지 센서는 픽셀 센서의 어레이 및 지원 로직을 포함할 수도 있다. 픽셀 센서는 입사 방사선(incident radiation)(예를 들면, 광)을 측정하고 디지털 데이터로 변환하고, 지원 로직은 측정치의 판독을 용이하게 한다. 이미지 센서의 하나의 타입은 후면 조명(backside illuminated; BSI) 이미지 센서 디바이스이다. BSI 이미지 센서 디바이스는 기판의 후면(back-side)을 향해 투사되는 광의 볼륨을 감지하기 위해 사용된다(이것은, 다수의 금속 및 유전체 층을 포함하는 인터커넥트 구조체가 그 상에 구축되는 기판의 전면(front-side) 반대 위치에 있음). BSI 이미지 센서 디바이스는 전면 조명(FSI) 이미지 센서 디바이스와 비교하여, 감소된 상쇄 간섭을 제공한다.
본 개시의 양태는, 첨부의 도면과 함께 판독될 때 하기의 상세한 설명으로부터 가장 잘 이해된다. 업계에서의 표준 관행에 따라, 다양한 피쳐는 일정한 축척으로 묘사되지 않는다는 것을 유의한다. 실제, 다양한 피쳐의 치수는 논의의 명확화를 위해 임의적으로 증가 또는 감소될 수도 있다.
도 1은 도핑된 라이너(doped liner)를 갖는 후면 딥 트렌치 격리(back-side deep trench isolation; BDTI) 구조체에 의해 둘러싸이는 포토다이오드를 구비하는 CMOS(complementary metal-oxide-semiconductor; 상보형 금속 산화물 반도체) 이미지 센서의 몇몇 실시형태의 단면도를 예시한다.
도 2는 도핑된 라이너를 갖는 BDTI 구조체에 의해 둘러싸이는 포토다이오드를 포함하는 이미지 센서의 몇몇 다른 실시형태의 단면도를 예시한다.
도 3은 도핑된 라이너를 갖는 BDTI 구조체에 의해 둘러싸이는 포토다이오드를 포함하는 이미지 센서의 몇몇 다른 실시형태의 단면도를 예시한다.
도 4는 함께 본딩되는 이미지 감지 다이 및 로직 다이를 포함하는 통합 칩의 몇몇 실시형태의 단면도를 예시하는데, 여기서 이미지 감지 다이는 도핑된 라이너를 갖는 BDTI 구조체에 의해 둘러싸이는 포토다이오드를 구비한다.
도 5a는 깊은 격리 웰(deep isolation well )에 의해 격리되는 포토다이오드 및 도핑된 라이너를 갖지 않는 BDTI 구조체를 포함하는 이미지 센서의 개략도를 예시한다.
도 5b는 쉘로우 격리 웰(shallow isolation well)에 의해 격리되는 포토다이오드 및 도핑된 라이너를 갖는 BDTI 구조체를 포함하는 이미지 센서의 몇몇 실시형태의 개략도를 예시한다.
도 6 내지 도 20은, 등각 도핑 층(conformal doped layer)을 갖는 BDTI 구조체에 의해 둘러싸이는 포토다이오드를 구비하는 이미지 센서를 형성하는 방법을 도시하는 단면도의 몇몇 실시형태를 예시한다.
도 21은 등각 도핑 층을 구비하는 BDTI 구조체에 의해 둘러싸이는 포토다이오드를 구비하는 이미지 센서를 형성하는 방법의 몇몇 실시형태의 흐름도를 예시한다.
다음의 개시는 제공되는 주제의 상이한 피쳐를 구현하기 위한 많은 상이한 실시형태, 또는 예를 제공한다. 본 개시를 단순화하기 위해, 컴포넌트 및 배열(arrangement)의 특정한 예가 하기에서 설명된다. 이들은, 물론, 예에 불과하며 제한하도록 의도되는 것은 아니다. 예를 들면, 후속하는 설명에서 제2 피쳐 위에 또는 상에 제1 피쳐를 형성하는 것은, 제1 및 제2 피쳐가 직접 접촉하여 형성되는 실시형태를 포함할 수도 있고, 또한 제1 및 제2 피쳐가 직접 접촉하지 않을 수도 있도록 제1 피쳐와 제2 피쳐 사이에 추가적인 피쳐가 형성될 수도 있는 실시형태를 포함할 수도 있다. 게다가, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수도 있다. 이 반복은 간략화 및 명확화를 위한 것이며, 그 자체로는, 논의되는 다양한 실시형태 및/또는 구성 사이의 관계를 지시하는 것은 아니다.
게다가, 도면에서 예시되는 바와 같은 다른 엘리먼트(들) 또는 피쳐(들)에 대한 하나의 엘리먼트 또는 피쳐의 관계를 설명하는 설명의 용이성을 위해, "밑에(beneath)", "아래에(below)", "하부의(lower)", "위에(above)", "상부의(upper)" 및 등등과 같은 공간적으로 상대적인 용어가 본원에서 사용될 수도 있다. 공간적으로 상대적인 용어는, 도면에서 묘사되는 방위 외에, 사용 또는 동작에서 디바이스의 상이한 방위를 포괄하도록 의도된다. 장치는 다르게 배향될 수도 있고(90 도 회전될 수도 있거나 또는 다른 방위에 있을 수도 있고), 본원에서 사용되는 공간적으로 상대적인 서술어(descriptor)는 마찬가지로 그에 따라 해석될 수도 있다.
집적 회로(integrated circuit; IC) 기술은 지속적으로 향상되고 있다. 그러한 향상은, 종종, 더 낮은 제조 비용, 더 높은 디바이스 통합 밀도, 더 높은 속도, 및 더 나은 성능을 달성하기 위해, 디바이스 기하학적 형상을 축소하는 것을 수반한다. 디바이스 크기 조정에 기인하여, 이미지 센서의 픽셀 센서는 더 작은 치수를 가지며 서로 더 가깝다. 블루밍(blooming) 및 크로스토크를 감소시키기 위해, 이미지 센서의 이웃 픽셀 사이의 향상된 전기적 및 광학적 격리가 필요로 된다. 유전체 트렌치 및 주입 웰(implantation well)은 이미지 센서 픽셀을 격리하기 위한 격리 구조체로서 제조될 수 있다. 이미지 센서 제조 프로세스의 한 종류는, 격리 벽(isolation wall)으로서 포토다이오드의 깊이를 통해 깊은 주입 웰(deep implant well)을 형성하는 주입 프로세스를 포함한다. 그러나, 제조 복잡성 외에도, 이들 주입 프로세스는 노출 해상도를 감소시키는 두꺼운 포토레지스트 층을 수반한다. 예를 들면, 임계 치수가 0.2 ㎛보다 더 작은 경우, 3 ㎛보다 더 큰 포토레지스트 층으로는 정밀한 리소그래피 프로세스가 거의 달성 가능하지 않다. 또한, 포토다이오드의 깊이를 통해 깊은 주입 웰을 형성하기 위해서는, 주입 프로세스가 진행됨에 따라 깊은 주입 웰의 폭은 증가되고, 따라서, 깊은 주입 웰의 존재에 기인하여 포토다이오드 영역은 감소된다. 감소된 포토다이오드 영역은, 포토다이오드가 보유할 수 있는 전하의 감소된 양으로 귀결되고, 따라서, 포토다이오드의 전체 웰 용량은 주입 프로파일에 의해 감소되고 제한되는데, 이것은 이미지 센서의 성능에 부정적인 영향을 끼친다.
상기의 관점에서, 본 개시는 도핑된 라이너를 갖는 후면 딥 트렌치 격리(BDTI) 구조체, 및 관련된 형성 방법을 포함하는 이미지 센서에 관한 것이다. 몇몇 실시형태에서, 이미지 센서는 이미지 감지 다이 내에 배치되는 복수의 픽셀 영역을 구비한다. 픽셀 영역은 각각 방사선을 전기 신호로 변환하도록 구성되는 포토다이오드를 구비한다. 포토다이오드는, 제1 도핑 타입과는 상이한 제2 도핑 타입을 갖는 기판에 의해 둘러싸이는 제1 도핑 타입을 갖는 포토다이오드 도핑 칼럼(photodiode doping column)을 포함한다. BDTI 구조체는 인접한 픽셀 영역 사이에서 배치되고 이미지 감지 다이의 후면으로부터 포토다이오드 도핑 층 내의 한 위치까지 연장된다. BDTI 구조체는 유전체 충전 층 및 제2 도핑 타입을 갖는 도핑된 라이너를 포함한다. 도핑된 라이너는 딥 트렌치의 측벽 표면을 라이닝하고, 유전체 충전 층은 딥 트렌치의 내부 공간을 충전한다. 이웃 픽셀 사이에서 깊은 공핍 및 격리 구조체로서 기능하는 BDTI 구조체를 통해, 깊은 주입이 필요로 되지 않는다. 따라서, 기판 전면으로부터의 주입 프로세스는, 격리 및 공핍 구조체로서 기능하기 위해 이전만큼 깊게 확장될 필요가 없고 따라서, 더 짧은 시간 동안 수행되고 단순화될 수 있다. 게다가, 주입 프로세스를 수행하기 위한 시간이 이전보다 더 짧기 때문에, 기판의 전면의 도핑된 영역의 폭은 더 좁다. 따라서, 개개의 포토다이오드의 횡방향의 영역(lateral area)은, 그들 사이의 더 좁은 경계를 가지고 확장될 수 있고, 소정의 칩 영역에서 더 많은 픽셀이 배열될 수 있는데, 이것은 향상된 노출 해상도로 나타나게 된다. 또한, 상기에서 논의되는 바와 같이, 도핑된 라이너를 갖는 BDTI 구조체가 깊은 주입 웰보다 더 좁을 수 있기 때문에 유효 포토다이오드 영역이 확장될 수 있고, 포토다이오드의 전체 웰 용량이 향상된다.
도핑된 라이너는 다양한 기술에 의해 형성될 수 있다. 몇몇 실시형태에서, 도핑된 라이너는, 먼저, 인접한 픽셀 영역 사이의 딥 트렌치의 저부(bottom) 및 측벽 표면을 보호 층이 라이닝하는 향상된 플라즈마 도핑 프로세스에 의해 형성된다. 보호 층은 직접 주입으로부터의 손상을 방지하는 데 그리고 도핑된 라이너의 더 균일한 두께, 더 매끄러운 표면, 및 더 적은 표면 농도를 달성하는 데 도움이 된다. 그에 의해, 도핑된 라이너의 표면은 더 적은 결함을 가지며 이미지 센서 디바이스의 암전류(dark current)가 크게 향상될 수 있다. 그 다음, 보호 층은 제거되고, 플라즈마 도핑 프로세스 이후 도펀트 활성화를 위해 저온 어닐링이 수행된다. 비제한적인 목적을 위한 한 예로서, 어닐링 온도는 250 ℃에서부터 대략 500 ℃까지의 범위 내에 있을 수 있다. 몇몇 대안적인 실시형태에서, 도핑된 라이너는 저온 에피택셜 프로세스(low temperature epitaxial process) 및 후속하는 도펀트 활성화를 위한 레이저 또는 마이크로파 어닐링 프로세스에 의해 형성된다. 도핑된 라이너의 형성 방법에 대한 더 많은 세부 사항은 도 13 내지 도 15와 관련하여 논의된다.
도 1은 등각 포토다이오드 도핑 층을 갖는 BDTI 구조체에 의해 둘러싸이는 포토다이오드를 구비하는 이미지 센서의 몇몇 실시형태의 단면도(100)를 예시한다. 이미지 감지 다이(134)는 전면(122) 및 후면(124)을 구비한다. 이미지 감지 다이(134)는 도 1에서 도시되는 픽셀 영역(103a, 103b)과 같은, 행 및/또는 열을 포함하는 어레이로 배열될 수도 있는 복수의 픽셀 영역을 포함한다. 픽셀 영역(103a, 103b)은 각각 입사 방사선 또는 입사 광(120)(예를 들면, 광자)을 전기 신호로 변환하도록 구성되는 포토다이오드(104)를 포함한다. 몇몇 실시형태에서, 포토다이오드(104)는 제1 도핑 타입(예를 들면, 붕소, 알루미늄, 인듐, 등등과 같은 도펀트에 의한 p 타입 도핑)을 갖는 포토다이오드 도핑 칼럼(104a)과 같은 제1 영역 및 제1 도핑 타입과는 상이한 제2 도핑 타입(예를 들면, 인, 비소, 안티몬, 등등과 같은 도펀트에 의한 n 타입 도핑)을 갖는 포토다이오드 도핑 층(128)과 같은 인접한 제2 영역을 포함한다.
BDTI 구조체(111)는 인접한 픽셀 영역(103a, 103b) 사이에서 배치되고 그들을 격리한다. BDTI 구조체(111)는 이미지 감지 다이(134)의 후면(124)으로부터 포토다이오드 도핑 층(128) 내의 한 위치까지 연장될 수도 있다. 몇몇 실시형태에서, BDTI 구조체(111)는 제1 도핑 타입(예를 들면, p 타입 도핑)을 갖는 도핑된 라이너(114) 및 유전체 충전 층(112)을 포함한다. 도핑된 라이너(114)는 포토다이오드 도핑 층(128)의 딥 트렌치의 측벽 표면을 라이닝하고, 유전체 충전 층(112)은 딥 트렌치의 나머지 공간을 충전한다. 도핑된 라이너(114)는 붕소 또는 다른 p 타입 도펀트 도핑 실리콘 또는 다른 반도체 재료를 포함하거나 또는 그들로 제조될 수도 있다. 유전체 충전 층(112)은 실리콘 이산화물(silicon dioxide), 실리콘 질화물(silicon nitride), 및/또는 다른 적용 가능한 유전체 재료로 만들어질 수도 있다. 몇몇 실시형태에서, BDTI 구조체(111)는 대략 1.5 ㎛와 대략 5 ㎛ 사이의 깊이 범위를 가질 수도 있다. BDTI 구조체(111)의 횡방향 치수는 대략 0.1 ㎛와 대략 0.3 ㎛ 사이의 범위를 가질 수도 있다. BDTI 구조체(111)의 횡방향 치수는, (예를 들면, 하기의 도 13 내지 도 16과 관련하여 설명되는 바와 같이) BDTI 구조체 내부에서 도핑된 라이너(114) 및 다른 층의 형성을 수행하기에 충분해야 한다. 몇몇 실시형태에서, 도핑된 라이너(114)의 도펀트 농도는 대략 5E17 원자/cm3와 대략 1E19 원자/cm3 사이의 범위 내에 있을 수도 있다. 도핑된 라이너(114)의 두께는 대략 4 nm와 대략 20 nm 사이의 범위 내에 있을 수도 있다. 도핑된 라이너(114)의 상단(top)에서부터 저부까지의 공형도(conformity)는 90 %보다 더 크다. 도핑된 라이너(114)의 표면 농도는 1E19/cm2보다 더 작다. 도핑된 라이너(114)의 표면 조도(roughness)도 또한 향상된다. 몇몇 실시형태에서, 도핑된 라이너(114)의 더 균일한 두께, 더 매끄러운 표면, 및 더 적은 표면 농도는 보호 층을 갖는 향상된 플라즈마 도핑 프로세스의 결과이다. 도핑된 라이너의 형성 방법에 대한 더 많은 세부 사항은 도 13 내지 도 15와 관련하여 논의된다.
몇몇 실시형태에서, 이미지 감지 다이(134)의 전면(122)으로부터 포토다이오드 도핑 층(128) 내의 한 위치까지 연장되는 도핑된 격리 웰(doped isolation well)(110)이 인접한 픽셀 영역(103a, 103b) 사이에서 또한 배치되어 그들을 격리한다. 도핑된 격리 웰(110)은 제1 도핑 타입(예를 들면, p 타입 도핑)을 가질 수도 있다. 도핑된 격리 웰(110)은 BDTI 구조체(111)와 수직으로 정렬될 수도 있다(예를 들면, 공통 중심선(126)을 공유함). 몇몇 실시형태에서, BDTI 구조체(111)의 저부 부분은, 도 1에서 도시되는 바와 같이, 도핑된 격리 웰(110)의 오목한 상단 표면(recessed top surface) 내에 배치될 수도 있다. 이 경우, 도핑된 격리 웰(110)은 BDTI 구조체(111)의 절반 미만 또는 심지어 1/4 미만 깊이에 도달할 수도 있다. 몇몇 대안적인 실시형태에서, 도핑된 격리 웰(110)은, 하기의 도 2에서 도시되는 바와 같이, 포토다이오드 도핑 층(128)에 의해 BDTI 구조체(111)로부터 격리될 수도 있다. 쉘로우어인 도핑된 격리 웰(110)을 형성하는 것에 의해, 격리 웰에 대한 주입 프로세스를 수행하기 위한 시간이 이전보다 더 짧아지기 때문에 격리 웰(110)의 폭은 더 좁다. 따라서, 개개의 포토다이오드의 횡방향의 영역(lateral area)은, 그들 사이의 더 좁은 경계를 가지고 확장될 수 있고, 소정의 칩 영역에서 더 많은 픽셀이 배열될 수 있는데, 이것은 향상된 노출 해상도로 나타나게 된다. 또한, 포토다이오드 영역이 확장될 수 있고, 포토다이오드의 전체 웰 용량은 향상된다. 쉘로우어인 도핑된 격리 웰의 더 많은 관련 설명은 하기의 도 5a 및 도 5b와 관련하여 발견될 수 있다. BDTI 구조체(111) 및 도핑된 격리 웰(110)은, 픽셀 영역(103a, 103b)에 대한 격리부(isolation)로서 집합적으로 기능하고, 그 결과, 픽셀 영역(103a, 103b) 사이의 크로스토크 및 블루밍이 감소될 수 있다. BDTI 구조체(111) 및 도핑된 격리 웰(110)은 또한, BDTI 구조체(111) 및 도핑된 격리 웰(110)이 포토다이오드(104)에 추가적인 P 타입 도펀트를 제공하기 때문에 동작 동안 포토다이오드(104)의 공핍을 집합적으로 용이하게 하고, 그 결과, 전체 웰 용량은 향상된다.
몇몇 실시형태에서, 복수의 컬러 필터(116)가 이미지 감지 다이(134)의 후면(124) 위에 배열된다. 복수의 컬러 필터(116)는 각각 특정한 파장의 입사 방사선 또는 입사광(120)을 투과시키도록 구성된다. 예를 들면, 제1 컬러 필터(예를 들면, 적색 컬러 필터)는 제1 범위 내의 파장을 갖는 광을 투과시킬 수도 있고, 한편 제2 컬러 필터는 제1 범위와는 상이한 제2 범위 내의 파장을 갖는 광을 투과시킬 수도 있다. 몇몇 실시형태에서, 복수의 컬러 필터(116)는 포토다이오드(104) 위에 놓이는 그리드 구조체 내에 배열될 수도 있다. 몇몇 실시형태에서, 그리드 구조체는 유전체 재료에 의해 둘러싸이는 금속 프레임워크를 갖는 적층 그리드를 포함할 수도 있다. 몇몇 실시형태에서, 유전체 재료의 층 및 적층된 그리드는 동일한 유전체 재료(예를 들면, 실리콘-이산화물(SiO2))를 가질 수도 있다.
복수의 컬러 필터(116) 위에 복수의 마이크로 렌즈(118)가 배열된다. 각각의 마이크로 렌즈(118)는 컬러 필터(116)와 횡방향으로 정렬되고 픽셀 영역(103a, 103b) 위에 놓인다. 몇몇 실시형태에서, 복수의 마이크로 렌즈(118)는 복수의 컬러 필터(116)와 접하는 실질적으로 평평한 저부 표면 및 만곡된 상부 표면(curved upper surface)을 갖는다. 만곡된 상부 표면은 입사 방사선 또는 입사 광(120)(예를 들면, 기저의(underlying) 픽셀 영역(103a, 103b)을 향하는 광)을 집중시키도록 구성된다. 이미지 센서의 동작 동안, 입사 방사선 또는 입사광(120)은 마이크로 렌즈(118)에 의해 기저의 픽셀 영역(103a, 103b)으로 집중된다. 충분한 에너지의 입사 방사선 또는 입사광이 포토다이오드(104)에 부딪히는 경우, 그것은 광전류를 생성하는 전자-홀 쌍을 생성한다. 특히, 마이크로 렌즈(118)가 도 1에서 이미지 센서 상으로 고정되는 것으로 도시되지만, 이미지 센서는 마이크로 렌즈를 포함하지 않을 수도 있다는 것이 인식되고, 마이크로 렌즈는 별개의 제조 활동에서 이미지 센서에 나중에 부착될 수도 있다.
도 2는 도핑된 라이너(114)를 갖는 BDTI 구조체(111)에 의해 둘러싸이는 포토다이오드(104)를 포함하는 이미지 센서의 몇몇 추가적인 실시형태의 단면도(200)를 예시한다. 상기에서 언급되는 바와 같이, 몇몇 실시형태에서, 도핑된 격리 웰(110)은 포토다이오드 도핑 층(128)에 의해 BDTI 구조체(111)로부터 격리될 수도 있다. 몇몇 실시형태에서, BDTI 구조체(111)는 도핑된 라이너(114)와 유전체 충전 층(112) 사이에서 배치되며 유전체 충전 층(112)으로부터 도핑된 라이너(114)를 격리하는 고유전율(high-k) 유전체 라이너(113)를 더 포함한다. 고유전율 유전체 라이너(113)는 등각 층일 수도 있다. 고유전율 유전체 라이너(113)는, 예를 들면, 알루미늄 산화물(aluminum oxide)(Al2O3), 하프늄 산화물(hafnium oxide)(HfO2), 하프늄 실리콘 산화물(hafnium silicon oxide)(HfSiO), 하프늄 알루미늄 산화물(hafnium aluminum oxide)(HfAlO), 탄탈룸 산화물(tantalum oxide)(Ta2O5), 또는 하프늄 탄탈룸 산화물(hafnium tantalum oxide)(HfTaO)을 포함할 수도 있다. 다른 적용 가능한 고유전율 유전체 재료도 또한 본 개시의 범위 내에 있다. 몇몇 실시형태에서, 고유전율 유전체 라이너(113)는 대략 30 nm와 대략 100 nm 사이의 두께 범위를 가질 수도 있고, 다수의 고유전율 유전체 재료의 복합체로 제조될 수도 있다. 도핑된 라이너(114), 고유전율 유전체 라이너(113) 및 유전체 충전 층(112)은, 이미지 감지 다이(134)의 후면(124)을 따라 횡방향으로 연장될 수도 있다. 도 2에서 도시되는 이미지 센서는 중간 구조체일 수도 있고, 도핑된 라이너(114), 고유전율 유전체 라이너(113) 및 유전체 충전 층(112)은, 층의 상단 표면이 변경될 수 있도록 평탄화 프로세스를 받을 수도 있거나 또는 받지 않을 수도 있다.
몇몇 실시형태에서, 이미지 감지 다이(134)의 전면(122)으로부터 포토다이오드 도핑 층(128) 내의 한 위치까지 인접한 픽셀 영역(103a, 103b) 사이에서 플로팅 확산 웰(floating diffusion well; 204)이 배치된다. 포토다이오드(104)와 플로팅 확산 웰(204) 사이의 횡방향 위치에서 포토다이오드 도핑 층(128) 위에 전송 게이트(202)가 배열된다. 동작 동안, 전송 게이트(202)는 포토다이오드(104)로부터 플로팅 확산 웰(204)로의 전하 전송을 제어한다. 플로팅 확산 웰(204) 내에서 전하 레벨이 충분히 높은 경우, 소스 팔로워 트랜지스터(source follower transistor)(도시되지 않음)가 활성화되고 주소 지정을 위해 사용되는 행 선택 트랜지스터(도시되지 않음)의 동작에 따라 전하가 선택적으로 출력된다. 노출 기간 사이에 포토다이오드(104)를 리셋하기 위해 리셋 트랜지스터(도시되지 않음)가 사용될 수 있다.
도 3은 도핑된 라이너(114)를 갖는 BDTI 구조체(111)에 의해 둘러싸이는 포토다이오드(104)를 포함하는 이미지 센서의 몇몇 추가적인 실시형태의 단면도(300)를 예시한다. 도 1 및 도 2에 대한 상기에서 도시되고 설명되는 유사한 피쳐 외에도, 몇몇 실시형태에서, 도 3에서 도시되는 바와 같이, 이미지 감지 다이(134)의 전면(122)으로부터 포토다이오드 도핑 층(128) 내의 한 위치까지 인접한 픽셀 영역(103a, 103b) 사이에서 쉘로우 트렌치 격리(STI) 구조체(302)가 배치된다. STI 구조체(302) 및 BDTI 구조체(111)는 수직으로 정렬될 수도 있다(예를 들면, 도핑된 격리 웰(110)과 중심선을 공유할 수도 있는 공통 중심선(304)을 공유함). 몇몇 실시형태에서, 도핑된 격리 웰(110)은 이미지 감지 다이(134)의 전면(122)으로부터 포토다이오드 도핑 층(128) 내의 한 위치까지 연장되고 STI 구조체(302)를 둘러싼다. 도핑된 격리 웰(110)은 포토다이오드 도핑 층(128) 및/또는 BDTI 구조체(111)로부터 STI 구조체(302)를 격리할 수도 있다. 도 1 및 도 2에서 도시되며 상기에서 설명되는 피쳐는 도 3과 관련되는 실시형태에 통합될 수 있다는 것이 이해된다. 예를 들면, 도핑된 격리 웰(110)이 포토다이오드 도핑 층에 의해 BDTI 구조체(111)로부터 격리되는 것을 도 3이 도시하지만, 도핑된 격리 웰(110)은 도 1에서 도시되는 바와 같이 BDTI 구조체(111)의 상부 부분에 도달할 수도 있다. 이 경우, STI 구조체(302)는 BDTI 구조체(111)와 접촉할 수도 있거나 또는 그로부터 격리될 수도 있다. BDTI 구조체(111), 도핑된 격리 웰(110), 및 STI 구조체(302)는 픽셀 영역(103a, 103b)에 대한 격리부로서 집합적으로 기능하고, 그 결과, 픽셀 영역(103a, 103b) 사이의 크로스토크 및 블루밍이 감소될 수 있다. BDTI 구조체(111)의 도핑된 라이너(114) 및 도핑된 격리 웰(110)은 또한, 동작 동안 포토다이오드(104)의 공핍을 집합적으로 용이하게 하고, 그 결과, 전체 웰 용량은 향상된다.
도 4는 함께 본딩되는 이미지 감지 다이(134) 및 로직 다이(136)를 포함하는 통합 칩의 몇몇 실시형태의 단면도(400)를 예시하는데, 여기서 이미지 감지 다이(134)는 도핑된 라이너(114)를 갖는 BDTI 구조체(111)에 의해 둘러싸이는 포토다이오드(104)를 구비한다. 몇몇 실시형태에서, 이미지 감지 다이(134)는 상기의 도 1 내지 도 3과 관련하여 도시되고 설명되는 구조체를 가질 수도 있다. 이미지 감지 다이(134)는 인접한 픽셀 영역(103a, 103b) 사이에서 기판(102') 위에 놓이는 복합 그리드(composite grid; 406)를 더 포함할 수도 있다. 복합 그리드(406)는 이미지 감지 다이(134)의 후면(124)에서 하나씩 서로 적층되는 금속 층(402) 및 유전체 층(404)을 포함할 수도 있다. 유전체 라이너(408)는 복합 그리드(406)의 측벽 및 상단을 라이닝한다. 금속 층(402)은, 텅스텐, 구리, 알루미늄 구리, 또는 티타늄 질화물의 하나 이상의 층일 수도 있거나 또는 이들로 구성될 수도 있다. 금속 층(402)은 대략 100 nm와 대략 500 nm 사이의 두께 범위를 가질 수도 있다. 유전체 층(404)은 실리콘 이산화물, 실리콘 질화물, 또는 이들의 조합의 하나 이상의 층일 수도 있거나 또는 이들로 구성될 수도 있다. 유전체 층(404)은 대략 200 nm와 대략 800 nm 사이의 두께 범위를 가질 수도 있다. 유전체 라이너(408)는 실리콘 이산화물과 같은 산화물일 수도 있거나 또는 이들로 구성될 수도 있다. 유전체 라이너(408)는 대략 5 nm와 대략 50 nm 사이의 두께 범위를 가질 수도 있다. 다른 적용 가능한 금속 재료도 또한 본 개시의 범위 내에 있다. 이미지 감지 다이(134)의 전면(122) 상에 금속화 스택(metallization stack; 108)이 배열될 수도 있다. 금속화 스택(108)은 하나 이상의 레벨간 유전체(inter-level dielectric; ILD) 층(106) 내에 배열되는 복수의 금속 인터커넥트 층을 포함한다. ILD 층(106)은 저유전율 유전체 층(즉, 대략 3.9 미만의 유전 상수를 갖는 유전체), 초저유전율(ultra low-k) 유전체 층, 또는 산화물(예를 들면, 실리콘 산화물) 중 하나 이상을 포함할 수도 있다.
로직 다이(136)는 기판(140) 위에 배치되는 로직 디바이스(142)를 포함할 수도 있다. 로직 다이(136)는 로직 디바이스(142) 위에 놓이는 ILD 층(146) 내에 배치되는 금속화 스택(144)을 더 포함할 수도 있다. 이미지 감지 다이(134) 및 로직 다이(136)는 전면 대 전면(face to face), 전면 대 후면(face to back), 또는 후면 대 후면(back to back)으로 본딩될 수도 있다. 비제한적인 목적을 위한 한 예로서, 도 4는, 중간 본딩 유전체 층(138, 148), 및 본딩 패드(150, 152)의 쌍이 이미지 감지 다이(134)와 로직 다이(136) 사이에서 배열되며 금속화 스택(108, 144)을 각각 본딩하는 전면 대 전면 본딩 구조체를 도시한다. 몇몇 실시형태에서, 본딩 프로세스는 융합(fusion) 또는 공융(eutectic) 본딩 프로세스를 포함할 수도 있다.
도 5a는 깊은 격리 웰(110') 및 BDTI 구조체(111')에 의해 격리되는 포토다이오드(104')를 포함하는 이미지 감지 다이(134')의 개략적인 시뮬레이션 다이어그램(500a)을 예시한다. BDTI 구조체(111')는 이미지 감지 다이(134')의 후면(124)으로부터 연장되고, 깊은 격리 웰(110')은 이미지 감지 다이(134')의 전면(122)으로부터 연장된다. BDTI 구조체(111')는 도핑된 라이너가 없을 수도 있다. 깊은 격리 웰(110')은 깊은 낮은 위치까지 수직으로 연장되고 BDTI 구조체(111')에 도달한다. 깊은 격리 웰(110')은 BDTI 구조체(111')의 절반 또는 심지어 3/4보다 더 큰 깊이를 지날 수도 있다. 포토다이오드(104')는 전면(122)으로부터 연장되고 도 2와 관련하여 설명되는 바와 같이 전송 게이트(202)에 의해 판독될 수 있다. 깊은 격리 웰(110')은 주입에 의해 형성될 수도 있고 포토다이오드(104')에 대한 격리를 제공할 수도 있다. 그러나, 깊은 격리 웰(110')의 형성은 그것의 깊이 요건에 기인하여 어렵다. 주입 프로세스는 노출 해상도를 감소시키는 두꺼운 포토레지스트 층을 수반한다. 또한, 깊은 격리 웰(110')의 존재에 기인하여 포토다이오드(104')의 포토다이오드 영역이 감소되고, 깊은 격리 웰(110')의 전체 웰 용량은 주입 프로파일에 의해 제한되는데, 이것은 이미지 감지 다이(134')의 성능에 부정적인 영향을 끼친다.
도 5b는 후면(124)으로부터 연장되는 도핑된 라이너(114) 및 전면(122)으로부터 연장되는 도핑된 격리 웰(110)을 갖는 BDTI 구조체(111)에 의해 격리되는 포토다이오드(104)를 포함하는 향상된 이미지 감지 다이(134)의 개략적인 시뮬레이션 다이어그램(500b)을 예시한다. 도핑된 격리 웰(110)은 얕게 주입될 수도 있다. 몇몇 실시형태에서, 도핑된 격리 웰(110)은 도 2에서 도시되는 바와 유사하게 BDTI 구조체(111)로부터 수직으로 격리된다. 몇몇 대안적인 실시형태에서, 도핑된 격리 웰(110)은, 도 1에서 도시되는 바와 유사하게, BDTI 구조체(111)의 상부 부분에 도달한다. 이 경우, 도핑된 격리 웰(110)은 BDTI 구조체(111)의 절반 미만 또는 심지어 1/4 미만 깊이에 도달할 수도 있다. 쉘로우어인 도핑된 격리 웰(110)을 형성하는 것에 의해, 노출 해상도가 향상되고 주입 프로세스가 단순화된다. 또한, 포토다이오드 영역이 확장될 수 있고, 포토다이오드의 전체 웰 용량은 향상된다. 비교 목적을 위해, 도 5a의 깊은 격리 웰(110') 및 결과적으로 나타나는 더 좁은 포토다이오드(104')가 도 5b 상에서 재현된다. 포토다이오드(104)의 포토다이오드 영역은 도 5a의 이미지 감지 다이(134')의 포토다이오드(104')의 포토다이오드 영역보다 대략적으로 5 % 내지 15 % 사이에서 더 크다. 포토다이오드(104)의 전체 웰 용량은 도 5a의 이미지 감지 다이(134')의 포토다이오드(104')의 전체 웰 용량보다 대략 10 % 더 크다.
도 6 내지 도 20은, 도핑된 라이너를 갖는 BDTI 구조체에 의해 둘러싸이는 포토다이오드를 구비하는 이미지 센서를 형성하는 방법을 도시하는 단면도(600-2000)의 몇몇 실시형태를 예시한다. 예로서 다양한 도핑된 영역에 대해 도핑 타입이 제공되지만, 반대의 이미지 센서 디바이스 구조체를 실현하기 위해 이들 도핑된 영역에 대해 반대의 도핑 타입이 사용될 수 있다는 것이 인식된다.
도 6의 단면도(600)에서 도시되는 바와 같이, 기판(102')은 이미지 감지 다이(134)에 대해 제공된다. 다양한 실시형태에서, 기판(102')은 반도체 웨이퍼 또는 웨이퍼 상의 하나 이상의 다이와 같은 임의의 타입의 반도체 본체(예를 들면, 실리콘/게르마늄/CMOS 벌크, SiGe, SOI, 등등)뿐만 아니라, 그 상에 형성되는 임의의 다른 타입의 반도체 및/또는 에피택셜 층 및/또는 그들과 관련되는 그 밖의 것을 포함할 수도 있다. 예를 들면, 픽셀 어레이의 깊은 p 타입 웰(pixel array deep p-type well)(132)이 핸들링 기판(102) 상에서 형성될 수도 있다. 핸들링 기판(102)은 고도로 도핑되는 p 타입 기판 층일 수 있거나 또는 이들로 구성될 수 있다. 픽셀 어레이의 깊은 n 타입 웰(pixel array deep n-type well)(130)은 픽셀 어레이의 깊은 p 타입 웰(132) 상에서 형성될 수도 있다. 픽셀 어레이의 깊은 n 타입 웰(130) 및 픽셀 어레이의 깊은 p 타입 웰(132)은 주입 프로세스에 의해 형성될 수도 있다. 몇몇 실시형태에서, 포토다이오드 도핑 층(128)은 기판(102')의 상부 부분으로서 형성된다. 포토다이오드 도핑 층(128)은 p 타입 에피택셜 프로세스에 의해 형성될 수도 있다. 몇몇 실시형태에서, 복수의 쉘로우 트렌치 격리(STI) 구조체(302)가 경계에서 및/또는 인접한 픽셀 영역(103a, 103b) 사이에서 이미지 감지 다이(134)의 전면(122)으로부터 포토다이오드 도핑 층(128) 내의 한 위치까지 형성된다. 하나 이상의 STI 구조체(302)는, 쉘로우 트렌치를 형성하기 위해 이미지 감지 다이(134)의 전면(122)을 선택적으로 에칭하는 것 및, 후속하여, 쉘로우 트렌치 내에서 산화물을 형성하는 것에 의해 형성될 수도 있다.
도 7의 단면도(700)에서 도시되는 바와 같이, 도펀트 종(dopant species)은 포토다이오드 도핑 층(128)에 주입되어 도핑된 영역을 형성한다. 복수의 포토다이오드 도핑 칼럼(104a)은 픽셀 영역(103a, 103b) 내에 n 타입 도펀트 종을 각각 주입하는 것에 의해 형성된다. 인접한 픽셀 영역(103a, 103b) 사이의 포토다이오드 도핑 층(128)에 p 타입 도펀트 종을 주입하는 것에 의해 복수의 도핑된 격리 웰(110)이 형성될 수도 있다. 복수의 도핑된 격리 웰(110)은 이미지 감지 다이(134)의 전면(122)으로부터 STI 구조체(302)보다 더 깊은 한 위치까지 형성될 수도 있다. 도핑된 격리 웰(110)은 각각 STI 구조체(302)와 중앙 정렬될 수도 있다. 몇몇 실시형태에서, 포토다이오드 도핑 층(128)은 포토레지스트를 포함하는 패턴화된 마스킹 층(도시되지 않음)에 따라 선택적으로 주입될 수도 있다.
도 8의 단면도(800)에서 도시되는 바와 같이, 전송 게이트(202)는 이미지 감지 다이(134)의 전면(122) 위에 형성된다. 전송 게이트(202)는 기판(102') 위에 게이트 유전체 막 및 게이트 전극 막을 퇴적하는 것에 의해 형성될 수도 있다. 게이트 유전체 막 및 게이트 전극 막은 후속하여 패턴화되어 게이트 유전체 층 및 게이트 전극을 형성한다. 게이트 전극의 외부 측벽 상에 측벽 스페이서가 형성될 수도 있다. 몇몇 실시형태에서, 측벽 스페이서는 이미지 감지 다이(134)의 전면(122) 상으로 질화물을 퇴적하는 것 및 질화물을 선택적으로 에칭하여 측벽 스페이서를 형성하는 것에 의해 형성될 수도 있다. 주입 프로세스는 이미지 감지 다이(134)의 전면(122) 내에서 수행되어, 도 7에서 도시되는 바와 같이 전송 게이트(202)의 일측 또는 전송 게이트(202)의 쌍의 대향하는 측을 따라 플로팅 확산 웰(204)을 형성한다.
도 9의 단면도(900)에서 도시되는 바와 같이, 금속화 스택(108)은 이미지 감지 다이(134)의 전면(122)으로부터 형성될 수도 있다. 몇몇 실시형태에서, 금속화 스택(108)은 이미지 감지 다이(134)의 전면(122) 위에, ILD 재료의 하나 이상의 층을 포함하는 ILD 층(106)을 형성하는 것에 의해 형성될 수도 있다. ILD 층(106)은 후속하여 에칭되어 비아 홀 및/또는 금속 트렌치를 형성한다. 그 다음, 비아 홀 및/또는 금속 트렌치는 전도성 재료로 충전되어 복수의 금속 인터커넥트 비아(506) 및 금속 라인(508)을 형성한다. 몇몇 실시형태에서, ILD 층(106)은 물리적 기상 증착(physical vapor deposition) 기술(예를 들면, PVD, CVD, 등등)에 의해 퇴적될 수도 있다. 복수의 금속 인터커넥트 층은 퇴적 프로세스 및/또는 도금 프로세스(예를 들면, 전기 도금, 무전해 도금, 등등)를 사용하여 형성될 수도 있다. 다양한 실시형태에서, 복수의 금속 인터커넥트 층은, 예를 들면, 텅스텐, 구리 또는 알루미늄 구리를 포함할 수도 있다.
도 10의 단면도(1000)에서 도시되는 바와 같이, 이미지 감지 다이(134)는, 그 다음, 하나 이상의 다른 다이에 본딩될 수 있다. 예를 들면, 이미지 감지 다이(134)는 로직 디바이스(142)를 가지도록 준비되는 로직 다이(136)에 본딩될 수 있다. 이미지 감지 다이(134) 및 로직 다이(136)는 전면 대 전면, 전면 대 후면, 또는 후면 대 후면으로 본딩될 수도 있다. 예를 들면, 본딩 프로세스는, 이미지 감지 다이(134) 및 로직 다이(136)의 금속화 스택(108, 144)을 본딩하기 위해, 중간 본딩 유전체 층(138, 148), 및 본딩 패드(150, 152)의 쌍을 사용할 수도 있다. 본딩 프로세스는 융합 또는 공융 본딩 프로세스를 포함할 수도 있다. 본딩 프로세스는 또한 본딩 패드(150, 152)의 금속 대 금속 본딩, 및 중간 본딩 유전체 층(138, 148)의 유전체 대 유전체 본딩을 포함하는 하이브리드 본딩 프로세스를 포함할 수도 있다. 어닐링 프로세스는 하이브리드 본딩 프로세스를 따를 수도 있고, 예를 들면, 약 250 ℃ 내지 약 450 ℃ 사이의 온도 범위에서 약 0.5 시간 내지 약 4 시간 범위의 시간 동안 수행될 수도 있다.
도 11의 단면도(1100)에서 도시되는 바와 같이, 이미지 감지 다이(134)는 전면(122) 반대편에 있는 후면(124) 상에서 박형화된다. 박형화 프로세스는 핸들링 기판(102)(도 10 참조)을 부분적으로 또는 완전히 제거할 수도 있고 방사선이 이미지 감지 다이(134)의 후면(124)을 통해 포토다이오드(104)로 전달되는 것을 허용할 수도 있다. 기판(102')은 이미지 감지 다이(134)의 후면(124)을 에칭하는 것에 의해 박형화될 수도 있다. 대안적으로, 기판(102')은 이미지 감지 다이(134)의 후면(124)을 기계적으로 연마하는 것에 의해 박형화될 수도 있다. 비제한적인 목적을 위한 한 예로서, 기판(102')은 먼저 대략 17 ㎛와 대략 45 ㎛ 사이의 두께 범위로 먼저 연마될 수도 있다. 그 다음, 공격적인 습식 에칭이 적용되어 기판(102')을 추가로 박형화할 수 있다. 에천트의 예는 HF/질산/아세트산(HF/nitric/acetic acid; HNA)을 포함할 수도 있다. 그 다음, 화학적 기계적 프로세스 및 테트라메틸암모늄 수산화물(tetramethylammonium hydroxide; TMAH) 습식 에칭이 후속되어 대략 2.8 ㎛와 대략 7.2 ㎛ 사이의 두께 범위를 추가로 박형화할 수도 있고 따라서 방사선이 이미지 감지 다이(134)의 후면(124)을 통과하여 포토다이오드(104)에 도달할 수 있다.
도 12의 단면도(1200)에서 도시되는 바와 같이, 기판(102')은 이미지 감지 다이(134)의 후면(124) 내에 딥 트렌치(1202)를 형성하도록 선택적으로 에칭되어 포토다이오드(104)를 횡방향으로 격리한다. 몇몇 실시형태에서, 기판(102')은 이미지 감지 다이(134)의 후면(124) 상에 마스킹 층을 형성하는 것에 의해 에칭될 수도 있다. 그 다음, 기판(102')은 마스킹 층에 의해 피복되지 않은 영역에서 에천트에 노출된다. 에천트는 기판(102')을 에칭하여 기판(102')으로 연장되는 딥 트렌치(1202)를 형성한다. 다양한 실시형태에서, 마스킹 층은 포토리소그래피 프로세스를 사용하여 패턴화되는 포토레지스트 또는 질화물(예를 들면, SiN)을 포함할 수도 있다. 마스킹 층은 또한 약 200 옹스트롬(Å) 내지 약 1000 옹스트롬(Å) 사이의 두께 범위를 갖는 ALD 또는 플라즈마 강화 CVD 산화물 층을 포함할 수도 있다. 다양한 실시형태에서, 에천트는 불소 종(예를 들면, CF4, CHF3, C4F8, 등등)을 포함하는 에칭 화학 재료를 갖는 건식 에천트 또는 습식 에천트(예를 들면, 플루오르화수소산(hydroflouric acid; HF) 또는 테트라메틸암모늄 수산화물(TMAH))를 포함할 수도 있다. 딥 트렌치(1202)는 대략 1.5 ㎛와 대략 5 ㎛ 사이의 깊이 범위를 가질 수도 있다. 임계 치수는 대략 0.1 ㎛와 대략 0.3 ㎛ 사이의 범위를 가질 수도 있다. 몇몇 실시형태에서, 딥 트렌치(1202)는 도핑된 격리 웰(110) 안으로 수직으로 연장되도록 그리고 도핑된 격리 웰(110)을 위한 오목한 저부 표면을 생성하도록 형성된다.
도 13 내지 도 15는 보호 층을 갖는 향상된 플라즈마 도핑 프로세스를 사용하여 도핑된 라이너(114)(도 14 또는 15 참조)를 형성하는 방법의 몇몇 실시형태를 도시한다.
도 13의 단면도(1300)에서 도시되는 바와 같이, 딥 트렌치(1202)의 측벽을 따라 보호 층(1302)이 형성된다. 몇몇 실시형태에서, 보호 층(1302)은 실리콘 이산화물, 실리콘 질화물, 또는 이들의 조합과 같은 유전체 재료를 포함하거나 또는 이들로 제조된다. 보호 층(1302)은 또한 포토레지스트 재료를 포함할 수도 있거나 또는 이들로 제조될 수도 있다. 보호 층(1302)은 화학적 기상 증착(chemical vapor deposition; CVD) 프로세스 또는 원자 층 퇴적(atomic layer deposition; ALD) 프로세스와 같은 퇴적 프로세스로 만들어질 수도 있다. 비제한적인 목적을 위한 한 예로서, 보호 층(1302)은 약 250 ℃와 약 350 ℃ 사이의 온도 범위에서 ALD 프로세스에 의해 제조되어 약 1 nm 내지 약 10 nm 범위의 두께를 갖는 유전체 층을 형성할 수도 있다.
도 14의 단면도(1400)에서 도시되는 바와 같이, 보호 층(1302) 아래에 도핑된 라이너(114)가 형성된다. 도핑된 라이너(114)는 플라즈마 도핑 프로세스에 의해 형성될 수도 있다. 비제한적인 목적을 위한 한 예로서, 프로세싱 가스는 붕소 삼불화물(boron trifluorid)(BF3), 디보란(B2H6), 헬륨(He), 아르곤(Ar) 또는 다른 적용 가능한 가스를 포함할 수도 있다. 도펀트 가스(예를 들면, BF3, B2H6, 등등)는, 대략 1 keV와 대략 12 keV 사이의 범위 내의 에너지 및 대략 50 sccm과 대략 150 sccm 사이의 범위 내의 유량을 가지면서 대략 1E14 원자/cm2와 대략 2E17 원자/cm2 사이의 범위 내의 선량 농도(dose concentration)를 가질 수도 있다. 플라즈마 도핑 프로세스는 대략 600 W와 대략 800 W 사이의 범위 내의 플라즈마 전력을 가지면서 대략 6 mtorr와 대략 18 mtorr 사이의 범위 내의 압력에서 수행될 수 있다. 도핑된 라이너(114)의 도펀트 농도는 대략 5E17 원자/cm3 내지 대략 1E19 원자/cm3 사이의 범위 내에 있을 수도 있다. 도핑된 라이너(114)를 형성하기 위해 적소에 보호 층(1302)을 갖는 플라즈마 도핑 프로세스를 사용하는 것에 의해, 도핑된 라이너(114)의 도펀트 농도는 더 크게 형성될 수도 있고 두께 또는 접합 깊이는 주입 프로세스와 같은 다른 형성 방법과 비교하여 더 작게 형성될 수도 있다. 도핑된 라이너(114)의 두께는 보호 층(1302)의 두께를 제어하는 것에 의해 더 잘 제어될 수도 있다.
도 15의 단면도(1500)에서 도시되는 바와 같이, 보호 층(1302)은 도핑된 라이너(114)의 형성 이후에 제거된다. 비제한적인 목적을 위한 한 예로서, 제거 프로세스는 유전체 재료에 대해 플루오르화수소산(HF)을 사용한 습식 에칭 또는 산소를 사용한 플라즈마 에칭 프로세스 및 후속하는 포토레지스트 재료에 대한 습식 스트립에 의해 수행될 수도 있다.
도 13 내지 도 15와 관련하여 상기에서 설명되는 향상된 플라즈마 도핑 프로세스는, 보호 층(1302)을 사용하는 것에 의해 더 균일한 두께 및 균일한 도핑 농도, 더 매끄러운 표면, 및 더 적은 표면 농도를 갖는 향상된 등각 도핑 층을 제공한다. 몇몇 실시형태에서, 공형도는 도핑된 라이너(114)의 상단에서부터 저부까지 90 %보다 더 큰데, 이것은 보호 층 없이 형성되는 도핑된 층의 공형도로부터 향상된다. 몇몇 실시형태에서, 표면 조도는 보호 층 없이 형성되는 도핑된 층의 표면 조도와 비교하여 감소될 수 있다. 표면 농도는 1E22에서부터 1E19/cm2로, 또는 1E20/cm2 미만으로 감소될 수 있다. 또한, 도핑 프로파일은 보호 층(1302)의 두께를 조정하는 것에 의해 더 잘 제어될 수 있다. 더 두꺼운 보호 층(1302)은, 예를 들면, 20 nm 미만, 또는 5 nm 미만의 더 얇은 두께를 갖는 도핑된 라이너(114)를 형성하는 것을 도울 수 있다. 결과적으로, 향상된 플라즈마 도핑 프로세스에 의해 형성되는 이미지 센서 디바이스의 암전류는 보호 층을 사용하지 않는 다른 방법과 비교하여 대략 74 %만큼 감소될 수 있다.
상기에서 설명되는 향상된 플라즈마 도핑 프로세스에 대한 대안으로, 도핑된 라이너(114)는 저온 에피택셜 성장 프로세스, 예를 들면, 500 ℃보다 더 낮은 온도를 갖는 에피택셜 성장 프로세스에 의해 또한 형성될 수도 있다. 비제한적인 목적을 위한 한 예로서, 프로세싱 가스는 실란(silane)(SiH4), 디클로로실란(dichlorosilane)(DCS, 또는 H2SiCl2), B2H6, 수소(H2) 또는 다른 적용 가능한 가스를 포함할 수도 있다. 에피택셜 성장 프로세스는 대략 400 ℃ 내지 대략 480 ℃ 사이의 온도 범위에서 대략 4 torr와 대략 200 torr 사이의 범위 내의 압력에서 수행되어, 대략 4 nm와 대략 20 nm 사이의 범위 내의 두께를 갖는 도핑된 라이너(114)로서의 에피택셜 도핑된 층을 형성할 수도 있다. 도핑된 라이너(114)의 도펀트 농도는 대략 5E16 원자/cm3 내지 대략 5E18 원자/cm3 사이의 범위 내에 있을 수도 있다. 몇몇 대안적인 실시형태에서, 도핑된 라이너(114)는, 보호 층(1302)을 형성 및 제거하지 않고도, 원자 층 퇴적 프로세스 또는 다른 적절한 기술에 의해 형성될 수도 있다. 향상된 플라즈마 도핑 프로세스 및 저온 에피택셜 프로세스 둘 모두는, 삼차원 구조체에 대한 그림자 효과를 겪으며 소망되는 공형도를 달성할 수 없는 종래의 빔라인 주입 기술보다 더 나은 공형도로 나타날 것이다.
그 다음, 도 13 내지 도 15와 관련하여 설명되는 향상된 플라즈마 도핑 프로세스 또는 상기에서 설명되는 저온 에피택셜 성장 프로세스 중 어느 하나를 사용한 도핑된 라이너(114)의 형성 이후에 도펀트 활성화 프로세스가 수행된다. 몇몇 실시형태에서, 도펀트 활성화 프로세스는 마이크로파 어닐링 프로세스를 포함하거나 또는 그 마이크로파 어닐링 프로세스이다. 비제한적인 목적을 위한 한 예로서, 어닐링 가스는 대략 1 slm과 대략 20 slm 사이의 범위 내의 유량을 갖는 질소 또는 수소를 포함할 수도 있다. 어닐링 전력은 대략 3000 W와 대략 8000 W 사이의 범위 내에 있을 수도 있다. 어닐링 시간은 대략 1 분과 대략 20 분 사이의 범위 내에 있을 수도 있다. 몇몇 대안적인 실시형태에서, 도펀트 활성화 프로세스는 레이저 어닐링 프로세스를 포함하거나 또는 그 레이저 어닐링 프로세스이다. 비제한적인 목적을 위한 한 예로서, 어닐링은 대략 10 나노초와 대략 100 나노초 사이의 범위 내의 시간 동안 대략 0.3 J과 대략 3 J 사이의 범위 내의 에너지 밀도를 갖는 녹색 레이저를 사용할 수도 있다. 웨이퍼 스테이지 온도는 대략 250 ℃와 대략 500 ℃ 사이의 범위에 도달할 수도 있다. 도펀트 활성화 프로세스는, 특히 퇴적 프로세스 및 후속하는 열 드라이브 인 프로세스(thermal drive-in process)와 같은 다른 접근법 - 이것은 충분한 접합 깊이를 제공할 수 없는 또는 손상 복구 및 도펀트 활성화를 위한 고온 접합 드라이브 인 및 어닐링 때문에 낮은 열 예산 제품(thermal budget product)에 대해 허용 가능하지 않음 - 과 비교하여, 낮은 열 예산 제품에 대해 유용하다.
도 16의 단면도(1600)에서 도시되는 바와 같이, 딥 트렌치(1202)는, 그 다음, 유전체 재료로 충전된다. 몇몇 실시형태에서, 딥 트렌치(1202) 내에서 도핑된 라이너(114) 상으로 고유전율 유전체 라이너(113)가 형성된다. 고유전율 유전체 라이너(113)는 퇴적 기술에 의해 형성될 수도 있고 알루미늄 산화물(AlO), 하프늄 산화물(HfO), 탄탈룸 산화물(TaO) 또는 실리콘 산화물의 것보다 더 큰 유전 상수를 갖는 다른 유전체 재료를 포함할 수도 있다. 도핑된 라이너(114) 및 고유전율 유전체 라이너(113)는 딥 트렌치(1202)의 측벽 및 저부 표면을 라이닝한다. 몇몇 실시형태에서, 도핑된 라이너(114) 및 고유전율 유전체 라이너(113)는 딥 트렌치(1202) 사이의 이미지 감지 다이(134)의 후면(124) 위로 연장될 수도 있다. 딥 트렌치(1202)의 나머지를 충전하도록 유전체 충전 층(112)이 형성된다. 몇몇 실시형태에서, 유전체 충전 층(112) 및 고유전율 유전체 라이너(113)의 상부 표면을 따라 연장되는 평면 표면을 형성하도록, 유전체 충전 층(112)을 형성한 이후 평탄화 프로세스가 수행된다. 몇몇 실시형태에서, 고유전율 유전체 라이너(113) 및 유전체 충전 층(112)은 물리적 기상 증착 기술 또는 화학적 기상 증착 기술을 사용하여 퇴적될 수도 있다. 결과적으로, BDTI 구조체(111)가, 후면(124)으로부터 포토다이오드 도핑 층(128) 내의 한 위치까지 연장하면서, 기판(102')에서 형성된다. BDTI 구조체(111)는 인접한 픽셀 영역(103a, 103b) 사이에서 형성되어 그들을 격리한다.
도 17 내지 도 19는, 포토다이오드 도핑 칼럼(104a)(도 18 참조) 위에 놓이는 개구(1802) 주위에 배열되어 형성되는 복합 그리드(406)를 형성하는 방법의 몇몇 실시형태를 도시한다. 도 17의 단면도(1700)에서 도시되는 바와 같이, 금속 층(402) 및 유전체 층(404)은 이미지 감지 다이(134)의 후면(124)을 따라 기판(102') 위에 적층된다. 금속 층(402)은, 텅스텐, 구리, 알루미늄 구리, 또는 티타늄 질화물의 하나 이상의 층일 수도 있거나 또는 이들로 구성될 수도 있다. 다른 적용 가능한 금속 재료도 또한 본 개시의 범위 내에 있다. 유전체 층(404)은 실리콘 이산화물, 실리콘 질화물, 또는 이들의 조합의 하나 이상의 층일 수도 있거나 또는 이들로 구성될 수도 있다. 유전체 층(404)은 하드 마스크 층으로서 기능할 수도 있다. 도 18의 단면도(1800)에서 도시되는 바와 같이, 복합 그리드(406)를 형성하기 위해 금속 층(402) 및 유전체 층(404)에 에칭이 수행된다. 개구(1802)는, 복합 그리드(406)가 포토다이오드 도핑 칼럼(104a) 주위에 그리고 그 사이에서 배열되도록 포토다이오드 도핑 칼럼(104a)과 중앙에 정렬될 수도 있다. 대안적으로, 복합 그리드(406)가 적어도 부분적으로 포토다이오드 도핑 칼럼(104a) 위에 놓이도록, 개구(1802)는 포토다이오드 도핑 칼럼(104a)으로부터 적어도 하나의 방향에서 오프셋되거나 또는 횡방향으로 시프트될 수도 있다. 도 19의 단면도(1900)에서 도시되는 바와 같이, 복합 그리드(406)의 측벽 및 상단을 라이닝하는, 그리고 개구(1802)를 라이닝하는 유전체 라이너(408)가 형성된다. 통상적으로, 유전체 라이너(408)는, 예를 들면, 화학적 기상 증착(CVD) 또는 물리적 기상 증착(PVD)과 같은 등각 퇴적 기술을 사용하여 형성된다. 유전체 라이너(408)는, 예를 들면, 실리콘 이산화물과 같은 산화물로 형성될 수도 있다.
도 19에 의해 예시되는 바와 같이, 픽셀 센서에 대응하는 컬러 필터(116)는 대응하는 픽셀 센서의 개구(1802)에서 형성된다. 컬러 필터 층은, 다른 컬러의 광을 차단하면서, 대응하는 컬러의 광이 통과하는 것을 허용하는 재료로 형성된다. 게다가, 컬러 필터(116)는 할당된 컬러를 가지고 형성될 수도 있다. 예를 들면, 컬러 필터(116)는 적색, 녹색, 및 청색의 할당된 컬러를 가지고 교대로 형성된다. 컬러 필터(116)는 복합 그리드(406)의 상부 표면과 정렬되는 상부 표면을 가지고 형성될 수도 있다. 컬러 필터(116)는 대응하는 픽셀 센서의 포토다이오드 도핑 칼럼(104a)로부터 적어도 한 방향에서 횡방향으로 시프트되거나 또는 오프셋될 수도 있다. 시프트 또는 오프셋의 정도에 따라, 컬러 필터(116)는 대응하는 픽셀 센서의 개구를 부분적으로 충전할 수도 있고 대응하는 픽셀 센서에 이웃하는 픽셀 센서의 개구를 부분적으로 충전할 수도 있다. 대안적으로, 컬러 필터(116)는 대응하는 픽셀 센서의 포토다이오드 중심과 정렬되는 수직 축에 대해 대칭일 수도 있다.
컬러 필터(116)를 형성하기 위한 프로세스는, 컬러 할당의 상이한 컬러의 각각에 대해, 컬러 필터 층을 형성하는 것 및 컬러 필터 층을 패턴화하는 것을 포함할 수도 있다. 컬러 필터 층은 형성에 후속하여 평탄화될 수도 있다. 패턴화는 컬러 필터 층 위에 패턴을 갖는 포토레지스트 층을 형성하는 것, 포토레지스트 층의 패턴에 따라 컬러 필터 층에 에천트를 도포하는 것, 및 포토레지스트 층의 패턴을 제거하는 것에 의해 수행될 수도 있다.
도 20에 의해 예시되는 바와 같이, 픽셀 센서에 대응하는 마이크로 렌즈(118)는 대응하는 픽셀 센서의 컬러 필터(116) 위에 형성된다. 몇몇 실시형태에서, 복수의 마이크로 렌즈는 (예를 들면, 스핀 온 방법 또는 퇴적 프로세스에 의해) 복수의 컬러 필터 위에 마이크로 렌즈 재료를 퇴적하는 것에 의해 형성될 수도 있다. 만곡된 상부 표면을 구비하는 마이크로 렌즈 템플릿이 마이크로 렌즈 재료 위에 패턴화된다. 몇몇 실시형태에서, 마이크로 렌즈 템플릿은 분산 노출 광 선량을 사용하여 노출되고(예를 들면, 네거티브 포토레지스트의 경우, 곡률의 저부에서 더 많은 광이 노출되고 곡률의 상단에서 더 적은 광이 노출됨), 현상되고 베이킹되어 둥근 형상을 형성하는 포토레지스트 재료를 포함할 수도 있다. 마이크로 렌즈(118)는, 그 다음, 마이크로 렌즈 템플릿에 따라 마이크로 렌즈 재료를 선택적으로 에칭하는 것에 의해 형성된다.
도 21은 등각 도핑 층을 구비하는 BDTI 구조체에 의해 둘러싸이는 포토다이오드를 구비하는 이미지 센서를 형성하는 방법(2100)의 몇몇 실시형태의 흐름도를 예시한다.
개시된 방법(2100)이 본원에서 일련의 액트(act) 또는 이벤트로서 예시되고 설명되지만, 그러한 액트 또는 이벤트의 예시된 순서는 제한적인 의미로 해석되지 않아야 한다는 것이 인식될 것이다. 예를 들면, 몇몇 액트는 본원에서 예시 및/또는 설명되는 것들과는 상이한 순서로 및/또는 다른 액트 또는 이벤트와 동시에 발생할 수도 있다. 또한, 본원에서의 설명의 하나 이상의 양태 또는 실시형태를 구현하기 위해 예시된 모든 액트가 필요하지는 않을 수도 있다. 게다가, 본원에서 묘사되는 액트 중 하나 이상은 하나 이상의 별개의 액트 및/또는 단계에서 수행될 수도 있다.
액트(2102)에서, 이미지 센서를 위한 기판이 준비된다. 이미지 센서의 전면으로부터 포토다이오드 및 도핑된 격리 웰이 형성된다. 몇몇 실시형태에서, 포토다이오드 도핑 층으로서 핸들링 웨이퍼 위에 에피택셜 층이 형성되고, 포토다이오드 도핑 칼럼 및/또는 도핑된 격리 웰은 이미지 감지 다이의 전면에 도펀트 종을 주입하는 것에 의해 형성될 수도 있다. 도핑된 격리 웰은 포토다이오드 도핑 층 안으로 연장되는 복수의 칼럼을 형성하기 위해 선택적 주입에 의해 형성될 수도 있다. 몇몇 실시형태에서, 기판을 선택적으로 에칭하여 쉘로우 트렌치를 형성하는 것 및 후속하여 쉘로우 트렌치 내에 유전체(예를 들면, 산화물)를 형성하는 것에 의해 이미지 감지 다이의 전면 내에서 쉘로우 트렌치 격리 영역이 형성될 수도 있다. 도 6 및 도 7은 액트(2102)에 대응하는 몇몇 실시형태에 대응하는 단면도를 예시한다.
액트(2104)에서, 전송 게이트가 기판의 전면으로부터 형성된다. 기판의 전면 상의 전송 게이트 위에 금속화 스택이 형성된다. 도 8 및 도 9는 액트(2104)에 대응하는 몇몇 실시형태에 대응하는 단면도를 예시한다.
액트(2106)에서, 몇몇 실시형태에서, 이미지 센서는 로직 다이 또는 다른 이미지 감지 다이와 같은 하나 이상의 다른 다이에 본딩된다. 도 10은 액트(2106)에 대응하는 몇몇 실시형태에 대응하는 단면도를 예시한다.
액트(2108)에서, 기판은 추가적인 프로세싱을 위해 박형화된다. 기판의 후면으로부터 핸들링 기판이 부분적으로 또는 완전히 제거될 수도 있다. 그 다음, 기판은 인접한 감지 픽셀 영역 사이에 있으며 기판으로 연장하는 딥 트렌치를 형성하기 위해 선택적으로 에칭된다. 딥 트렌치는 쉘로우 트렌치 격리 영역 및/또는 도핑된 격리 웰과 정렬되는 중심선을 가질 수도 있다. 도 11 및 도 12는 액트(2108)에 대응하는 몇몇 실시형태에 대응하는 단면도를 예시한다.
액트(2110)에서, 딥 트렌치의 측벽 및 저부를 따라 도핑된 라이너가 형성된다. 몇몇 실시형태에서, 도핑된 라이너는 에피택셜 프로세스에 의해 형성될 수 있다. 에피택셜 프로세스는 상대적으로 낮은 온도 하에서 수행될 수도 있다. 몇몇 대안적인 실시형태에서, 도핑된 라이너는 적소에 보호 층을 갖는 향상된 플라즈마 도핑 프로세스에 의해 형성될 수 있다. 액트(2112) 내지 액트(2116)는 향상된 플라즈마 도핑 프로세스의 예를 도시한다. 액트(2112)에서, 보호 층은 딥 트렌치의 측벽 및 저부 표면 상에서 형성된다. 도 13은 액트(2112)에 대응하는 몇몇 실시형태에 대응하는 단면도를 예시한다. 액트(2114)에서, 플라즈마 도핑 프로세스가 수행되어 딥 트렌치 내에서 보호 층과 기판 사이에 도핑된 라이너를 형성한다. 도 14는 액트(2114)에 대응하는 몇몇 실시형태에 대응하는 단면도를 예시한다. 액트(2116)에서, 보호 층은 플라즈마 도핑 프로세스 이후에 딥 트렌치로부터 제거된다. 도 15는 액트(2116)에 대응하는 몇몇 실시형태에 대응하는 단면도를 예시한다.
액트(2118)에서, 딥 트렌치의 나머지 공간은 유전체 재료로 충전된다. 딥 트렌치 내에서 도핑된 라이너 상으로 고유전율 유전체 라이너가 형성될 수도 있다. 도 16은 액트(2118)에 대응하는 몇몇 실시형태에 대응하는 단면도를 예시한다.
액트(2120)에서, 반사 방지 층 및 복합 그리드가 기판의 후면으로부터 형성된다. 도 17 및 도 18은 액트(2120)에 대응하는 몇몇 실시형태에 대응하는 단면도를 예시한다.
액트(2122)에서, 컬러 필터 및 마이크로 렌즈가 반도체 기판의 후면 위에 형성된다. 도 19 및 도 20은 액트(2122)에 대응하는 몇몇 실시형태에 대응하는 단면도를 예시한다.
따라서, 본 개시는 BDTI 구조체에 의해 둘러싸이는 포토다이오드를 구비하는 이미지 센서, 및 관련된 형성 방법에 관한 것이다. BDTI 구조체는 딥 트렌치의 측벽 표면을 라이닝하는 도핑된 라이너 및 딥 트렌치의 나머지 공간을 충전하는 유전체 층을 포함한다. 도핑된 웰 및 격리 구조체로서 기능하는 개시된 BDTI 구조체를 형성하는 것에 의해, 기판의 전면으로부터의 주입 프로세스가 단순화되고, 따라서, 포토다이오드의 노출 해상도 및 전체 웰 용량이 향상되고, 블루밍 및 크로스토크가 감소된다.
몇몇 실시형태에서, 본 개시는 이미지 센서에 관한 것이다. 이미지 센서는 전면 및 전면과는 반대편에 있는 후면을 구비하는 이미지 감지 다이를 포함한다. 복수의 픽셀 영역이 이미지 감지 다이 내에 배치되고 이미지 센서 다이의 후면으로부터 들어오는 방사선을 전기 신호로 변환하도록 구성되는 포토다이오드를 각각 포함한다. 포토다이오드는, 제1 도핑 타입과는 상이한 제2 도핑 타입을 갖는 포토다이오드 도핑 층에 의해 둘러싸이는 제1 도핑 타입을 갖는 포토다이오드 도핑 칼럼을 포함한다. BDTI 구조체가 인접한 픽셀 영역 사이에서 배치되고 이미지 센서 다이의 후면으로부터 포토다이오드 도핑 층 내의 한 위치까지 연장된다. BDTI 구조체는 제2 도핑 타입을 갖는 도핑된 라이너 및 유전체 충전 층을 포함하는데, 도핑된 라이너는 유전체 충전 층의 측벽 표면을 라이닝한다.
몇몇 대안적인 실시형태에서, 본 개시는 이미지 센서를 형성하는 방법에 관한 것이다. 방법은, 이미지 감지 다이의 전면으로부터 복수의 픽셀 영역을 위한 포토다이오드를 형성하는 것을 포함한다. 포토다이오드는, 제1 도핑 타입과는 상이한 제2 도핑 타입을 갖는 포토다이오드 도핑 층에 의해 둘러싸이는 제1 도핑 타입을 갖는 포토다이오드 도핑 칼럼을 포함한다. 도핑된 격리 웰은, 복수의 주입 프로세스를 통해 포토다이오드 도핑 층에 도펀트를 주입하는 것에 의해 이미지 감지 다이의 전면으로부터 형성된다. 이미지 감지 다이의 전면 상에 게이트 구조체 및 금속화 스택이 형성된다. 금속화 스택은 하나 이상의 레벨간 유전체 층 내에 배열되는 복수의 금속 인터커넥트 층을 포함한다. 이미지 감지 다이는 이미지 감지 다이의 전면으로부터 로직 다이에 본딩된다. 로직 다이는 로직 디바이스를 포함한다. 이미지 감지 다이의 후면으로부터 에칭하는 것에 의해 인접한 픽셀 영역 사이에서 딥 트렌치가 형성된다. 딥 트렌치의 측벽 표면을 라이닝하는 제2 도핑 타입을 갖는 도핑된 라이너가 형성된다. 유전체 충전 층이 딥 트렌치의 내부 공간을 충전하여 BDTI 구조체를 형성한다.
여전히 다른 실시형태에서, 본 개시는 집적 회로에 관한 것이다. 집적 회로는, 후면으로부터 이미지 감지 다이로 들어가는 방사선을 전기 신호로 변환하도록 구성되는 포토다이오드를 각각 포함하는 복수의 픽셀 영역을 구비하는 이미지 감지 다이를 포함한다. 포토다이오드는, 제1 도핑 타입과는 상이한 제2 도핑 타입을 갖는 포토다이오드 도핑 층에 의해 둘러싸이는 제1 도핑 타입을 갖는 포토다이오드 도핑 칼럼을 포함한다. BDTI 구조체는 인접한 픽셀 영역 사이에서 배치되고 이미지 감지 다이의 후면으로부터 포토다이오드 도핑 층 내의 한 위치까지 연장된다. BDTI 구조체는 유전체 충전 층 및 제2 도핑 타입을 갖는 도핑된 라이너를 포함한다. 도핑된 라이너는 딥 트렌치의 측벽 표면을 라이닝하고, 유전체 충전 층은 딥 트렌치의 내부 공간을 충전한다. 제2 도핑 타입을 갖는 도핑된 격리 웰이 인접한 픽셀 영역 사이에서 배치되며 후면과는 반대편에 있는 이미지 감지 다이의 전면으로부터 포토다이오드 도핑 층 내의 한 위치까지 연장된다. 금속화 스택이 전면 상에 배열되고 레벨간 유전체 층 내에 배열되는 복수의 금속 인터커넥트 층을 포함한다. 로직 다이는 이미지 감지 다이의 전면에 본딩된다. 로직 다이는 로직 디바이스를 포함한다.
전술한 내용은, 기술 분야의 숙련된 자가 본 개시의 양태를 더 잘 이해할 수도 있도록 여러 가지 실시형태의 피쳐를 개략적으로 나타낸다(outline). 기술 분야의 숙련된 자는, 그들이 동일한 목적을 실행하기 위해 및/또는 본원에서 소개되는 실시형태의 동일한 이점을 달성하기 위해 다른 프로세스 및 구조체를 설계 또는 수정하기 위한 기초로서 본 개시를 쉽게 사용할 수도 있다는 것을 인식해야 한다. 기술 분야의 숙련된 자는 또한, 그러한 등가적 구성이 본 개시의 취지와 범위를 벗어나지 않는다는 것, 및 그들이 본 개시의 취지와 범위를 벗어나지 않으면서 본원에서 다양한 변경, 대체, 수정을 가할 수도 있다는 것을 인식해야 한다.
실시예들
실시예 1. 이미지 센서로서,
전면(front-side) 및 상기 전면과는 반대편에 있는 후면(back-side)을 구비하는 이미지 감지 다이;
상기 이미지 감지 다이 내에 배치되고 상기 이미지 감지 다이의 상기 후면으로부터 들어오는 방사선(radiation)을 전기 신호로 변환하도록 구성되는 포토다이오드 - 상기 포토다이오드는 제1 도핑 타입과는 상이한 제2 도핑 타입을 갖는 포토다이오드 도핑 층에 의해 둘러싸인, 상기 제1 도핑 타입을 갖는 포토다이오드 도핑 칼럼(photodiode doping column)을 포함함 - 를 각각 포함하는 복수의 픽셀 영역; 및
인접한 픽셀 영역들 사이에 배치되며 상기 이미지 감지 다이의 상기 후면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 연장되는 후면 딥 트렌치 격리(back-side deep trench isolation; BDTI) 구조체
를 포함하되;
상기 BDTI 구조체는 상기 제2 도핑 타입을 갖는 도핑된 라이너(doped liner) 및 유전체 충전 층을 포함하고, 상기 도핑된 라이너는 상기 유전체 충전 층의 측벽 표면을 라이닝한 것인, 이미지 센서.
실시예 2. 실시예 1에 있어서,
상기 인접한 픽셀 영역들 사이에 배치되며 상기 이미지 감지 다이의 상기 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 연장되는 상기 제2 도핑 타입을 갖는 도핑된 격리 웰(doped isolation well)
을 더 포함하는, 이미지 센서.
실시예 3. 실시예 2에 있어서,
상기 도핑된 격리 웰은 상기 BDTI 구조체와 수직으로 정렬된 것인, 이미지 센서.
실시예 4. 실시예 2에 있어서,
상기 도핑된 격리 웰은 상기 포토다이오드 도핑 층에 의해 상기 BDTI 구조체로부터 격리된 것인, 이미지 센서.
실시예 5. 실시예 2에 있어서,
상기 도핑된 격리 웰과 상기 BDTI 구조체는 상기 포토다이오드 도핑 층 내에서 만나는 것인, 이미지 센서.
실시예 6. 실시예 5에 있어서,
상기 BDTI 구조체의 저부(bottom) 부분은 상기 도핑된 격리 웰의 오목한 상단 표면(recessed top surface) 내에 배치된 것인, 이미지 센서.
실시예 7. 실시예 1에 있어서,
상기 BDTI 구조체는 상기 도핑된 라이너와 상기 유전체 충전 층 사이에 배치된 고유전율(high-k) 유전체 라이너를 더 포함한 것인, 이미지 센서.
실시예 8. 실시예 1에 있어서,
상기 이미지 감지 다이의 상기 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 상기 인접한 픽셀 영역들 사이에 배치된 쉘로우 트렌치 격리(shallow trench isolation; STI) 구조체
를 더 포함하되,
상기 STI 구조체와 상기 BDTI 구조체는 수직으로 정렬된 것인, 이미지 센서.
실시예 9. 실시예 1에 있어서,
상기 BDTI 구조체의 상기 도핑된 라이너 및 상기 유전체 충전 층은 상기 이미지 감지 다이의 상기 후면을 따라 횡방향으로 연장된 것인, 이미지 센서.
실시예 10. 실시예 1에 있어서,
상기 BDTI 구조체의 상기 도핑된 라이너는 5 nm보다 더 작은 두께를 갖는 것인, 이미지 센서.
실시예 11. 실시예 1에 있어서,
상기 BDTI 구조체의 상기 도핑된 라이너는 1E19/cm2보다 더 작은 표면 농도를 갖는 것인, 이미지 센서.
실시예 12. 실시예 1에 있어서,
상기 BDTI 구조체의 상기 도핑된 라이너는 상단에서부터 저부까지 90 %보다 더 큰 공형도(conformity)를 갖는 것인, 이미지 센서.
실시예 13. 실시예 1에 있어서,
상기 BDTI 구조체의 상기 도핑된 라이너는 대략 5E17 원자/cm3 내지 대략 1E19 원자/cm3 사이의 범위 내의 도핑 농도를 갖는 것인, 이미지 센서.
실시예 14. 실시예 1에 있어서,
상기 이미지 감지 다이의 상기 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 상기 인접한 픽셀 영역들 사이에 배치된 플로팅 확산 웰; 및
상기 포토다이오드와 상기 플로팅 확산 웰 사이의 횡방향 위치에서 상기 이미지 감지 다이의 전면 상에 배열된 전송 게이트
를 더 포함하는, 이미지 센서.
실시예 15. 실시예 1에 있어서,
상기 이미지 감지 다이의 상기 전면 상에 배열되며, 하나 이상의 레벨간 유전체 층 내에 배열된 복수의 금속 인터커넥트 층을 포함하는 금속화 스택(metallization stack)
을 더 포함하는, 이미지 센서.
실시예 16. 실시예 1에 있어서,
상기 이미지 감지 다이의 상기 전면으로부터 상기 이미지 감지 다이에 본딩된 로직 다이
를 더 포함하되,
상기 로직 다이는 로직 디바이스를 포함한 것인, 이미지 센서.
실시예 17. 이미지 센서를 형성하는 방법으로서,
이미지 감지 다이의 전면으로부터 복수의 픽셀 영역을 위한 포토다이오드 - 상기 포토다이오드는 제1 도핑 타입과는 상이한 제2 도핑 타입을 갖는 포토다이오드 도핑 층에 의해 둘러싸인, 상기 제1 도핑 타입을 갖는 포토다이오드 도핑 칼럼을 포함함 - 를 형성하는 단계;
복수의 주입 프로세스를 통해 상기 포토다이오드 도핑 층에 도펀트를 주입하는 것에 의해 상기 이미지 감지 다이의 상기 전면으로부터 도핑된 격리 웰을 형성하는 단계;
상기 이미지 감지 다이의 상기 전면 상에 게이트 구조체 및 금속화 스택 - 상기 금속화 스택은 하나 이상의 레벨간 유전체 층 내에 배열된 복수의 금속 인터커넥트 층을 포함함 - 을 형성하는 단계;
상기 이미지 감지 다이의 상기 전면으로부터 상기 이미지 감지 다이를 로직 다이 - 상기 로직 다이는 로직 디바이스를 포함함 - 에 본딩하는 단계;
상기 이미지 감지 다이의 후면으로부터 에칭하는 것에 의해 인접한 픽셀 영역들 사이에 딥 트렌치를 형성하는 단계;
상기 딥 트렌치의 측벽 표면을 라이닝하는, 상기 제2 도핑 타입을 갖는 도핑된 라이너를 형성하는 단계; 및
상기 딥 트렌치의 내부 공간을 충전하는 유전체 충전 층을 형성하여 후면 딥 트렌치 격리(BDTI) 구조체를 형성하는 단계
를 포함하는, 이미지 센서를 형성하는 방법.
실시예 18. 실시예 17에 있어서,
상기 도핑된 라이너를 형성하는 단계는,
상기 딥 트렌치를 라이닝하는 보호 층을 형성하는 단계;
플라즈마 도핑 프로세스를 수행하는 단계;
상기 보호 층을 제거하는 단계; 및
어닐링 프로세스를 수행하는 단계
를 포함한 것인, 이미지 센서를 형성하는 방법.
실시예 19. 실시예 17에 있어서,
상기 도핑된 라이너는 500 ℃보다 더 낮은 온도 하에서의 에피택셜 프로세스 및 후속하는 어닐링 프로세스에 의해 형성된 것인, 이미지 센서를 형성하는 방법.
실시예 20. 집적 회로로서,
복수의 픽셀 영역을 구비하는 이미지 감지 다이 - 상기 복수의 픽셀 영역은 후면으로부터 상기 이미지 감지 다이로 들어가는 방사선을 전기 신호로 변환하도록 구성되는 포토다이오드를 각각 포함하고, 상기 포토다이오드는 제1 도핑 타입과는 상이한 제2 도핑 타입을 갖는 포토다이오드 도핑 층에 의해 둘러싸인, 상기 제1 도핑 타입을 갖는 포토다이오드 도핑 칼럼을 포함함 -;
인접한 픽셀 영역들 사이에 배치되며, 상기 이미지 감지 다이의 상기 후면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 연장된 후면 딥 트렌치 격리(BDTI) 구조체 - 상기 BDTI 구조체는 상기 제2 도핑 타입을 갖는 도핑된 라이너 및 유전체 충전 층을 포함하고, 상기 도핑된 라이너는 상기 유전체 충전 층의 측벽 표면을 라이닝함 - ;
상기 인접한 픽셀 영역들 사이에 배치되며, 상기 후면과는 반대편에 있는 상기 이미지 감지 다이의 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 연장된, 상기 제2 도핑 타입을 갖는 도핑된 격리 웰;
상기 전면 상에 배열되며, 레벨간 유전체 층 내에 배열된 복수의 금속 인터커넥트 층을 포함하는 금속화 스택; 및
상기 이미지 감지 다이의 상기 전면에 본딩되는 로직 다이 - 상기 로직 다이는 로직 디바이스를 포함함 -
를 포함하는, 집적 회로.

Claims (10)

  1. 이미지 센서로서,
    전면(front-side) 및 상기 전면과는 반대편에 있는 후면(back-side)을 구비하는 이미지 감지 다이;
    상기 이미지 감지 다이 내에 배치되고 상기 이미지 감지 다이의 상기 후면으로부터 들어오는 방사선(radiation)을 전기 신호로 변환하도록 구성되는 포토다이오드 - 상기 포토다이오드는 제1 도핑 타입과는 상이한 제2 도핑 타입을 갖는 포토다이오드 도핑 층에 의해 둘러싸인, 상기 제1 도핑 타입을 갖는 포토다이오드 도핑 칼럼(photodiode doping column)을 포함함 - 를 각각 포함하는 복수의 픽셀 영역; 및
    인접한 픽셀 영역들 사이에 배치되며 상기 이미지 감지 다이의 상기 후면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 연장되는 후면 딥 트렌치 격리(back-side deep trench isolation; BDTI) 구조체
    를 포함하되;
    상기 BDTI 구조체는 상기 제2 도핑 타입을 갖는 도핑된 라이너(doped liner) 및 유전체 충전 층을 포함하고, 상기 도핑된 라이너는 상기 유전체 충전 층의 측벽 표면을 라이닝한 것인, 이미지 센서.
  2. 제1항에 있어서,
    상기 인접한 픽셀 영역들 사이에 배치되며 상기 이미지 감지 다이의 상기 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 연장되는 상기 제2 도핑 타입을 갖는 도핑된 격리 웰(doped isolation well)
    을 더 포함하는, 이미지 센서.
  3. 제1항에 있어서,
    상기 BDTI 구조체는 상기 도핑된 라이너와 상기 유전체 충전 층 사이에 배치된 고유전율(high-k) 유전체 라이너를 더 포함한 것인, 이미지 센서.
  4. 제1항에 있어서,
    상기 이미지 감지 다이의 상기 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 상기 인접한 픽셀 영역들 사이에 배치된 쉘로우 트렌치 격리(shallow trench isolation; STI) 구조체
    를 더 포함하되,
    상기 STI 구조체와 상기 BDTI 구조체는 수직으로 정렬된 것인, 이미지 센서.
  5. 제1항에 있어서,
    상기 BDTI 구조체의 상기 도핑된 라이너 및 상기 유전체 충전 층은 상기 이미지 감지 다이의 상기 후면을 따라 횡방향으로 연장된 것인, 이미지 센서.
  6. 제1항에 있어서,
    상기 이미지 감지 다이의 상기 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 상기 인접한 픽셀 영역들 사이에 배치된 플로팅 확산 웰; 및
    상기 포토다이오드와 상기 플로팅 확산 웰 사이의 횡방향 위치에서 상기 이미지 감지 다이의 전면 상에 배열된 전송 게이트
    를 더 포함하는, 이미지 센서.
  7. 제1항에 있어서,
    상기 이미지 감지 다이의 상기 전면 상에 배열되며, 하나 이상의 레벨간 유전체 층 내에 배열된 복수의 금속 인터커넥트 층을 포함하는 금속화 스택(metallization stack)
    을 더 포함하는, 이미지 센서.
  8. 제1항에 있어서,
    상기 이미지 감지 다이의 상기 전면으로부터 상기 이미지 감지 다이에 본딩된 로직 다이
    를 더 포함하되,
    상기 로직 다이는 로직 디바이스를 포함한 것인, 이미지 센서.
  9. 이미지 센서를 형성하는 방법으로서,
    이미지 감지 다이의 전면으로부터 복수의 픽셀 영역을 위한 포토다이오드 - 포토다이오드는 제1 도핑 타입과는 상이한 제2 도핑 타입을 갖는 포토다이오드 도핑 층에 의해 둘러싸인, 상기 제1 도핑 타입을 갖는 포토다이오드 도핑 칼럼을 포함함 - 를 형성하는 단계;
    복수의 주입 프로세스를 통해 상기 포토다이오드 도핑 층에 도펀트를 주입하는 것에 의해 상기 이미지 감지 다이의 상기 전면으로부터 도핑된 격리 웰을 형성하는 단계;
    상기 이미지 감지 다이의 상기 전면 상에 게이트 구조체 및 금속화 스택 - 상기 금속화 스택은 하나 이상의 레벨간 유전체 층 내에 배열된 복수의 금속 인터커넥트 층을 포함함 - 을 형성하는 단계;
    상기 이미지 감지 다이의 상기 전면으로부터 상기 이미지 감지 다이를 로직 다이 - 상기 로직 다이는 로직 디바이스를 포함함 - 에 본딩하는 단계;
    상기 이미지 감지 다이의 후면으로부터 에칭하는 것에 의해 인접한 픽셀 영역들 사이에 딥 트렌치를 형성하는 단계;
    상기 딥 트렌치의 측벽 표면을 라이닝하는, 상기 제2 도핑 타입을 갖는 도핑된 라이너를 형성하는 단계; 및
    상기 딥 트렌치의 내부 공간을 충전하는 유전체 충전 층을 형성하여 후면 딥 트렌치 격리(BDTI) 구조체를 형성하는 단계
    를 포함하는, 이미지 센서를 형성하는 방법.
  10. 집적 회로로서,
    복수의 픽셀 영역을 구비하는 이미지 감지 다이 - 상기 복수의 픽셀 영역은 후면으로부터 상기 이미지 감지 다이로 들어가는 방사선을 전기 신호로 변환하도록 구성되는 포토다이오드를 각각 포함하고, 상기 포토다이오드는 제1 도핑 타입과는 상이한 제2 도핑 타입을 갖는 포토다이오드 도핑 층에 의해 둘러싸인, 상기 제1 도핑 타입을 갖는 포토다이오드 도핑 칼럼을 포함함 -;
    인접한 픽셀 영역들 사이에 배치되며, 상기 이미지 감지 다이의 상기 후면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 연장된 후면 딥 트렌치 격리(BDTI) 구조체 - 상기 BDTI 구조체는 상기 제2 도핑 타입을 갖는 도핑된 라이너 및 유전체 충전 층을 포함하고, 상기 도핑된 라이너는 상기 유전체 충전 층의 측벽 표면을 라이닝함 - ;
    상기 인접한 픽셀 영역들 사이에 배치되며, 상기 후면과는 반대편에 있는 상기 이미지 감지 다이의 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 연장된, 상기 제2 도핑 타입을 갖는 도핑된 격리 웰;
    상기 전면 상에 배열되며, 레벨간 유전체 층 내에 배열된 복수의 금속 인터커넥트 층을 포함하는 금속화 스택; 및
    상기 이미지 감지 다이의 상기 전면에 본딩되는 로직 다이 - 상기 로직 다이는 로직 디바이스를 포함함 -
    를 포함하는, 집적 회로.
KR1020200180892A 2020-09-29 2020-12-22 이미지 센서용 후면 딥 트렌치 격리 구조체 KR102641186B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/036,202 US11955496B2 (en) 2020-09-29 2020-09-29 Back-side deep trench isolation structure for image sensor
US17/036,202 2020-09-29

Publications (2)

Publication Number Publication Date
KR20220043809A true KR20220043809A (ko) 2022-04-05
KR102641186B1 KR102641186B1 (ko) 2024-02-27

Family

ID=79274093

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200180892A KR102641186B1 (ko) 2020-09-29 2020-12-22 이미지 센서용 후면 딥 트렌치 격리 구조체

Country Status (5)

Country Link
US (2) US11955496B2 (ko)
KR (1) KR102641186B1 (ko)
CN (1) CN113937116A (ko)
DE (1) DE102020125936A1 (ko)
TW (1) TWI796625B (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116504800B (zh) * 2023-06-29 2023-09-12 合肥晶合集成电路股份有限公司 半导体结构制备方法及半导体结构
CN117219649B (zh) * 2023-11-09 2024-02-20 合肥晶合集成电路股份有限公司 半导体结构及其制备方法
CN117790523B (zh) * 2024-02-23 2024-05-17 合肥晶合集成电路股份有限公司 一种图像传感器及其制作方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130135033A (ko) * 2012-05-31 2013-12-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Cmos 이미지 센서 및 이의 형성 방법
KR20150101681A (ko) * 2014-02-27 2015-09-04 삼성전자주식회사 이미지 센서 및 이의 제조 방법
KR20190055732A (ko) * 2017-11-15 2019-05-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 이미지 센서 디바이스들에 대한 컬러 필터 균일도
KR20200035821A (ko) * 2018-09-26 2020-04-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 이미지 센서를 위한 딥 트렌치 격리 (dti) 구조체 상의 픽셀 디바이스
KR20200040131A (ko) * 2018-10-08 2020-04-17 삼성전자주식회사 이미지 센서 및 이의 제조 방법

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9349768B2 (en) 2014-03-28 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS image sensor with epitaxial passivation layer
US10170517B2 (en) * 2016-12-13 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming image sensor device
US10304886B2 (en) * 2017-09-28 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Back-side deep trench isolation (BDTI) structure for pinned photodiode image sensor
US10461109B2 (en) 2017-11-27 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple deep trench isolation (MDTI) structure for CMOS image sensor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130135033A (ko) * 2012-05-31 2013-12-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Cmos 이미지 센서 및 이의 형성 방법
KR20150101681A (ko) * 2014-02-27 2015-09-04 삼성전자주식회사 이미지 센서 및 이의 제조 방법
KR20190055732A (ko) * 2017-11-15 2019-05-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 이미지 센서 디바이스들에 대한 컬러 필터 균일도
KR20200035821A (ko) * 2018-09-26 2020-04-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 이미지 센서를 위한 딥 트렌치 격리 (dti) 구조체 상의 픽셀 디바이스
KR20200040131A (ko) * 2018-10-08 2020-04-17 삼성전자주식회사 이미지 센서 및 이의 제조 방법

Also Published As

Publication number Publication date
TW202213750A (zh) 2022-04-01
CN113937116A (zh) 2022-01-14
US20220102397A1 (en) 2022-03-31
TWI796625B (zh) 2023-03-21
KR102641186B1 (ko) 2024-02-27
US20230387170A1 (en) 2023-11-30
DE102020125936A1 (de) 2022-03-31
US11955496B2 (en) 2024-04-09

Similar Documents

Publication Publication Date Title
KR102192867B1 (ko) 핀형 포토다이오드 이미지 센서에 대한 후방 측 깊은 트렌치 격리(bdti) 구조물
US10804315B2 (en) Absorption enhancement structure for image sensor
TWI767044B (zh) 影像感測器之光電改善機制
US20220384496A1 (en) Back-side deep trench isolation structure for image sensor
KR102583440B1 (ko) 이미지 센서를 위한 후면 딥 트렌치 격리 구조물
TW201830679A (zh) 影像感測器積體晶片及其形成方法
KR102641186B1 (ko) 이미지 센서용 후면 딥 트렌치 격리 구조체
US11728365B2 (en) Semiconductor device with a radiation sensing region and method for forming the same
US20220344383A1 (en) Backside structure for image sensor
US20230411425A1 (en) Light absorbing layer to enhance p-type diffusion for dti in image sensors
TWI815124B (zh) 影像感測器及其形成方法
TWI826139B (zh) 背照式影像感測器及其製造方法
TWI832079B (zh) 積體晶片及其形成方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
E801 Decision on dismissal of amendment
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant