KR102583440B1 - 이미지 센서를 위한 후면 딥 트렌치 격리 구조물 - Google Patents

이미지 센서를 위한 후면 딥 트렌치 격리 구조물 Download PDF

Info

Publication number
KR102583440B1
KR102583440B1 KR1020200161878A KR20200161878A KR102583440B1 KR 102583440 B1 KR102583440 B1 KR 102583440B1 KR 1020200161878 A KR1020200161878 A KR 1020200161878A KR 20200161878 A KR20200161878 A KR 20200161878A KR 102583440 B1 KR102583440 B1 KR 102583440B1
Authority
KR
South Korea
Prior art keywords
photodiode
doped
forming
deep trench
layer
Prior art date
Application number
KR1020200161878A
Other languages
English (en)
Other versions
KR20210132574A (ko
Inventor
위훙 청
춘충 꾸어
지에츠푼 뤼
민잉 차이
치아오춘 쉬
칭이 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210132574A publication Critical patent/KR20210132574A/ko
Application granted granted Critical
Publication of KR102583440B1 publication Critical patent/KR102583440B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14634Assemblies, i.e. Hybrid structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/1469Assemblies, i.e. hybrid integration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14698Post-treatment for the devices, e.g. annealing, impurity-gettering, shor-circuit elimination, recrystallisation

Abstract

본 개시는 후면 딥 트렌치 격리(back-side deep trench isolation; BDTI) 구조물로 둘러싸인 포토다이오드를 갖는 이미지 센서 및 관련 형성 방법에 관한 것이다. 일부 실시예에서, 복수의 픽셀 영역은 이미지 감지 다이 내에 배치되고 각각 방사선을 전기 신호로 변환하도록 구성된 포토다이오드를 포함한다. 포토다이오드는 제1 도핑 유형과는 상이한 제2 도핑 유형을 갖는 포토다이오드 도핑 층으로 둘러싸인 제1 도핑 유형을 갖는 포토다이오드 도핑 컬럼(photodiode doping column)을 포함한다. BDTI 구조물은 인접한 픽셀 영역들 사이에 배치되고 이미지 감지 다이의 후면으로부터 포토다이오드 도핑 층 내의 위치까지 연장된다. BDTI 구조물은 제2 도핑 유형을 갖는 도핑된 라이너 및 유전체 충전 층을 포함한다. 도핑된 라이너는 유전체 충전 층의 측벽 표면을 라이닝한다.

Description

이미지 센서를 위한 후면 딥 트렌치 격리 구조물{BACK-SIDE DEEP TRENCH ISOLATION STRUCTURE FOR IMAGE SENSOR}
관련 출원에 대한 참조
본 출원은 2020년 4월 24일에 출원된 미국 특허 가출원 제63/014,856호의 이익을 주장하며, 그 내용은 인용에 의해 그 전부가 본 명세서에 통합된다.
많은 현대 전자 디바이스는 이미지 센서를 사용하는 광학 이미징 디바이스(예를 들면, 디지털 카메라)를 포함한다. 이미지 센서는 픽셀 센서들의 어레이 및 지원 로직을 포함할 수 있다. 픽셀 센서는 입사 방사선(예를 들면, 광)을 측정하고 디지털 데이터로 변환하며 지원 로직은 측정값 판독을 용이하게 한다. 이미지 센서의 한 유형은 후면 조명(backside illuminated; BSI) 이미지 센서 디바이스이다. BSI 이미지 센서 디바이스는 기판의 후면쪽으로 투사되는 광의 양을 감지하는 데 사용된다(후면은 다중 금속 및 유전체 층을 포함하는 상호접속 구조물이 그 위에 구축되는 기판의 전면과는 반대쪽에 있음). BSI 이미지 센서 디바이스는 전면 조명(front-side illuminated; FSI) 이미지 센서 디바이스에 비해 감소된 파괴 간섭(destructive interference)을 제공한다.
본 개시의 양상은 첨부한 도면들과 함께 읽을 때 하기의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준적 관행에 따라, 다양한 피처(features)는 실제 크기대로 도시되지 않는 것을 주목된다. 실제로, 다양한 피처의 치수는 논의의 명료화를 위해 임의로 증가되거나 감소될 수 있다.
도 1은 도핑된 라이너를 갖는 후면 딥 트렌치 격리(back-side deep trench isolation; BDTI) 구조물로 둘러싸인 포토다이오드를 포함하는 이미지 센서의 일부 실시예의 단면도를 도시한다.
도 2a 내지 2d는 이미지 센서용 도핑된 라이너로 BDTI 구조물을 형성하는 방법의 일부 실시예의 일련의 개략도를 도시한다.
도 3은 쉘로우 격리 웰(shallow isolation well)에 의해 격리된 포토다이오드 및 도핑된 라이너를 갖는 BDTI 구조물을 포함하는 이미지 센서의 일부 다른 실시예의 단면도를 도시한다.
도 4는 도핑된 라이너를 갖는 BDTI 구조물, 쉘로우 격리 웰 및 쉘로우 트렌치 격리 구조물로 둘러싸인 포토다이오드를 포함하는 이미지 센서의 일부 다른 실시예의 단면도를 도시한다.
도 5는 이미지 감지 다이와 함께 본딩된 로직 다이를 포함하는 통합 칩의 일부 실시예의 단면도를 도시하며, 여기서 이미지 감지 다이는 도핑된 라이너를 갖는 BDTI 구조물로 둘러싸인 포토다이오드를 갖는다.
도 6 내지 20은 컨포멀 도핑 층을 갖는 BDTI 구조물로 둘러싸인 포토다이오드를 갖는 이미지 센서를 형성하는 방법을 보여주는 단면도의 일부 실시예를 도시한다.
도 21은 도핑된 층을 갖는 BDTI 구조물로 둘러싸인 포토다이오드를 갖는 이미지 센서를 형성하는 방법의 일부 실시예의 흐름도를 도시한다.
하기의 개시는 제공되는 청구 대상의 상이한 피처들을 구현하기 위한 다수의 상이한 실시예들 또는 예시들을 제공한다. 컴포넌트들 및 배열들의 특정 예시는 본 개시를 단순화시키기 위해 이하에서 설명된다. 물론, 이것들은 단지 예이고, 제한하는 것으로 의도되지 않는다. 예를 들면, 이하의 설명에서 제2 피처 위에 또는 제2 피처 상에 제1 피처의 형성은, 제1 피처와 제2 피처가 직접 접촉해서 형성되는 실시예를 포함할 수 있고, 추가적인 피처가 제1 피처와 제2 피처 사이에 형성될 수 있어서 제1 피처와 제2 피처가 직접 접촉될 수 없는 실시예를 또한, 포함할 수 있다. 또한, 본 개시는 다양한 예들에서 참조 번호들 및/또는 문자들을 반복할 수 있다. 이 반복은 간략함과 명료함을 위한 것이고, 논의되는 다양한 실시예들 및/또는 구성들 간의 관계를 본질적으로 지시하지는 않는다.
또한, "밑에", "아래에", "하부에", "위에", "상부에" 등과 같은 공간적으로 상대적인 용어들은 도면들에서 도시되는 바와 같이 하나의 요소 또는 피처와 또 다른 요소(들) 또는 피처(들)간의 관계를 설명하도록 설명의 용이함을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에서 묘사된 방위에 추가적으로 사용 또는 동작 중인 디바이스의 상이한 방위들을 포괄하도록 의도된다. 장치는 다르게(90도 회전되거나 또는 다른 방위로) 배향될 수 있고, 본 명세서에서 사용된 공간적으로 상대적인 기술어들(descriptors)은 마찬가지로 상응하게 해석될 수 있다.
집적회로(integrated circuit; IC) 기술은 지속적으로 향상되고 있다. 이러한 향상은 종종 더 낮은 제조 비용, 더 높은 디바이스 집적도, 더 빠른 속도, 및 더 양호한 성능을 달성하기 위해 디바이스 지오메트리(geometries)를 스케일링 다운(scaling down)하는 것을 수반한다. 디바이스 크기 조정으로 인해, 이미지 센서의 픽셀 센서는 크기가 더 작고 서로 더 가깝다. 블루밍(blooming) 및 누화(crosstalk)를 줄이기 위해서는 이미지 센서의 인접 픽셀들 사이의 향상된 전기적 및 광학적 격리가 필요하다. 유전체 트렌치 및 주입 웰은 이미지 센서 픽셀을 격리하기 위한 격리 구조물로서 제조될 수 있다. 한 종류의 이미지 센서 제조 프로세스는 격리 벽으로서 포토다이오드의 깊이를 통해 딥 주입 웰(deep implant wells)을 형성하기 위한 주입 프로세스를 포함한다(예를 들어, 어레이 딥 p웰 주입이라고 알려진 주입 프로세스). 그러나 제조 복잡성 외에도 이러한 주입 프로세스는 노출 해상도를 감소시키는 두꺼운 포토레지스트 층을 포함한다. 예를 들면, 임계 치수가 0.2 μm보다 작으면, 3 μm보다 큰 포토레지스트 층으로 정밀한 리소그래피 프로세스를 거의 달성할 수 없다.
위의 관점에서, 본 개시는 도핑된 라이너를 갖는 후면 딥 트렌치 격리(BDTI) 구조물을 포함하는 이미지 센서 및 연관된 형성 방법에 관한 것이다. 일부 실시예에서, 이미지 센서는 이미지 감지 다이 내에 배치된 복수의 픽셀 영역을 갖는다. 픽셀 영역은 각각 방사선을 전기 신호로 변환하도록 구성된 포토다이오드를 갖는다. 포토다이오드는 제1 도핑 유형과는 상이한 제2 도핑 유형을 갖는 포토다이오드 도핑 층으로 둘러싸인 제1 도핑 유형을 갖는 포토다이오드 도핑 컬럼(photodiode doping column)을 포함한다. BDTI 구조물은 인접한 픽셀 영역들 사이에 배치되고 이미지 감지 다이의 후면으로부터 포토다이오드 도핑 층 내의 위치까지 연장된다. BDTI 구조물은 포토다이오드 도핑 층의 딥 트렌치의 측벽 표면을 라이닝하는 제2 도핑 유형을 갖는 도핑된 라이너 및 딥 트렌치의 나머지 내부 공간에 배치된 충전 층을 포함한다. BDTI 구조물이 깊숙이 연장되고 인접한 픽셀들 사이의 깊은 공핍 및 격리 구조물로서 작동되므로 감지 다이의 전면으로부터 깊은 주입이 필요하지 않다.
또한, 일부 실시예에서, 딥 트렌치를 형성한 후 및 딥 트렌치에 도핑된 라이너를 형성하기 전에 순환적 세정 프로세스가 수행되어, 딥 트렌치에 노출되는 포토다이오드 도핑층의 결함 있는 상부 부분과 딥 트렌치의 상단 코너에 있는 휘어진 팁(bowing tip)이 제거되거나 적어도 감소되어, 딥 트렌치에 대해 평활화된 측벽 표면(smooth sidewall surface)과 덜 휘어진 넥(neck)을 남긴다. 그 결과, 후속 트렌치 충전 프로세스에서 평활화되고 균일한 충전 결과를 더 쉽게 얻어질 수 있다. 일부 추가 실시예에서, 도핑된 라이너는 저온 에피택셜 프로세스에 이어 도펀트 활성화를 위한 레이저 어닐링 프로세스에 의해 형성된다. 따라서, 원치 않는 비정상적인 열 예산을 도입하지 않고 도핑된 라이너가 컨포멀하고 평활화되고 결함이 적은 형태로 형성된다. 도핑된 라이너를 형성하는 방법의 일부 실시예의 더 자세한 사항은 제조 프로세스 예시의 도 2a 내지 2d 및 도 13 내지 15와 관련하여 아래에 설명된다.
도 1은 일부 실시예에 따라 도핑된 라이너(114)를 갖는 BDTI 구조물(111)로 둘러싸인 포토다이오드(104)를 갖는 이미지 센서(100)의 단면도를 예시한다. 이미지 감지 다이(134)는 전면(122) 및 후면(124)을 갖는다. 이미지 센서(100)는 도 1에 도시된 픽셀 영역(103a, 103b)과 같은 행 및/또는 열을 포함하는 어레이로 배열될 수 있는 복수의 픽셀 영역을 갖는 이미지 감지 다이(134)를 포함한다. 픽셀 영역(103a, 103b)은 각각 입사 방사선 또는 입사 광(120)(예를 들어, 광자)을 전기 신호로 변환하도록 구성된 포토다이오드(104)를 포함한다. 일부 실시예에서, 포토다이오드(104)는 제1 도핑 유형(예를 들어, 인, 비소, 안티몬 등과 같은 도펀트에 의한 n형 도핑)을 갖는 포토다이오드 도핑 컬럼(column)(104a)과 같은 제1 영역과, 제1 도핑 유형과는 상이한, 제2 도핑 유형(예를 들어, 붕소, 알루미늄, 인듐 등과 같은 도펀트에 의한 p형 도핑)을 갖는 포토다이오드 도핑층(128)과 같은 인접한 제2 영역을 포함한다.
BDTI 구조물(111)은 인접한 픽셀 영역들(103a, 103b) 사이에 배치되고 이들을 격리시킨다. BDTI 구조물(111)은 이미지 감지 다이(134)의 후면(124)으로부터 포토다이오드 도핑 층(128) 내의 위치까지 연장되거나 도 1에 도시된 바와 같이 포토다이오드 도핑 층(128)을 관통해 연장될 수 있다. 일부 실시예에서, BDTI 구조물(111)은 제2 도핑 유형(예를 들어, p형 도핑)을 갖는 도핑된 라이너(114) 및 유전체 충전 층(112)을 포함한다. 도핑된 라이너(114)는 포토다이오드 도핑 층(128)의 딥 트렌치의 측벽 표면을 라이닝하고, 유전체 충전 층(112)은 딥 트렌치의 나머지 공간을 채운다. 도핑된 라이너(114)는 붕소 또는 다른 p형 도펀트를 갖는 도핑된 실리콘 또는 다른 도핑된 반도체 물질을 포함할 수 있다. 유전체 충전 층(112)은 실리콘 이산화물, 실리콘 질화물 및/또는 다른 적용 가능한 유전체 물질로 제조될 수 있다. 도핑된 라이너(114) 및 유전체 충전 층(112)은 이미지 감지 다이(134)의 후면(124)을 따라 측방향으로 연장될 수 있다. 일부 실시예에서, BDTI 구조물(111)의 상단 코너에 있는 휘어진 팁은 BDTI 구조물(111)의 상부 측벽으로부터 포토다이오드 도핑 층(128)의 측방향 평면에 수직인 수직선까지 약 8° 내지 15° 범위의 휘어진 각도를 갖는다. 일부 실시예에서, 휘어진 팁은 약 8°보다 작다. 상기 및 이후에 개시되는 바와 같이, BDTI 구조물(111)을 위한 딥 트렌치를 에칭 프로세스에 의해 형성하는 제조 단계에 의해 휘어진 팁이 도입될 수 있다. 에칭 프로세스는 언더컷 프로파일(under-cut profile)을 생성할 수 있는 건식 에칭 및 습식 에칭을 포함하는 이방성 에칭 프로세스를 포함할 수 있다. 그 다음, 휘어진 상단이 제거되거나 적어도 순환적 세정 프로세스에 의해 감소될 수 있으며, 평활화된 측벽 표면과 딥 트렌치에 대해 덜 휘어진 넥(bowing neck)을 남긴다.
일부 실시예에서, 복수의 컬러 필터(116)가 이미지 감지 다이(134)의 후면(124) 위에 배열된다. 복수의 컬러 필터(116)는 각각 특정 파장의 입사 방사선 또는 입사광(120)을 투과시키도록 구성된다. 예를 들어, 제1 컬러 필터(예를 들면, 적색 컬러 필터)는 제1 범위 내의 파장을 갖는 광을 투과시키는 반면, 제2 컬러 필터는 제1 범위와 상이한 제2 범위 내의 파장을 갖는 광을 투과시킬 수 있다. 일부 실시예에서, 복수의 컬러 필터(116)는 복수의 포토다이오드(104) 위에 놓인 격자 구조물 내에 배열될 수 있다.
일부 실시예에서, 복수의 마이크로 렌즈(118)가 복수의 컬러 필터(116) 위에 배열된다. 각각의 마이크로 렌즈(118)는 컬러 필터(116)와 측방향으로 정렬되고 픽셀 영역(103a, 103b) 위에 놓인다. 일부 실시예에서, 복수의 마이크로 렌즈(118)는 복수의 컬러 필터(116)에 접하는 실질적으로 평평한 바닥 표면 및 만곡된 상부 표면을 갖는다. 만곡된 상부 표면은 입사 방사선 또는 입사 광(120)(예를 들어, 하부 픽셀 영역(103a, 103b)을 향한 광)을 포커싱하도록 구성된다. 이미지 센서의 동작 동안, 입사 방사선 또는 입사광(120)은 마이크로 렌즈(118)에 의해 하부 픽셀 영역(103a, 103b)에 포커싱된다. 충분한 에너지의 입사 방사선 또는 입사광 이 포토다이오드(104)에 부딪히면 광전류를 생성하는 전자-정공 쌍을 생성한다. 특히, 마이크로 렌즈(118)는 도 1에서 이미지 센서에 고정된 것으로 도시되어 있지만, 이미지 센서는 마이크로 렌즈를 포함하지 않을 수 있고 마이크로 렌즈는 나중에 별도의 제조 활동에서 이미지 센서에 부착될 수 있음을 알 수 있다.
도 2a 내지 2d는 일부 실시예에 따른 이미지 센서를 위해 딥 트렌치(1202)를 준비하고 딥 트렌치(1202)의 측벽 표면 상에 도핑된 라이너(114)를 형성하는 방법의 일련의 개략도를 도시한다. 도 2a 내지 2d는 제조 프로세스 동안 상기 도 1에 개시된 이미지 센서(100)와 같은 본 출원에 개시된 이미지 센서의 일부 중간 부분을 도시한다. 딥 트렌치(1202)는 달성 가능한 형성 방법 때문에 직선 컬럼(straight column)이 아니다. 예를 들어, 도 2a에 도시된 바와 같이, 딥 트렌치(1202)는 에칭 프로세스에 의해 포토다이오드 도핑 층(128)의 후면(124)으로부터 형성된다. 에칭 프로세스는 건식 에칭, 및 예를 들면, 에천트 중 하나로서 TMAH(tetramethylammonium hydroxide)를 사용하는 습식 에칭을 포함하는 이방성 에칭 프로세스를 포함한다. 딥 트렌치(1202)는 딥 트렌치(1202)의 상단 코너에 언더컷 프로파일 및 휘어진 팁을 가질 수 있다. 휘어진 팁은 딥 트렌치(1202)의 상부 측벽으로부터 포토다이오드 도핑 층(128)의 평면에 수직인 수직선까지 약 15° 내지 30° 범위의 휘어진 각도(θ1)를 가질 수 있다. 또한, 딥 트렌치(1202)에 노출된 포토다이오드 도핑 층(128)의 상부는 전위(dislocation) 및 자연 산화물 형성으로 인해 손상되고 에칭 프로세스의 손상으로 인해 두께 Td를 갖는 결함 층(128')으로 변환된다.
도 2b는 순환적 세정 프로세스 후의 딥 트렌치(1202)를 도시한다. 일부 실시예에서, 순환적 세정 프로세스는 결함 층(128')을 제거하고 딥 트렌치(1202)의 측벽 표면을 평활화하기 위해 사용된다. 순환적 세정 프로세스는 불화수소산(HF)과 APM(ammonia and hydrogen peroxide mixture)과 같은 2개 이상의 상이한 에천트의 용액을 다중 사이클 동안 교대로 사용하는 것을 포함할 수 있다. 이 프로세스는, 순환적 세정 프로세스가 결함층(128')을 완전히 제거하고 후속 성막 프로세스를 위한 평활화된 표면을 달성하기 위해 포토다이오드 도핑층(128)의 상부 부분의 상당 부분을 제거하도록 의도하기 때문에, 불화수소산 용액을 이용한 습식 세정, SiCoNi 사전 세정 및/또는 다른 플라즈마 강화 사전 세정 프로세스와 같은 일반적인 세정 프로세스와는 다르다. 일부 실시예에서, 순환적 세정 프로세스는 약 1 nm 내지 20 nm 범위 내 또는 적어도 약 20 nm 범위의 두께 Td를 갖는 결함 층(128')을 제거한다. 그 결과, 딥 트렌치(1202)의 측벽 표면이 평활화되고 휘어진 팁이 감소된다. 휘어진 폭(Wb)은 도 2b에 도시된 바와 같이 휘어진 팁으로부터 딥 트렌치(1202)의 본체(body)까지의 측방향 거리로서 정의된다. 휘어진 폭(Wb)은 세정 프로세스의 사이클(cycle)들이 증가함에 따라 선형적으로 감소될 수 있다. 생성된 휘어진 팁은 딥 트렌치(1202)의 상부 측벽으로부터 포토다이오드 도핑 층(128)의 평면에 수직인 수직선까지 15° 미만으로 감소된 휘어진 각도(θ2)를 가질 수 있다. 예를 들어, 포토다이오드 도핑 층(128)의 상부 부분은 약 21 나노 미터(nm) 동안 제거될 수 있는 반면, 각각의 사이클은 약 6 옹스트롬(Å)을 제거한다. 휘어진 폭(Wb)은 36개의 사이클의 이러한 세정으로 약 10nm로 감소될 수 있다. 결과적으로, BDTI 구조물의 측벽 프로파일은 덜 휘어진 넥으로 형성되고, 딥 트렌치(1202)의 측벽이 더 직선적일수록 트렌치 충전 품질이 향상되기 때문에 이미지 센서의 성능이 향상될 수 있다.
그 후, 도 2c에 도시된 바와 같이, 도핑된 라이너 전구체(114')는 딥 트렌치(1202)의 나머지 공간을 채우기 전에 에피택셜 성막 프로세스를 통해 딥 트렌치(1202)의 평활화된 측벽 표면 상에 형성된다. 도핑된 라이너 전구체(114')는 p형 도펀트의 델타 도핑을 사용하는 더 저온의 에피택셜 성막 프로세스에 의해 형성된다. 일부 실시예에서, 도핑된 라이너 전구체(114')는 약 1x1019cm-3의 붕소 농도와 함께 약 1.3 nm의 두께를 가질 수 있다. 일부 실시예에서, 도핑된 라이너 전구체(114')의 도펀트 농도는 대략 5x1019 원자/cm3 내지 대략 2x1020 원자/cm3의 범위 내일 수 있다. 도핑된 라이너 전구체(114')의 두께는 대략 0.5 nm 내지 대략 3 nm의 범위 내일 수 있다. 도핑된 라이너 전구체(114')는 10nm를 초과하지 않는 두께를 가질 수 있다. 더 두꺼운 도핑된 라이너, 더 높은 형성 온도 또는 더 작은 도펀트 농도는 이미지 센서의 백색 픽셀 수 및/또는 암전류에 부정적인 영향을 미친다. 예를 들어, 약 10nm의 두께 및 도핑된 라이너 전구체(114')와 동일한 도펀트 농도를 갖는 도핑된 라이너 전구체는 백색 픽셀 수 및/또는 이미지 센서의 암전류의 5배를 초과한다. 8×1019 cm-3보다 작은 도펀트 농도를 가진 도핑된 라이너는 백색 픽셀의 수를 크게 증가시키고 심지어 이미지 센서의 고장을 초래할 수도 있다.
도 2d에 도시된 바와 같이, 도펀트 활성화 프로세스는 도핑된 라이너 전구체(114')의 형성에 이어서, 도핑된 라이너 전구체(114')로부터 도핑된 라이너 전구체(114')의 인접 부분으로의 도펀트 확산을 촉진하고 도핑된 라이너(114)를 형성한다. 일부 실시예에서, 도펀트 활성화 프로세스는 동적 표면 어닐링 프로세스와 같은 레이저 어닐링 프로세스이고 균일한 도펀트 분포를 달성하기 위해 다중 라운드(multiple rounds)를 포함할 수 있다. 예를 들어, 도펀트는 붕소일 수 있다. 붕소의 표면 농도는 1020cm-3보다 클 수 있으며 확산 깊이는 약 20nm가 될 수 있으며, 이깊이에서 상단으로부터 붕소 농도는 약 1015cm-3으로 감소한다. 일부 실시예에서, 딥 트렌치(1202)의 휘어진 폭(Wb) 및 휘어진 각도(θ2)는 도 2c 및 도 2d에 설명된 바와 같이 도핑된 라이너(114)의 형성 후에 실질적으로 유지될 수 있다.
도 3은 일부 다른 실시예에 따라 도핑된 쉘로우 격리 웰(110)과 도핑된 라이너(114)를 갖는 BDTI 구조물(111)에 의해 격리된 포토다이오드(104)를 포함하는 이미지 센서(300)의 단면도를 예시한다. 도 1 및 다른 도면에 도시된 이미지 센서(100)의 피처는 적용 가능한 경우 이미지 센서(300)에 통합될 수 있다. 일부 실시예에서, BDTI 구조물(111)은 대략 1.5 um 내지 대략 5 um의 범위 내의 깊이 D를 가질 수 있다. BDTI 구조물(111)의 측방향 치수(W)는 대략 0.1㎛ 내지 대략 0.3㎛의 범위를 가질 수 있다. BDTI 구조물(111)의 측방향 치수는 BDTI 구조물 내부에 도핑된 라이너(114) 및 다른 층의 형성을 수행하기에 충분해야 한다(예를 들어, 아래 도 13 내지 16과 관련하여 설명됨). 도핑된 라이너(114)의 표면 거칠기는 3Å보다 작을 수 있다. 도핑된 라이너(114)의 위에서 아래로의 정합(conformity)은 90%보다 크다. 일부 실시예에서, 도 2b 내지 2d와 관련하여 위에서 설명된 순환적 세정 프로세스, 에피택셜 성막 프로세스 및 도펀트 활성화 프로세스를 사용함으로써 도핑된 라이너(114)의 보다 컨포멀한 두께, 보다 평활화된 표면 및 보다 균일한 도펀트 농도가 달성된다. 도핑된 라이너(114)의 형성 방법에 대한 더 자세한 사항은 또한 도 13 내지 15와 관련하여 논의된다.
또한, 일부 실시예에서, 도핑된 쉘로우 격리 웰(110)이 이미지 감지 다이(134)의 전면(122)으로부터 포토다이오드 도핑 층(128) 내의 위치까지 연장되는, 인접한 픽셀 영역들(103a, 103b) 사이에 배치되고 이들을 격리한다. 도핑된 쉘로우 격리 웰(110)은 제2 도핑 유형(예를 들어, p형 도핑)을 가질 수 있다. 일부 실시예에서, BDTI 구조물(111)의 하단 부분은 도핑된 쉘로우 격리 웰(110)의 리세싱된 상단 표면 내에 배치될 수 있다. 이 경우, 도핑된 쉘로우 격리 웰(110)은 BDTI 구조물(111)의 깊이의 절반 미만 또는 심지어 1/4 미만에 도달할 수 있다. 도핑된 쉘로우 격리 웰(110)은 BDTI 구조물(111)과 수직으로 정렬될 수 있다(예를 들어, 공통 중심선(126)을 공유함). BDTI 구조물(111) 및 도핑된 쉘로우 격리 웰(110)은 픽셀 영역(103a, 103b)에 대한 격리부로서 집합적으로 기능하여, 픽셀 영역들(103a, 103b) 사이의 누화 및 블루밍이 감소될 수 있다. BDTI 구조물(111) 및 도핑된 쉘로우 격리 웰(110)은 또한, BDTI 구조물(111) 및 도핑된 쉘로우 격리 웰(110)이 포토다이오드(104)에 추가적인 p형 도펀트를 제공함으로써, 전체 웰 용량이 향상되므로, 동작 동안 포토다이오드 104의 공핍을 집합적으로 용이하게 한다.
일부 실시예에서, BDTI 구조물(111)은 도핑된 라이너(114)와 유전체 충전 층(112) 사이에 배치되고 유전체 충전 층(112)으로부터 도핑된 라이너(114)를 격리시키는 하이-k 유전체 라이너(113)를 더 포함한다. 하이-k 유전체 라이너(113)는 또한 컨포멀 층일 수 있다. 하이-k 유전체 라이너(113)는 예를 들면, 알루미늄 산화물(Al2O3), 하프늄 산화물(HfO2), 하프늄 실리콘 산화물(HfSiO), 하프늄 알루미늄 산화물(HfAlO), 탄탈륨 산화물(Ta2O5) 또는 하프늄 탄탈륨 산화물(HfTaO)을 포함할 수 있다. 다른 적용 가능한 하이-k 유전체 물질도 본 개시의 범위 내에 있다. 일부 실시예에서, 하이-k 유전체 라이너(113)는 대략 30nm 내지 대략 100nm의 두께 범위를 가질 수 있고 다수의 하이-k 유전체 물질의 합성물로 제조될 수 있다. 도핑된 라이너(114), 하이-k 유전체 라이너(113) 및 유전체 충전 층(112)은 이미지 감지 다이(134)의 후면(124)을 따라 측방향으로 연장될 수 있다.
일부 실시예에서, 부유 확산 웰(floating diffusion well)(204)은 이미지 감지 다이(134)의 전면(122)으로부터 포토다이오드 도핑 층(128) 내의 위치까지 인접한 픽셀 영역들(103a, 103b) 사이에 배치된다. 일부 실시예에서, BDTI 구조물(111)은 부유 확산 웰(204) 위에 있는 위치까지 연장된다. BDTI 구조물(111) 및 부유 확산 웰(204)은 수직으로 정렬될 수 있다(예를 들어, 공통 중심선(302)을 공유함). 전송 게이트(transfer gate)(202)는 포토다이오드(104)와 부유 확산 웰(204) 사이의 측방향 위치에서 포토다이오드 도핑 층(128) 위에 배열된다. 동작 동안, 전송 게이트(202)는 포토다이오드(104)로부터 부유 확산 웰(204)로의 전하 전송을 제어한다. 부유 확산 웰(204) 내에서 전하 레벨이 충분히 높으면, 소스 팔로워 트랜지스터(source follower transistor)(미도시됨)가 활성화되고 어드레싱에 사용되는 행 선택 트랜지스터(미도시됨)의 동작에 따라 전하가 선택적으로 출력된다. 리셋 트랜지스터(미도시됨)는 노출 기간들 사이에 포토다이오드(104)를 리셋하기 위해 사용될 수 있다.
도 4는 일부 다른 실시예에 따라 도핑된 라이너(114)를 갖는 BDTI 구조물(111)로 둘러싸인 포토다이오드(104)를 포함하는 이미지 센서(400)의 단면도를 예시한다. 도 1 및 도 3에 도시된 이미지 센서(100, 300) 및 다른 도면에 도시된 이미지 센서의 피처는 적용 가능한 경우 이미지 센서(400)에 통합될 수 있다. 또한, 도 3에 대한 대안적인 일부 실시예에서, 도핑된 쉘로우 격리 웰(110)은 포토다이오드 도핑 층(128)에 의해 BDTI 구조물(111)로부터 격리시킬 수 있다. 또한, 쉘로우 트렌치 격리(STI) 구조물(402)은 이미지 감지 다이(134)의 전면(122)으로부터 포토다이오드 도핑 층(128) 내의 위치까지 인접한 픽셀 영역(103a, 103b)들 사이에 배치될 수 있다. STI 구조물(402) 및 BDTI 구조물(111)은 수직으로 정렬될 수 있다(예를 들어, 도핑된 쉘로우 격리 웰(110)과 중심선을 공유할 수 있거나 공유하는 공통 중심선(404)을 공유함). 일부 실시예에서, 도핑된 쉘로우 격리 웰(110)은 이미지 감지 다이(134)의 전면(122)으로부터 포토다이오드 도핑 층(128) 내의 위치까지 연장되고 STI 구조물(402)을 둘러싼다. 도핑된 쉘로우 격리 웰(110)은 포토다이오드 도핑 층(128) 및/또는 BDTI 구조물(111)로부터 STI 구조물(402)을 격리할 수 있다. 일부 추가 실시예에서, 포토다이오드 도핑 컬럼(104a)은 이미지 감지 다이(134)의 후면(124)으로부터 BDTI 구조물(111)의 도핑된 라이너(114)의 측방향 부분에 도달하도록 연장될 수 있다. BDTI 구조물(111), 도핑된 쉘로우 격리 웰(110), 및 STI 구조물(402)은 픽셀 영역(103a, 103b)에 대한 격리부로서 집합적으로 기능하여, 픽셀 영역들(103a, 103b) 사이의 누화 및 블루밍이 감소될 수 있다. BDTI 구조물(111)의 도핑된 라이너(114) 및 도핑된 쉘로우 격리 웰(110)은 또한 전체 웰 용량이 개선되도록 동작 동안 포토다이오드(104)의 공핍을 집합적으로 용이하게 한다.
도 5는 이미지 감지 다이(134)와 로직 다이(136)가 함께 본딩된 통합 칩(500)의 단면도를 예시하며, 여기서 이미지 감지 다이(134)는 일부 다른 실시예에 따라 도핑된 라이너(114)를 갖는 BDTI 구조물(111)로 둘러싸인 포토다이오드(104)를 갖는다. 도 1, 도 3, 및 도 4에 도시된 이미지 센서(100, 300, 400) 및 다른 도면에 도시된 이미지 센서의 피처는 적용 가능한 경우 이미지 감지 다이(134)에 통합될 수 있다. 이미지 감지 다이(134)는 픽셀 영역들(103a, 103b) 사이 및 이들 위에 배치된 복합 격자(506)를 더 포함할 수 있다. 복합 격자(506)는 이미지 감지 다이(134)의 후면(124)에 서로 적층된 금속층(502) 및 유전체층(504)을 포함할 수 있다. 유전체 라이너(508)는 복합 격자(506)의 측벽 및 상단을 라이닝한다. 금속층(502)은 텅스텐, 구리, 알루미늄 구리 또는 티타늄 질화물의 하나 이상의 층이거나 그로 구성될 수 있다. 금속층(502)은 약 100nm 내지 약 500nm의 두께 범위를 가질 수 있다. 유전체 층(504)은 실리콘 이산화물, 실리콘 질화물, 또는 이들의 조합의 하나 이상의 층이거나 그로 구성될 수 있다. 유전층(504)은 약 200 nm 내지 약 800 nm 사이의 두께 범위를 가질 수 있다. 유전체 라이너(508)는 실리콘 이산화물과 같은 산화물이거나 그로 구성될 수 있다. 유전체 라이너(508)는 대략 5nm 내지 대략 50nm의 두께 범위를 가질 수 있다. 다른 적용 가능한 금속 물질도 본 개시의 범위 내에 있다. 금속화 스택(108)은 이미지 감지 다이(134)의 전면(122) 상에 배열될 수 있다. 금속화 스택(108)은 하나 이상의 레벨 간 유전체(inter-level dielectric; ILD) 층(106) 내에 배열된 복수의 금속 상호접속 층을 포함한다. ILD 층(106)은 로우-k 유전체 층(즉, 약 3.9 미만의 유전 상수를 갖는 유전체), 초저-k 유전체 층, 또는 산화물(예를 들어, 실리콘 산화물) 중 하나 이상을 포함할 수 있다. 일부 실시예에서, BDTI 구조물(111)은 포토다이오드 도핑 층(128)을 관통해 연장되어 ILD 층(106), 또는 전송 게이트(202)의 게이트 유전체와 같은 트랜지스터 디바이스의 게이트 유전체 층에 도달할 수 있다.
로직 다이(136)는 로직 기판(140) 위에 배치된 로직 디바이스(142)를 포함할 수 있다. 로직 다이(136)는 로직 디바이스(142) 위에 놓인 ILD 층(146) 내에 배치된 금속화 스택(144)을 더 포함할 수 있다. 이미지 감지 다이(134)와 로직 다이(136)는 전면 대 전면(face to face), 전면 대 후면(face to back), 또는 후면 대 후면(back to back) 형태로 본딩될 수 있다. 예를 들어, 도 4는 한 쌍의 중간 본딩 유전체층(138, 148), 및 본딩 패드(150, 152)가 이미지 감지 다이(134)와 로직 다이(136) 사이에 배열되고, 금속화 스택(108, 144)을 융합 또는 공융 본딩 구조물을 통해 각각 본딩하는 전면 대 전면 본딩 구조물을 도시한다.
도 6 내지 20은 도핑된 라이너를 갖는 BDTI 구조물로 둘러싸인 포토다이오드를 갖는 이미지 센서를 형성하는 방법을 보여주는 단면도(600-2000)의 일부 실시예를 도시한다. 일부 실시예에서, BDTI 구조물의 형성은, 결함 층이 제거되고 딥 트렌치의 측벽 표면이 평활화되도록 딥 트렌치의 에칭 후에 순환적 세정 프로세스를 포함한다. 그 다음, 도핑된 라이너는 딥 트렌치의 나머지 공간을 채우기 전에 에피택셜 성막 프로세스를 통해 딥 트렌치의 평활화된 측벽 표면 상에 형성된다. 이에 따라, BDTI 구조물의 측벽 프로파일이 보다 덜 휘어지는 넥을 갖게 형성되고, 이미지 센서의 성능을 향상될 수 있다. 예로서 다양한 도핑 영역에 대해 도핑 유형들이 제공되지만, 반전된(reversed) 이미지 센서 디바이스 구조물을 실현하기 위해 이러한 도핑 영역에 대해 반전된 도핑 유형이 사용될 수 있음이 이해된다.
도 6의 단면도(600)에 도시된 바와 같이, 기판(102')은 이미지 감지 다이(134)를 위해 제공된다. 다양한 실시예에서, 기판(102')은, 반도체 웨이퍼 또는 웨이퍼 상의 하나 이상의 다이와 같은 임의의 유형의 반도체 본체(예를 들어, 실리콘/게르마늄/CMOS 벌크, SiGe, SOI 등)와, 이 반도체 본체 상에 형성되고 그리고/또는 그렇지 않은 경우 이 반도체 본체 와 연관된 임의의 다른 유형의 반도체 및/또는 에피택셜 층을 포함할 수 있다. 예를 들어, 픽셀 어레이 딥 p형 웰(132)이 핸들링 기판(102) 상에 형성될 수 있다. 핸들링 기판(102)은 고도로 도핑된 p형 기판 층이거나 그로 구성될 수 있다. 픽셀 어레이 딥 n형 웰(130)은 픽셀 어레이 딥 p형 웰(132) 상에 형성될 수 있다. 픽셀 어레이 딥 n형 웰(130) 및 픽셀 어레이 딥 p형 웰(132)은 주입 프로세스에 의해 형성될 수 있다. 일부 실시예에서, 포토다이오드 도핑 층(128)은 기판(102')의 상부 부분으로서 형성된다. 포토다이오드 도핑 층(128)은 p형 에피택셜 프로세스에 의해 형성될 수 있다. 일부 실시예에서, 복수의 쉘로우 트렌치 격리(STI) 구조물(402)은 경계에서 그리고/또는 인접한 픽셀 영역들(103a, 103b) 사이에서 이미지 감지 다이(134)의 전면(122)으로부터 포토다이오드 도핑 층(128) 내의 위치까지 형성된다. 하나 이상의 STI 구조물(402)은 쉘로우 트렌치를 형성하기 위해 이미지 감지 다이(134)의 전면(122)을 선택적으로 에칭하고 이어서 쉘로우 트렌치 내에 산화물을 형성함으로써 형성될 수 있다.
도 7의 단면도(700)에 도시된 바와 같이, 도펀트 종(dopant species)은 포토다이오드 도핑 층(128)에 주입되어 도핑된 영역을 형성한다. 복수의 포토다이오드 도핑 컬럼(104a)은 픽셀 영역(103a, 103b) 내에 각각 n형 도펀트 종을 주입함으로써 형성될 수 있다. 인접 픽셀 영역들(103a, 103b) 사이의 포토다이오드 도핑 층(128)에 p형 도펀트 종을 주입함으로써 복수의 도핑된 쉘로우 격리 웰(110)이 형성될 수 있다. 복수의 도핑된 쉘로우 격리 웰(110)은 이미지 감지 다이(134)의 전면(122)으로부터 STI 구조물(402)보다 더 깊은 위치까지 형성될 수 있다. 도핑된 쉘로우 격리 웰(110)은 각각 STI 구조물(402)과 중앙 정렬될 수 있다. 일부 실시예에서, 포토다이오드 도핑 층(128)은 포토레지스트를 포함하는 패터닝된 마스킹 층(미도시됨)에 따라 선택적으로 주입될 수 있다.
도 8의 단면도(800)에 도시된 바와 같이, 전송 게이트(202)는 이미지 감지 다이(134)의 전면(122) 위에 형성된다. 전송 게이트(202)는 기판(102') 위에 게이트 유전체 층 및 게이트 전극 층을 성막함으로써 형성될 수 있다. 게이트 유전체 층 및 게이트 전극 층은 이어서 게이트 유전체(802) 및 게이트 전극(804)을 형성하도록 패터닝된다. 일부 실시예에서, 이미지 감지 다이(134)의 전면(122) 내에서 주입 프로세스가 수행되어 전송 게이트(202)의 한 측부 또는 한 쌍의 전송 게이트(202)의 대향 측부를 따라 부유 확산 웰(204)을 형성한다.
도 9의 단면도(900)에 도시된 바와 같이, 금속화 스택(108)은 이미지 감지 다이(134)의 전면(122) 상에 형성될 수 있다. 일부 실시예에서, 금속화 스택(108)은 이미지 감지 다이(134)의 전면(122) 상에 ILD 물질의 하나 이상의 층을 포함하는 ILD 층(106)을 형성함으로써 형성될 수 있다. ILD 층(106)은 이어서 에칭되어 비아 홀 및/또는 금속 트렌치를 형성한다. 그 다음, 비아 홀 및/또는 금속 트렌치가 전도성 물질로 채워져 복수의 금속 상호접속 비아(510) 및 금속 라인(512)을 형성한다. 일부 실시예에서, ILD 층(106)은 물리적 증착 기술(예를 들어, PVD, CVD 등)에 의해 성막될 수 있다. 복수의 금속 상호접속 층은 성막 프로세스 및/또는 도금 프로세스(예를 들어, 전기 도금, 무전해 도금 등)을 사용하여 형성될 수 있다. 다양한 실시예에서, 복수의 금속 상호접속 층은 예를 들면, 텅스텐, 구리 또는 알루미늄 구리를 포함할 수 있다.
도 10의 단면도(1000)에 도시된 바와 같이, 이미지 감지 다이(134)는 하나 이상의 다른 다이에 본딩될 수 있다. 예를 들어, 이미지 감지 다이(134)는 로직 디바이스(142)를 갖도록 준비된 로직 다이(136)에 본딩될 수 있다. 이미지 감지 다이(134)와 로직 다이(136)는 전면 대 전면, 전면 대 후면, 또는 후면 대 후면 형태로 본딩될 수 있다. 예를 들어, 본딩 프로세스는 이미지 감지 다이(134)와 로직 다이(136)의 금속화 스택(108, 144)을 본딩하기 위해 한 쌍의 중간 본딩 유전체 층(138, 148) 및 본딩 패드(150, 152)를 사용할 수 있다. 본딩 프로세스는 융합 또는 공융 본딩 프로세스를 포함할 수 있다. 본딩 프로세스는 또한 본딩 패드(150, 152)의 금속 대 금속 본딩 및 중간 본딩 유전체 층(138, 148)의 유전체 대 유전체 본딩을 포함하는 하이브리드 본딩 프로세스를 포함할 수 있다. 어닐링 프로세스는 하이브리드 본딩 프로세스를 따를 수 있으며, 예를 들면, 약 250℃ 내지 약 450℃의 온도 범위에서 약 0.5 시간 내지 약 4 시간 범위의 시간 동안 수행될 수 있다.
도 11의 단면도(1100)에 도시된 바와 같이, 이미지 감지 다이(134)는 전면(122)의 반대편에 있는 후면(124)에서 씨닝된다(thinned). 씨닝 프로세스는 핸들링 기판(102)(도 10 참조)을 부분적으로 또는 완전히 제거하고 방사선이 이미지 감지 다이(134)의 후면(124)을 통해 포토다이오드(104)로 통과하게 할 수 있다. 일부 실시예에서, 이미지 감지 다이(134)는 포토다이오드 도핑 컬럼(104a)을 노출하도록 씨닝되어 방사선이 포토다이오드에 더 쉽게 도달할 수 있다. 그 후, 포토다이오드 도핑 컬럼(104a)의 표면에 도달하도록 나중에 형성된 BDTI 구조물 또는 그 안에 있는 반도체 층(예를 들어, 도 16의 BDTI 구조물(111) 또는 도핑된 라이너(114) 참조)이 형성될 수 있다. 기판(102')은 이미지 감지 다이(134)의 후면(124)을 에칭함으로써 씨닝될 수 있다. 대안적으로, 기판(102')은 이미지 감지 다이(134)의 후면(124)을 기계적으로 연마함으로써 씨닝될 수 있다. 예를 들어, 기판(102')은 먼저 대략 17 ㎛와 대략 45 ㎛의 두께 범위로 연마될 수 있다. 그 후, 공격적인 습식 에칭(aggressive wet etch)이 적용되어 기판(102')을 더 씨닝할 수 있다. 에천트의 예는 HNA(hydrogen fluoride/nitric/acetic acid)를 포함할 수 있다. 그런 다음, 화학적 기계적 프로세스 및 테트라메틸암모늄 하이드록사이드(tetramethylammonium hydroxide; TMAH) 습식 에칭이 이어져 약 2.8μm 내지 약 7.2μm의 두께 범위로 더 씨닝하여, 방사선이 이미지 감지 다이(134)의 후면(124)을 통과하여 포토다이오드(104)에 도달할 수 있도록 할 수 있다.
도 12의 단면도(1200)에 도시된 바와 같이, 기판(102')은 포토다이오드(104)를 측방향으로 격리하는 이미지 감지 다이(134)의 후면(124) 내에 딥 트렌치(1202)를 형성하기 위해 선택적으로 에칭된다. 일부 실시예에서, 기판(102')은 이미지 감지 다이(134)의 후면(124) 상에 마스킹 층을 형성함으로써 에칭될 수 있다. 그 다음, 기판(102')은 마스킹 층에 의해 덮이지 않은 영역에서 에천트에 노출된다. 에천트는 기판(102')을 에칭하여 기판(102') 내로 연장되는 딥 트렌치(1202)를 형성한다. 일부 대안적인 실시예에서, 기판(102') 또는 포토다이오드 도핑 층(128)은 딥 트렌치(1202)를 형성할 때 철저하게 깊게 에칭되고, 딥 트렌치(1202)는 기판(102')을 관통해 연장되고 ILD 층(106)에 도달할 수 있어서, 완전한 격리가 달성된다. 다양한 실시예에서, 마스킹층은, 포토리소그래피 프로세스를 사용해 패터닝된 포토레지스트 또는 질화물(예컨대, SiN)을 포함할 수 있다. 마스킹 층은 또한 약 200 옹스트롬(Å) 내지 약 1000 옹스트롬(Å)의 두께 범위를 갖는 원자 층 증착(ALD) 또는 플라즈마 강화 CVD 산화물 층을 포함할 수 있다. 다양한 실시예에서, 에천트는 불소 종(예컨대, CF4, CHF3, C4F8 등)을 포함하는 에칭 화학물을 갖는 건식 에천트, 또는 습식 에천트(예컨대, 불산(HF) 또는 테트라메틸암모늄 하이드록사이드(TMAH))를 포함할 수 있다. 딥 트렌치(1202)는 약 1.5 ㎛ 내지 약 5 ㎛의 깊이 범위를 가질 수 있다. 측방향 치수는 약 0.1 μm 내지 약 0.3 μm의 범위를 가질 수 있다. 딥 트렌치(1202)는 딥 트렌치(1202)의 상단에 언더컷 프로파일 및 휘어진 팁을 가질 수 있다. 또한, 포토다이오드 도핑 층(128)의 상부는 에칭 프로세스의 손상으로 인해 딥 트렌치(1202)에 노출되는 결함 층(128')을 형성하고, 자연 산화물 및 기타 불필요한 불순물 층을 포함할 수 있다.
도 13의 단면도(1300)에 도시된 바와 같이, 결함 층(128')을 제거하고 딥 트렌치(1202)의 측벽 표면을 평활화하기 위해 딥 트렌치(1202)에 대해 순환적 세정 프로세스가 수행된다. 순환적 세정 프로세스는 불화수소산(HF) 및 APM(ammonia and hydrogen peroxide mixture)의 용액을 다중 사이클 동안 교대로 사용하는 것을 포함할 수 있다. 예를 들어, 결함 층(128')은 약 21 나노 미터(nm) 동안 제거될 수 있으며, 각각의 사이클은 약 6 옹스트롬(Å)을 제거한다. 그 결과, 딥 트렌치(1202)의 평활화된 측벽 표면 옆에서 휘어진 팁이 감소된다. 생성된 휘어진 팁은 딥 트렌치(1202)의 상부 측벽으로부터 포토다이오드 도핑 층(128)의 평면에 수직인 수직선까지 15° 미만의 휘어진 각도(θ2)를 가질 수 있다. 일부 실시예에서, 휘어진 각도(θ2)는 더 나은 충전 결과가 달성될 수 있도록 8°보다 작다. 일부 실시예에서, 일부 다른 세정 프로세스는 순환적 세정 프로세스를 따를 수 있다. HF 및 원격 플라즈마 SiCoNi 세정을 사용하는 추가 습식 세정 프로세스는 이미지 센서의 암전류 및 백색 픽셀의 특성을 더욱 향상시키기 위해 수행될 수 있다. HF 용액을 사용하는 사전 세정 프로세스는 자연 산화물을 제거하기 위해 순환적 세정 프로세스 전에 사용될 수 있다. 예를 들어, 사전 세정 프로세스는 90초 동안 130(물):1(화학 물질) 비율을 갖는 HF 용액을 사용할 수 있으며, 2시간 미만의 대기 시간(queue time)을 갖는다.
도 14의 단면도(1400)에 도시된 바와 같이, 도핑된 라이너 전구체(114')는 딥 트렌치(1202)의 측벽 및 하단 표면 상에 형성된다. 일부 실시예에서, 도핑된 라이너 전구체(114')는 저온 에피택셜 성장 프로세스, 예를 들면, 500℃ 미만의 온도로 에피택셜 성장 프로세스에 의해 형성될 수 있다. 프로세싱 가스는 실란(SiH4), 디클로로실란(DCS 또는 H2SiCl2), 디보란(B2H6), 수소(H2) 또는 기타 적용 가능한 가스를 포함할 수 있다. 에피택셜 성장 프로세스가 약 4 토르 내지 약 200 토르 범위의 압력에서 그리고 약 400℃ 내지 약 490℃ 범위의 온도에서 저압 화학적 증착 에피택셜 도구에서 수행되어, 약 2 nm와 같은 약 0.5 nm 내지 약 3 nm 범위의 두께를 갖는 도핑된 라이너 전구체(114')로서 에피택셜 도핑층을 형성할 수 있다. 도핑된 라이너 전구체(114')는 10nm의 두께를 초과하지 않을 수 있고, 결함 및 거칠기를 충분히 제한하기 위해 3nm를 더 초과하지 않을 수 있다. 형성 온도가 높을수록 도펀트 농도가 낮아지고 거칠기가 증가하므로 형성 온도가 490℃보다 높아서는 안 된다. 도핑된 라이너 전구체(114')는 딥 트렌치(1202)의 평활화된 측벽 표면 상에 형성되며, 3차원 구조물에 대한 그림자 효과(shadowing effect)를 겪고 원하는 정합을 달성할 수 없는 종래의 빔라인 주입 기술보다 더 나은 정합을 초래할 것이다. 도핑된 라이너 전구체(114')는 델타 도핑으로 형성된다. 붕소의 농도는 약 5x1019cm-3 내지 약 2x1020cm-3 범위 내일 수 있으며, 또한 1x1019cm-3 이상일 수 있다. 더 두꺼운 도핑된 라이너 또는 더 작은 도펀트 농도는 이미지 센서의 백색 픽셀 수 및/또는 암전류에 부정적인 영향을 미친다.
그런 다음, 도 15의 단면도(1500)에 도시된 바와 같이, 확산을 촉진하고 도핑된 라이너(114)를 형성하기 위해 도펀트 활성화 프로세스가 수행된다. 일부 실시예에서, 도펀트 활성화 프로세스는 레이저 어닐링 프로세스 또는 동적 표면 어닐링 프로세스를 포함하거나, 레이저 어닐링 프로세스 또는 동적 표면 어닐링 프로세스이다. 예를 들어, 어닐링은 그린 레이저(green laser)를 사용할 수 있고, 어닐링 온도는 약 10 나노초 내지 약 100 나노초 범위의 시간 동안 약 800℃ 내지 약 1100℃ 범위 내일 수 있다. 도펀트 활성화 프로세스는 특히 열 드라이브-인 프로세스(thermal drive-in process)에 의해 이어지는 성막 프로세스와 같은 다른 접근법에 비해 낮은 열 예산 생성물에 유익한데, 이 다른 접근법은 충분한 접합 깊이를 제공할 수 없거나, 고온 접합 드라이브-인 및 손상 복구 및 도펀트 활성화를 위한 어닐링 때문에 낮은 열 예산 생성물에 허용되지 않는다.
도 16의 단면도(1600)에 도시된 바와 같이, 딥 트렌치(1202)는 유전체 물질로 채워진다. 일부 실시예에서, 하이-k 유전체 라이너(113)는 도핑된 라이너(114)를 따라 딥 트렌치(1202) 내에 형성된다. 하이-k 유전체 라이너(113)는 성막 기술에 의해 형성될 수 있고 알루미늄 산화물(AlO), 하프늄 산화물(HfO), 탄탈륨 산화물(TaO) 또는 실리콘 산화물보다 큰 유전 상수를 갖는 다른 유전체 물질을 포함할 수 있다. 도핑된 라이너(114) 및 하이-k 유전체 라이너(113)는 딥 트렌치(1202)의 측벽 및 하단면을 라이닝한다. 일부 실시예에서, 도핑된 라이너(114) 및 고유 전율 유전체 라이너(113)는 딥 트렌치들(1202) 사이의 이미지 감지 다이(134)의 후면(124) 위로 연장될 수 있다. 유전체 충전 층(112)이 형성되어 딥 트렌치(1202)의 나머지 부분을 채운다. 일부 실시예에서, 유전체 충전 층(112)을 형성한 후에 평탄화 프로세스가 수행되어 하이-k 유전체 라이너(113) 및 유전체 충전 층(112)의 상부 표면을 따라 연장되는 평면 표면을 형성한다. 도핑된 라이너(114), 하이-k 유전체 라이너(113) 및 유전체 충전층(112)은, 픽셀 영역(103a, 103b) 바로 위에 놓인, 유전체 충전층(112), 하이-k 유전체 라이너(113) 및 도핑된 라이너(114) 위에 놓인 측방향 부분을 제거하는 평탄화 프로세스를 거치게 될 수 있다. 일부 실시예에서, 하이-k 유전체 라이너(113) 및 유전체 충전 층(112)은 물리적 증착 기술 또는 화학적 증착 기술을 사용하여 성막될 수 있다. 그 결과, BDTI 구조물(111)이 기판(102')에 형성되고, 후면(124)으로부터 포토다이오드 도핑 층(128) 내의 위치까지 연장된다. BDTI 구조물(111)은 인접한 픽셀 영역들(103a, 103b) 사이에 형성되고 이들을 격리한다.
상술한 세정 프로세스, 에피택셜 성장 프로세스 및 활성화 프로세스는 더 컨포멀한 두께, 보다 균일한 도핑 농도 및 하부 포토다이오드 도핑 층(128)과의 보다 평활화된 계면을 갖는 개선된 컨포멀한 도핑 라이너를 제공한다. 순환적 세정 프로세스나 에피택셜 성장 프로세스 없이 형성된 도핑된 라이너의 표면 거칠기와 비교하여 표면 거칠기가 또한 감소될 수 있다.
도 17 내지 19는 포토다이오드 도핑 컬럼(104a) 위에 있는 컬러 필터(116)를 형성하는 방법의 일부 실시예를 도시한다. 도 17의 단면도(1700)에 도시된 바와 같이, 금속층(502) 및 유전체층(504)은 이미지 감지 다이(134)의 후면(124)을 따라 기판(102') 위에 적층된다. 금속층(502)은 텅스텐, 구리, 알루미늄 구리 또는 티타늄 질화물의 하나 이상의 층이거나 그로 구성될 수 있다. 다른 적용 가능한 금속 물질도 본 개시의 범위 내에 있다. 유전체 층(504)은 실리콘 이산화물, 실리콘 질화물, 또는 이들의 조합의 하나 이상의 층이거나 그로 구성될 수 있다. 유전체 층(504)은 하드 마스크 층으로서 기능할 수 있다. 도 18의 단면도(1800)에 도시된 바와 같이, 복합 격자(506)를 형성하기 위해 금속층(502) 및 유전체층(504)에 대해 에칭이 수행된다. 개구(1802)는, 복합 격자(506)가 포토다이오드 도핑 컬럼들(104a) 주위에 그리고 이들 사이에 배열되도록 포토다이오드 도핑 컬럼(104a)과 중앙에 정렬될 수 있다. 대안적으로, 개구(1802)는 포토다이오드 도핑 컬럼(104a)으로부터 적어도 한 방향으로 측방향으로 시프트되거나 오프셋될 수 있어서 복합 격자(506)가 적어도 부분적으로 포토다이오드 도핑 컬럼(104a) 위에 놓인다. 그런 다음, 유전체 라이너(508)가 복합 격자(506)의 측벽 및 상단을 라이닝하고 개구(1802)를 라이닝하도록 형성된다. 유전체 라이너(508)는 예를 들면, 화학 증착(CVD) 또는 물리 증착(PVD)과 같은 컨포멀 성막 기술을 사용하여 형성될 수 있다. 유전체 라이너(508)는 예를 들면, 실리콘 이산화물과 같은 산화물이거나 이 산화물로 형성될 수 있다. 도 19에 도시된 바와 같이, 픽셀 센서에 대응하는 컬러 필터(116)는 대응하는 픽셀 센서의 개구(1802)에 형성된다. 컬러 필터층은 해당 컬러의 광은 통과시키면서 다른 컬러의 광은 차단하는 물질로 형성된다. 또한, 컬러 필터(116)는 할당된 컬러로 형성될 수 있다. 예를 들어, 컬러 필터(116)는 할당된 컬러인 적색, 녹색 및 청색으로 교대로 형성된다. 컬러 필터(116)는 복합 격자(506)의 상부 표면과 정렬된 상부 표면으로 형성될 수 있다. 컬러 필터(116)는 대응하는 픽셀 센서의 포토다이오드 도핑 컬럼(104a)으로부터 적어도 한 방향으로 측방향으로 시프트되거나 오프셋될 수 있다. 시프트 또는 오프셋의 정도에 따라, 컬러 필터(116)는 대응하는 픽셀 센서의 개구를 부분적으로 채울 수 있고 대응하는 픽셀 센서에 인접한 픽셀 센서의 개구를 부분적으로 채울 수 있다. 대안적으로, 컬러 필터(116)는 대응하는 픽셀 센서의 포토다이오드 중심과 정렬된 수직 축에 대해 대칭일 수 있다. 컬러 필터(116)를 형성하는 프로세스는 컬러 할당의 서로 다른 컬러 각각에 대해 컬러 필터 층을 형성하고 컬러 필터 층을 패터닝하는 것을 포함할 수 있다. 컬러 필터 층은 형성 후에 평탄화될 수 있다. 패터닝은 컬러 필터층 위에 패턴을 갖는 포토레지스트 층을 형성하고, 포토레지스트 층의 패턴에 따라 컬러 필터층에 에천트를 도포하고, 패턴 포토레지스트 층을 제거함으로써 수행될 수 있다.
도 20에 도시된 바와 같이, 픽셀 센서에 대응하는 마이크로 렌즈(118)는 대응하는 픽셀 센서의 컬러 필터(116) 위에 형성된다. 일부 실시예에서, 복수의 마이크로 렌즈는 복수의 컬러 필터 위에 마이크로 렌즈 물질을 (예를 들어, 스핀-온 방법 또는 성막 프로세스에 의해) 성막함으로써 형성될 수 있다. 만곡된 상부 표면을 갖는 마이크로 렌즈 템플릿(micro-lens template)은 마이크로 렌즈 물질 위에 패터닝된다. 일부 실시예에서, 마이크로 렌즈 템플릿은 원형을 형성하도록 현상되고 베이킹된, 확산 노출 광선량(distributing exposing light dose)을 사용하여 노출된 포토레지스트 물질(예를 들어, 음의 포토레지스트에 대해 더 많은 광이 만곡의 하단에서 노출되고 더 적은 광이 만곡의 상단에서 노출됨)을 포함할 수 있다. 그런 다음, 마이크로 렌즈(118)는 마이크로 렌즈 템플릿에 따라 마이크로 렌즈 물질을 선택적으로 에칭함으로써 형성된다.
도 21은 컨포멀 도핑된 층을 갖는 BDTI 구조물로 둘러싸인 포토다이오드를 갖는 이미지 센서를 형성하는 방법(2100)의 일부 실시예의 흐름도를 도시한다.
개시된 방법(2100)이 일련의 동작들 또는 이벤트들로서 예증되고 설명되는 한편, 그러한 동작들 또는 이벤트들의 예시된 순서는 제한의 의미로 해석되어서는 안된다는 것이 인식될 것이다. 예를 들어, 일부 동작은 상이한 순서들로 그리고/또는 본 명세서에서 도시되고 그리고/또는 설명되는 것 외에도 다른 동작이나 이벤트와 함께 동시에 발생할 수 있다. 또한, 도시된 모든 동작은 본 명세서의 설명의 하나 이상의 양상이나 실시예를 구현할 것이 요구되지 않는다. 또한, 본 명세서에 묘사되는 하나 이상의 동작은 하나 이상의 별도의 동작 및/또는 단계(phases)로 실시될 수 있다.
동작(2102)에서, 이미지 감지 다이를 위한 기판이 준비된다. 포토다이오드 및 도핑된 격리 웰은 이미지 감지 다이의 전면으로부터 기판에 형성된다. 일부 실시예에서, 에피택셜 층은 포토다이오드 도핑 층으로서 핸들링 기판 위에 형성되고, 포토다이오드 도핑 컬럼 및/또는 도핑된 격리 웰은 에피택셜 층에 도펀트 종을 주입함으로써 형성될 수 있다. 도핑된 격리 웰은 포토다이오드 도핑 층 내로 연장되는 복수의 컬럼을 형성하기 위해 선택적 주입에 의해 형성될 수 있다. 일부 실시예에서, 쉘로우 트렌치 격리 영역은 기판을 선택적으로 에칭하여 쉘로우 트렌치를 형성하고 이어서 쉘로우 트렌치 내에 유전체(예를 들면, 산화물)를 형성함으로써 이미지 감지 다이의 전면 내에 형성될 수 있다. 도 6 및 7은 동작(2102)에 대응하는 일부 실시예들에 대응하는 단면도(600)를 도시한다.
동작(2104)에서, 전송 게이트가 이미지 감지 다이의 전면 상에 형성된다. 그런 다음, 금속화 스택이 전송 게이트 위에 형성된다. 도 8 및 9는 동작(2104)에 대응하는 일부 실시예들에 대응하는 단면도를 도시한다.
동작(2106)에서, 일부 실시예에서, 이미지 센서는 로직 다이 또는 다른 이미지 감지 다이와 같은 하나 이상의 다른 다이에 본딩된다. 도 10은 동작(2106)에 대응하는 일부 실시예들에 대응하는 단면도(600)를 도시한다.
동작(2108)에서, 기판은 인접한 감지 픽셀 영역들 사이에 딥 트렌치를 형성하고 이미지 감지 다이의 후면으로부터 기판 내로 연장되도록 선택적으로 에칭된다. 딥 트렌치는 도핑된 격리 웰 및/또는 쉘로우 트렌치 격리 영역과 정렬된 중심선을 가질 수 있다. 일부 실시예에서, 기판은 딥 트렌치를 형성하기 위해 에칭 전에 씨닝된다. 핸들링 기판은 이미지 감지 다이의 후면으로부터 부분적으로 또는 완전히 제거될 수 있다. 도 11 및 12는 동작(2108)에 대응하는 일부 실시예들에 대응하는 단면도(900)를 도시한다.
동작(2110)에서, 순환적 세정 프로세스가 딥 트렌치에 대해 수행된다. 도 13은 동작(2110)에 대응하는 일부 실시예들에 대응하는 단면도를 도시한다.
동작(2112)에서, 도핑된 라이너가 딥 트렌치의 측벽 및 하단을 따라 형성된다. 일부 실시예에서, 도핑된 라이너는 저온 에피택셜 프로세스에 의해 형성될 수 있다. 도 14는 동작(2112)에 대응하는 일부 실시예들에 대응하는 단면도를 도시한다.
동작(2114)에서, 도핑된 라이너로부터 하부 포토다이오드 도핑 층으로의 도펀트 확산을 용이하게 하기 위해 어닐링 프로세스가 수행된다. 도 15는 동작(2114)에 대응하는 일부 실시예들에 대응하는 단면도를 도시한다.
동작(2116)에서, 딥 트렌치의 나머지 공간은 유전체 물질로 채워진다. 하이-k 유전체 라이너는 도핑된 라이너 상으로 딥 트렌치 내에 형성될 수 있다. 도 16은 동작(2116)에 대응하는 일부 실시예들에 대응하는 단면도를 도시한다.
동작(2118)에서, 반사 방지 층과 복합 격자가 이미지 감지 다이의 후면 상에 형성된다. 도 17 및 18은 동작(2118)에 대응하는 일부 실시예들에 대응하는 단면도(900)를 도시한다.
동작(2120)에서 컬러 필터와 마이크로 렌즈가 이미지 감지 다이의 후면 상에 형성된다. 도 19 및 20은 동작(2120)에 대응하는 일부 실시예들에 대응하는 단면도를 도시한다.
따라서, 본 개시는 BDTI 구조물에 의해 둘러싸인 포토다이오드를 갖는 이미지 센서 및 관련 형성 방법에 관한 것이다. BDTI 구조물은 딥 트렌치의 측벽 표면을 라이닝하는 도핑된 라이너 및 딥 트렌치의 나머지 공간을 채우는 유전체 층을 포함한다. 도핑된 웰 및 격리 구조물로 기능하는 개시된 BDTI 구조물을 형성함으로써, 이미지 감지 다이의 전면으로부터의 주입 프로세스가 단순화되어, 포토다이오드의 노출 해상도 및 전체 웰 용량이 향상되고, 블루밍 및 누화가 감소한다. 순환적 세정 프로세스를 수행하여 BDTI 구조물의 딥 트렌치 내 결함 층을 제거한 다음 딥 트렌치에 얇은 에피택셜 도핑된 라이너를 형성함으로써, 도핑된 라이너와 하부 포토다이오드 도핑 층 사이에 평활화된 계면이 제공되고, 따라서 백색 픽셀과 암전류가 크게 감소한다. 일부 추가 실시예에서, BDTI 구조물은 딥 트렌치 커패시터를 포함하는 반도체 디바이스와 같은 이미지 센서를 넘어서 사용될 수 있다.
일부 실시예에 있어서, 본 개시는 이미지 센서를 형성하는 방법에 대한 것이다. 이미지 감지 다이의 전면으로부터 복수의 픽셀 영역에 대해 복수의 포토다이오드가 형성된다. 포토다이오드는 제1 도핑 유형과는 상이한 제2 도핑 유형을 갖는 포토다이오드 도핑 층으로 둘러싸인 제1 도핑 유형을 갖는 포토다이오드 도핑 컬럼을 갖도록 형성된다. 이미지 감지 다이의 후면으로부터 포토다이오드 도핑 층을 에칭하여 인접한 픽셀 영역들 사이에 딥 트렌치가 형성된다. 딥 트렌치의 에칭 동안에 딥 트렌치에 노출된 포토다이오드 도핑 층의 상부는 결함 층으로 변환된다. 결함 층을 제거하기 위해 적어도 2개의 상이한 에천트의 순환적 세정 프로세스가 교대로 수행된다. 제2 도핑 유형의 도핑된 라이너는 딥 트렌치의 측벽 표면을 라이닝하여 형성된다. 딥 트렌치의 내부 공간을 채우는 유전체 충전 층이 형성되어 BDTI(back-side deep trench isolation) 구조물을 형성한다.
일부 대안적인 실시예에 있어서, 본 개시는 이미지 센서를 형성하는 방법에 대한 것이다. 본 방법은 이미지 감지 다이의 전면으로부터 복수의 픽셀 영역에 대한 포토다이오드를 형성하는 단계를 포함한다. 포토다이오드는 제1 도핑 유형과는 상이한 제2 도핑 유형을 갖는 포토다이오드 도핑 층으로 둘러싸인 제1 도핑 유형을 갖는 포토다이오드 도핑 컬럼을 갖도록 형성된다. 도핑된 격리 웰은 복수의 주입 프로세스를 통해 포토다이오드 도핑 층에 도펀트를 주입함으로써 이미지 감지 다이의 전면으로부터 형성된다. 이미지 감지 다이의 전면 상에 게이트 구조물 및 금속화 스택이 형성되고, 금속화 스택은 하나 이상의 레벨 간 유전체 층 내에 배열된 복수의 금속 상호접속 층을 포함한다. 이미지 감지 다이는 이미지 감지 다이의 전면으로부터 로직 다이에 본딩되며, 로직 다이는 로직 디바이스를 포함한다. 이미지 감지 다이의 후면으로부터 에칭하여 인접한 픽셀 영역들 사이에 딥 트렌치가 형성된다. 딥 트렌치에 노출된 포토다이오드 도핑 층의 상부 부분을 제거하기 위해 적어도 2개의 상이한 에천트의 순환적 세정 프로세스가 교대로 수행된다. 제2 도핑 유형을 갖는 도핑된 라이너는 딥 트렌치의 측벽 표면을 라이닝하며 형성된다. 딥 트렌치의 내부 공간을 채우는 유전체 충전 층이 형성되어 BDTI(back-side deep trench isolation) 구조물을 형성한다.
또 다른 실시예에 있어서, 본 개시는 이미지 센서와 관련된다. 이미지 센서는 전면 및 전면의 반대쪽에 있는 후면을 갖는 이미지 감지 다이를 포함한다. 복수의 픽셀 영역이 이미지 감지 다이 내에 배치되고 각각 이미지 감지 다이의 후면으로부터 진입하는 방사선을 전기 신호로 변환하도록 구성된 포토다이오드를 포함한다. 포토다이오드는 제1 도핑 유형과는 상이한 제2 도핑 유형을 갖는 포토다이오드 도핑 층으로 둘러싸인 제1 도핑 유형을 갖는 포토다이오드 도핑 컬럼을 포함한다. BDTI 구조물은 인접한 픽셀 영역들 사이에 배치되고 이미지 감지 다이의 후면으로부터 포토다이오드 도핑 층 내의 위치까지 연장된다. BDTI 구조물은 제2 도핑 유형을 갖는 도핑된 라이너 및 유전체 충전 층을 포함하고, 도핑된 라이너는 유전체 충전 층의 측벽 표면을 라이닝한다.
전술된 설명은, 당업자가 본 개시의 양상을 더 잘 이해할 수 있도록 여러 실시예의 피처를 서술한다. 당업자는, 자신이 본 명세서에서 소개된 실시예의 동일한 목적을 수행하고 그리고/또는 동일한 이점을 달성하기 위해 다른 프로세스와 구조물을 설계 또는 수정하기 위한 기초로서 본 발명 개시를 쉽게 사용할 수 있다는 것을 인식해야 한다. 또한, 당업자들은 이러한 등가의 구성이 본 개시의 취지 및 범위를 벗어나지 않으며, 본 개시의 취지 및 범위를 벗어나지 않고 다양한 변화, 대체 및 변경을 이룰 수 있음을 알아야 한다.
실시예들
실시예 1. 이미지 센서를 형성하는 방법에 있어서,
이미지 감지 다이의 전면으로부터 복수의 픽셀 영역에 대한 복수의 포토다이오드를 형성하는 단계 - 포토다이오드는, 제1 도핑 유형과는 상이한 제2 도핑 유형을 갖는 포토다이오드 도핑 층으로 둘러싸인 상기 제1 도핑 유형을 갖는 포토다이오드 도핑 컬럼(column)을 갖도록 형성됨 -;
상기 이미지 감지 다이의 후면으로부터 상기 포토다이오드 도핑 층 내의 인접한 픽셀 영역들 사이에 딥 트렌치(deep trench)를 형성하는 단계 - 상기 딥 트렌치의 에칭 동안 상기 딥 트렌치에 노출된 상기 포토다이오드 도핑 층의 상부가 결함 층으로 변환됨 -;
상기 결함 층을 제거하기 위해 적어도 2개의 상이한 에천트의 순환적 세정 프로세스를 교대로 수행하는 단계;
상기 딥 트렌치의 측벽 표면을 라이닝하는, 상기 제2 도핑 유형을 갖는 도핑된 라이너를 형성하는 단계; 및
상기 딥 트렌치의 내부 공간을 채우는 유전체 충전 층을 형성하여 후면 딥 트렌치 격리(back-side deep trench isolation; BDTI) 구조물을 형성하는 단계
를 포함하는, 이미지 센서를 형성하는 방법.
실시예 2. 실시예 1에 있어서,
상기 순환적 세정 프로세스를 수행하는 단계는 불화수소산(HF)과 APM(ammonia and hydrogen peroxide mixture)의 용액을 다수의 사이클 동안 교대로 사용하는 단계를 포함하는 것인, 이미지 센서를 형성하는 방법.
실시예 3. 실시예 1에 있어서,
상기 순환적 세정 프로세스는 상기 포토다이오드 도핑 층의 상부의 적어도 약 1nm 내지 20nm를 제거하는 것인, 이미지 센서를 형성하는 방법.
실시예 4. 실시예 1에 있어서,
상기 도핑된 라이너는 500℃보다 낮은 온도 하에서의 에피택셜 성막 프로세스 및 그 뒤를 따르는 도펀트 활성화 프로세스에 의해 형성되는 것인, 이미지 센서를 형성하는 방법.
실시예 5. 실시예 4에 있어서,
상기 도핑된 라이너는 10nm보다 작은 두께로 형성되는 것인, 이미지 센서를 형성하는 방법.
실시예 6. 실시예 4에 있어서,
상기 도핑된 라이너는 약 1x1019cm-3보다 큰 도핑 농도를 갖는 붕소의 델타 도핑으로 형성되는 것인, 이미지 센서를 형성하는 방법.
실시예 7. 실시예 4에 있어서,
상기 도펀트 활성화 프로세스는 레이저 어닐링 프로세스인 것인, 이미지 센서를 형성하는 방법.
실시예 8. 실시예 1에 있어서,
상기 딥 트렌치의 휘어진(bowing) 폭 및 휘어진 각도는 상기 순환적 세정 프로세스 후에 감소되는 것인, 이미지 센서를 형성하는 방법.
실시예 9. 실시예 1에 있어서,
상기 BDTI 구조물은 상기 포토다이오드 도핑 층을 관통해 형성되는 것인, 이미지 센서를 형성하는 방법.
실시예 10. 실시예 1에 있어서,
상기 도핑된 라이너는 상기 포토다이오드 도핑 컬럼의 표면에 도달하도록 형성되는 것인, 이미지 센서를 형성하는 방법.
실시예 11. 이미지 센서를 형성하는 방법에 있어서,
이미지 감지 다이의 전면으로부터 복수의 픽셀 영역에 대한 포토다이오드를 형성하는 단계 - 포토다이오드는, 제1 도핑 유형과는 상이한 제2 도핑 유형을 갖는 포토다이오드 도핑 층으로 둘러싸인 상기 제1 도핑 유형을 갖는 포토다이오드 도핑 컬럼을 갖도록 형성됨 -;
적어도 하나의 주입 프로세스를 통해 상기 포토다이오드 도핑 층 내로 도펀트를 주입함으로써 상기 이미지 감지 다이의 전면으로부터 도핑된 격리 웰을 형성하는 단계;
상기 이미지 감지 다이의 전면 상에 게이트 구조물 및 금속화 스택(metallization stack)을 형성하는 단계 - 상기 금속화 스택은 하나 이상의 레벨 간 유전체 층 내에 배열된 복수의 금속 상호접속 층을 포함함 -;
상기 이미지 감지 다이를 상기 이미지 감지 다이의 전면으로부터 로직 다이에 본딩하는 단계 - 상기 로직 다이는 로직 디바이스를 포함함 -;
상기 이미지 감지 다이의 후면에서 인접한 픽셀 영역들 사이에 딥 트렌치를 형성하는 단계;
상기 딥 트렌치에 노출된 상기 포토다이오드 도핑 층의 상부를 제거하기 위한 세정 프로세스를 수행하는 단계 - 상기 세정 프로세스는 불화수소산(HF)의 제1 에천트 및 APM(ammonia and hydrogen peroxide mixture)의 제2 에천트를 포함함 -;
상기 딥 트렌치의 측벽 표면을 라이닝하는, 상기 제2 도핑 유형을 갖는 도핑된 라이너를 형성하는 단계; 및
상기 딥 트렌치의 내부 공간을 채우는 유전체 충전 층을 형성하여 후면 딥 트렌치 격리(back-side deep trench isolation; BDTI) 구조물을 형성하는 단계
를 포함하는, 이미지 센서를 형성하는 방법.
실시예 12. 실시예 11에 있어서,
상기 세정 프로세스를 수행하는 단계는 불화수소산(HF)과 APM(ammonia and hydrogen peroxide mixture)의 용액을 다수의 사이클 동안 교대로 사용하는 단계를 포함하는 것인, 이미지 센서를 형성하는 방법.
실시예 13. 실시예 11에 있어서,
상기 이미지 감지 다이의 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 상기 인접한 픽셀 영역들 사이에 쉘로우 트렌치 격리(STI) 구조물을 형성하는 단계를 더 포함하고,
상기 딥 트렌치는 상기 STI 구조물을 노출시키도록 형성되는 것인, 이미지 센서를 형성하는 방법.
실시예 14. 실시예 11에 있어서,
상기 포토다이오드 도핑 컬럼을 노출시키기 위해 상기 딥 트렌치를 형성하기 전에 상기 이미지 감지 다이의 후면을 씨닝(thinning)하는 단계를 더 포함하는, 이미지 센서를 형성하는 방법.
실시예 15. 실시예 11에 있어서,
상기 딥 트렌치는 상기 도핑된 격리 웰을 노출시키도록 형성되는 것인, 이미지 센서를 형성하는 방법.
실시예 16. 이미지 센서에 있어서,
전면 및 상기 전면의 반대쪽에 있는 후면을 갖는 이미지 감지 다이;
상기 이미지 감지 다이 내에 배치되고, 상기 이미지 감지 다이의 후면으로부터 진입하는 방사선을 전기 신호로 변환하도록 구성된 포토다이오드를 각각 포함하는 복수의 픽셀 영역 - 상기 포토다이오드는, 제1 도핑 유형과는 상이한 제2 도핑 유형을 갖는 포토다이오드 도핑 층으로 둘러싸인 상기 제1 도핑 유형을 갖는 포토다이오드 도핑 컬럼을 포함함 -; 및
인접한 픽셀 영역들 사이에 배치되고, 상기 이미지 감지 다이의 후면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 연장되는 후면 딥 트렌치 격리(BDTI) 구조물
을 포함하고,
상기 BDTI 구조물은 제2 도핑 유형을 갖는 도핑된 라이너 및 유전체 충전 층을 포함하고, 상기 도핑된 라이너는 상기 유전체 충전 층의 측벽 표면을 라이닝하는 것인, 이미지 센서.
실시예 17. 실시예 16에 있어서,
상기 BDTI 구조물의 상기 도핑된 라이너 및 상기 유전체 충전 층은 상기 이미지 감지 다이의 후면을 따라 측방향으로 연장되고,
상기 도핑된 라이너의 측방향 부분은 상기 포토다이오드 도핑 컬럼 상에 배치되며,
상기 도핑된 라이너는 대략 5x1019 원자/cm3 내지 대략 2x1020 원자/cm3 범위의 붕소 농도와 함께 1 nm 내지 20nm의 두께를 갖는 것인, 이미지 센서.
실시예 18. 실시예 16에 있어서,
상기 인접한 픽셀 영역들 사이에 배치되고, 상기 이미지 감지 다이의 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 연장되는 상기 제2 도핑 유형을 갖는 도핑된 격리 웰을 더 포함하고,
상기 도핑된 격리 웰은 상기 포토다이오드 도핑 층에 의해 상기 BDTI 구조물로부터 격리되는 것인, 이미지 센서.
실시예 19. 실시예 16에 있어서,
상기 이미지 감지 다이의 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 상기 인접한 픽셀 영역들 사이에 배치된 쉘로우 트렌치 격리(STI) 구조물을 더 포함하고,
상기 BDTI 구조물은 상기 STI 구조물을 관통해 연장되는 것인, 이미지 센서.
실시예 20. 실시예 16에 있어서,
상기 BDTI 구조물의 상단 코너에 있는 휘어진 팁(bowing tip)은 상기 BDTI 구조물의 상부 측벽으로부터 상기 포토다이오드 도핑층의 측방향 평면에 수직인 수직선까지 약 8° 내지 15° 범위의 휘어진 각도를 갖는 것인, 이미지 센서.

Claims (10)

  1. 이미지 센서를 형성하는 방법에 있어서,
    이미지 감지 다이의 전면으로부터 복수의 픽셀 영역에 대한 복수의 포토다이오드를 형성하는 단계 - 포토다이오드는, 제1 도핑 유형과는 상이한 제2 도핑 유형을 갖는 포토다이오드 도핑 층으로 둘러싸인 상기 제1 도핑 유형을 갖는 포토다이오드 도핑 컬럼(column)을 갖도록 형성됨 -;
    상기 이미지 감지 다이의 후면으로부터 상기 포토다이오드 도핑 층 내의 인접한 픽셀 영역들 사이에 딥 트렌치(deep trench)를 형성하는 단계 - 상기 딥 트렌치의 에칭 동안 상기 딥 트렌치에 노출된 상기 포토다이오드 도핑 층의 상부가 결함 층으로 변환됨 -;
    상기 결함 층을 제거하기 위해 적어도 2개의 상이한 에천트의 순환적 세정 프로세스를 교대로 수행하는 단계;
    상기 딥 트렌치의 측벽 표면을 라이닝하는, 상기 제2 도핑 유형을 갖는 도핑된 라이너를 형성하는 단계; 및
    상기 딥 트렌치의 내부 공간을 채우는 유전체 충전 층을 형성하여 후면 딥 트렌치 격리(back-side deep trench isolation; BDTI) 구조물을 형성하는 단계
    를 포함하며,
    상기 순환적 세정 프로세스를 수행하는 단계는 불화수소산(HF)과 APM(ammonia and hydrogen peroxide mixture)의 용액을 다수의 사이클 동안 교대로 사용하는 단계를 포함하고,
    상기 순환적 세정 프로세스는 상기 포토다이오드 도핑 층의 상부의 적어도 20nm를 제거하는 것인, 이미지 센서를 형성하는 방법.
  2. 제1항에 있어서,
    상기 딥 트렌치의 휘어진(bowing) 폭 및 휘어진 각도는 상기 순환적 세정 프로세스 후에 감소되는 것인, 이미지 센서를 형성하는 방법.
  3. 제1항에 있어서,
    상기 도핑된 라이너는 500℃보다 낮은 온도 하에서의 에피택셜 성막 프로세스 및 그 뒤를 따르는 도펀트 활성화 프로세스에 의해 형성되는 것인, 이미지 센서를 형성하는 방법.
  4. 제3항에 있어서,
    상기 도핑된 라이너는 10nm보다 작은 두께로 형성되는 것인, 이미지 센서를 형성하는 방법.
  5. 제3항에 있어서,
    상기 도핑된 라이너는 1x1019cm-3보다 큰 도핑 농도를 갖는 붕소의 델타 도핑으로 형성되는 것인, 이미지 센서를 형성하는 방법.
  6. 제3항에 있어서,
    상기 도펀트 활성화 프로세스는 레이저 어닐링 프로세스인 것인, 이미지 센서를 형성하는 방법.
  7. 이미지 센서를 형성하는 방법에 있어서,
    이미지 감지 다이의 전면으로부터 복수의 픽셀 영역에 대한 포토다이오드를 형성하는 단계 - 포토다이오드는, 제1 도핑 유형과는 상이한 제2 도핑 유형을 갖는 포토다이오드 도핑 층으로 둘러싸인 상기 제1 도핑 유형을 갖는 포토다이오드 도핑 컬럼을 갖도록 형성됨 -;
    적어도 하나의 주입 프로세스를 통해 상기 포토다이오드 도핑 층 내로 도펀트를 주입함으로써 상기 이미지 감지 다이의 전면으로부터 도핑된 격리 웰을 형성하는 단계;
    상기 이미지 감지 다이의 전면 상에 게이트 구조물 및 금속화 스택(metallization stack)을 형성하는 단계 - 상기 금속화 스택은 하나 이상의 레벨 간 유전체 층 내에 배열된 복수의 금속 상호접속 층을 포함함 -;
    상기 이미지 감지 다이를 상기 이미지 감지 다이의 전면으로부터 로직 다이에 본딩하는 단계 - 상기 로직 다이는 로직 디바이스를 포함함 -;
    상기 이미지 감지 다이의 후면에서 인접한 픽셀 영역들 사이에 딥 트렌치를 형성하는 단계;
    상기 딥 트렌치에 노출된 상기 포토다이오드 도핑 층의 상부를 제거하기 위한 세정 프로세스를 수행하는 단계 - 상기 세정 프로세스는 불화수소산(HF)의 제1 에천트 및 APM(ammonia and hydrogen peroxide mixture)의 제2 에천트를 포함함 -;
    상기 딥 트렌치의 측벽 표면을 라이닝하는, 상기 제2 도핑 유형을 갖는 도핑된 라이너를 형성하는 단계; 및
    상기 딥 트렌치의 내부 공간을 채우는 유전체 충전 층을 형성하여 후면 딥 트렌치 격리(back-side deep trench isolation; BDTI) 구조물을 형성하는 단계
    를 포함하며,
    상기 세정 프로세스를 수행하는 단계는 불화수소산(HF)과 APM(ammonia and hydrogen peroxide mixture)의 용액을 다수의 사이클 동안 교대로 사용하는 단계를 포함하고,
    상기 세정 프로세스는 상기 포토다이오드 도핑 층의 상부의 적어도 20nm를 제거하는 것인, 이미지 센서를 형성하는 방법.
  8. 제7항에 있어서,
    상기 이미지 감지 다이의 전면으로부터 상기 포토다이오드 도핑 층 내의 위치까지 상기 인접한 픽셀 영역들 사이에 쉘로우 트렌치 격리(STI) 구조물을 형성하는 단계를 더 포함하고,
    상기 딥 트렌치는 상기 STI 구조물을 노출시키도록 형성되는 것인, 이미지 센서를 형성하는 방법.
  9. 제7항에 있어서,
    상기 포토다이오드 도핑 컬럼을 노출시키기 위해 상기 딥 트렌치를 형성하기 전에 상기 이미지 감지 다이의 후면을 씨닝(thinning)하는 단계를 더 포함하는, 이미지 센서를 형성하는 방법.
  10. 제7항에 있어서,
    상기 딥 트렌치는 상기 도핑된 격리 웰을 노출시키도록 형성되는 것인, 이미지 센서를 형성하는 방법.
KR1020200161878A 2020-04-24 2020-11-27 이미지 센서를 위한 후면 딥 트렌치 격리 구조물 KR102583440B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063014856P 2020-04-24 2020-04-24
US63/014,856 2020-04-24
US17/017,854 US11869761B2 (en) 2020-04-24 2020-09-11 Back-side deep trench isolation structure for image sensor
US17/017,854 2020-09-11

Publications (2)

Publication Number Publication Date
KR20210132574A KR20210132574A (ko) 2021-11-04
KR102583440B1 true KR102583440B1 (ko) 2023-09-26

Family

ID=78222760

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200161878A KR102583440B1 (ko) 2020-04-24 2020-11-27 이미지 센서를 위한 후면 딥 트렌치 격리 구조물

Country Status (3)

Country Link
US (1) US11869761B2 (ko)
KR (1) KR102583440B1 (ko)
DE (1) DE102020124105A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210098519A1 (en) * 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded light shield structure for cmos image sensor
CN114122041B (zh) * 2022-01-27 2022-03-29 广州粤芯半导体技术有限公司 图像传感器、其制备方法及电子设备
FR3139941A1 (fr) * 2022-09-21 2024-03-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives Formation de tranchées dans un substrat
CN115483238B (zh) * 2022-11-01 2023-04-07 合肥新晶集成电路有限公司 半导体结构及其制备方法、图像传感器
CN117238840B (zh) * 2023-11-14 2024-02-27 合肥晶合集成电路股份有限公司 背照式图像传感器及制备方法、深沟槽隔离结构制备方法
CN117594624B (zh) * 2024-01-19 2024-04-09 合肥晶合集成电路股份有限公司 一种图像传感器及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003151965A (ja) * 2001-11-08 2003-05-23 Matsushita Electric Ind Co Ltd 半導体装置の洗浄方法及びその製造方法
US20150115388A1 (en) * 2013-10-29 2015-04-30 Kabushiki Kaisha Toshiba Solid-state imaging device and manufacturing method of solid-state imaging device
US20170278893A1 (en) * 2016-03-24 2017-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Deep Trench Isolation Structure and Method of Forming Same
JP2018110140A (ja) * 2016-12-28 2018-07-12 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011009595A (ja) * 2009-06-29 2011-01-13 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
FR2954587B1 (fr) * 2009-11-10 2012-07-20 St Microelectronics Sa Procede de formation d'un capteur d'images eclaire par la face arriere
US8628998B2 (en) * 2011-12-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Annealing methods for backside illumination image sensor chips
KR102209097B1 (ko) * 2014-02-27 2021-01-28 삼성전자주식회사 이미지 센서 및 이의 제조 방법
US9349768B2 (en) 2014-03-28 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS image sensor with epitaxial passivation layer
US9704904B2 (en) * 2015-08-27 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Deep trench isolation structures and methods of forming same
US9754993B2 (en) 2015-08-31 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Deep trench isolations and methods of forming the same
US9847363B2 (en) 2015-10-20 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with a radiation sensing region and method for forming the same
US9899441B1 (en) * 2016-10-28 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation (DTI) structure with a tri-layer passivation layer
US10304886B2 (en) * 2017-09-28 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Back-side deep trench isolation (BDTI) structure for pinned photodiode image sensor
US10461109B2 (en) 2017-11-27 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple deep trench isolation (MDTI) structure for CMOS image sensor
CN108231814A (zh) * 2018-01-31 2018-06-29 德淮半导体有限公司 图像传感器及其形成方法
US10658410B2 (en) * 2018-08-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor having improved full well capacity and related method of formation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003151965A (ja) * 2001-11-08 2003-05-23 Matsushita Electric Ind Co Ltd 半導体装置の洗浄方法及びその製造方法
US20150115388A1 (en) * 2013-10-29 2015-04-30 Kabushiki Kaisha Toshiba Solid-state imaging device and manufacturing method of solid-state imaging device
US20170278893A1 (en) * 2016-03-24 2017-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Deep Trench Isolation Structure and Method of Forming Same
JP2018110140A (ja) * 2016-12-28 2018-07-12 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
KR20210132574A (ko) 2021-11-04
DE102020124105A1 (de) 2021-10-28
US20210335861A1 (en) 2021-10-28
US11869761B2 (en) 2024-01-09

Similar Documents

Publication Publication Date Title
US10804315B2 (en) Absorption enhancement structure for image sensor
KR102583440B1 (ko) 이미지 센서를 위한 후면 딥 트렌치 격리 구조물
TWI767044B (zh) 影像感測器之光電改善機制
CN109585466B (zh) 互补式金属-氧化物-半导体影像传感器及影像传感器的形成方法
KR102321856B1 (ko) 균열에 내성이 있는 딥 트렌치 절연 구조물
TWI794723B (zh) 影像感測器及其形成方法
TWI734108B (zh) 整合式晶片及其形成方法
TWI809657B (zh) 影像感測器及其形成方法
US20230387170A1 (en) Back-side deep trench isolation structure for image sensor
US20230411425A1 (en) Light absorbing layer to enhance p-type diffusion for dti in image sensors
TWI832079B (zh) 積體晶片及其形成方法
TWI815124B (zh) 影像感測器及其形成方法
US20220293642A1 (en) Isolation epitaxial bi-layer for backside deep trench isolation structure in an image sensor

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant