KR20220038473A - 웨이퍼 접지를 위한 방법, 장치 및 시스템 - Google Patents

웨이퍼 접지를 위한 방법, 장치 및 시스템 Download PDF

Info

Publication number
KR20220038473A
KR20220038473A KR1020227006334A KR20227006334A KR20220038473A KR 20220038473 A KR20220038473 A KR 20220038473A KR 1020227006334 A KR1020227006334 A KR 1020227006334A KR 20227006334 A KR20227006334 A KR 20227006334A KR 20220038473 A KR20220038473 A KR 20220038473A
Authority
KR
South Korea
Prior art keywords
wafer
ground pin
ground
controller
pin
Prior art date
Application number
KR1020227006334A
Other languages
English (en)
Inventor
이시앙 왕
쉬빙 리우
산후이 카오
강셍 치우
주잉 도우
잉 루오
잉롱 리
치앙 리
로날드 반 데르 빌크
잔-제라드 코르넬리스 반 데르 투른
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220038473A publication Critical patent/KR20220038473A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/004Charge control of objects or beams
    • H01J2237/0041Neutralising arrangements
    • H01J2237/0044Neutralising arrangements of objects being observed or treated

Landscapes

  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Abstract

웨이퍼 접지 및 웨이퍼 접지 위치 조정을 위한 시스템 및 방법이 개시된다. 제1 방법은 전기 신호에 의해 접지되고 있는 웨이퍼와 연관된 전기적 특성의 제1 값을 수신하는 것; 적어도 제1 값을 이용하여 제1 제어 매개변수를 결정하는 것; 및 제1 제어 매개변수와 제1 값을 이용하여 전기 신호의 특성을 제어하는 것을 포함할 수 있다. 웨이퍼에 대한 접지 위치를 조정하는 제2 방법은 웨이퍼와 접촉 상태에 있는 적어도 하나의 접지 핀과 웨이퍼 간의 전기적 연결을 종료하는 것; 웨이퍼와 접지 핀 사이의 상대 위치를 조정하는 것; 및 접지 핀과 웨이퍼 간의 전기적 연결을 복원하는 것을 포함할 수 있다. 제3 방법은 접지 핀을 충격에 의해 웨이퍼 상의 코팅부를 관통하게 하는 것; 및 접지 핀과 웨이퍼 사이에 전기적 연결을 구축하는 하는 것을 포함할 수 있다.

Description

웨이퍼 접지를 위한 방법, 장치 및 시스템
관련 출원에 대한 상호 참조
본 출원은 2019년 8월 28일에 출원된 미국 출원 62/893,135, 2020년 5월 8일에 출원된 미국 출원 63/022,374 및 2020년 8월 21일에 출원된 미국 출원 63/068,839의 우선권을 주장하며, 이들의 내용은 원용에 의해 전체적으로 본 명세서 내에 포함된다.
본 명세서 내의 설명은 하전 입자 빔 장치의 분야, 특히 반도체 웨이퍼 제조를 위한 웨이퍼 접지 및 바이어싱에 관한 것이다.
하전 입자 빔 장치는 하전 입자 빔 장치에 의해 생성된 하전 입자 빔에 의한 충돌 시 웨이퍼 기판의 표면으로부터의 이차 전자, 후방 산란 전자, 미러 전자 또는 다른 종류의 전자를 감지함으로써 웨이퍼 기판의 2차원 이미지를 생성할 수 있다. 다양한 하전 입자 빔 장치는 반도체 산업의 반도체 웨이퍼에 대해 다양한 목적, 예를 들어 웨이퍼 처리 (예를 들어, e-빔 다이렉트 라이트(direct write) 리소그래피 시스템), 공정 모니터링 (예를 들어, 임계 치수 주사 전자 현미경(CD-SEM)), 웨이퍼 검사 (예를 들어, e-빔 검사 시스템), 결함 분석 (예를 들어, 결함 검토 SEM 또는 DR-SEM, 및 집속 이온빔 시스템 또는 FIB) 등을 위하여 사용된다. 이러한 장치가 그의 기능을 수행할 때, 더 나은 이미징을 위하여 웨이퍼 기판의 전위는 예정된 값에서 유지될 수 있거나 바이어스될 수 있다. 이는 웨이퍼 기판이 하전 입자 빔과 상호 작용할 때 (이 동안에 공정 초과 전하는 웨이퍼 기판으로 전달된다) 목표 웨이퍼 기판을 프로그램 가능한 DC 전압 소스 (예를 들어, 웨이퍼 바이어스 공급부)에 전기적으로 또는 보다 구체적으로 저항성으로 연결함으로써 달성될 수 있다. 이 공정은 웨이퍼 바이어싱으로 지칭될 수 있다.
웨이퍼 바이어싱을 위하여, 웨이퍼의 전면이 전기적 콘택트를 배치하기에 부적절하기 때문에, 웨이퍼 기판의 배면 표면을 가압하는 하나 이상의 전기적 콘택트를 사용함으로써 웨이퍼 바이어스 공급부에서 웨이퍼 기판으로의 전기적 콘택트는 일반적으로 웨이퍼 기판의 배면에서 이루어진다.
본 발명의 실시예는 웨이퍼 접지를 위한 시스템 및 방법을 제공한다. 일부 실시예에서, 웨이퍼를 접지시키는 방법은 전기 신호에 의해 접지되고 있는 웨이퍼와 연관된 전기적 특성의 제1 값을 수신하는 것을 포함할 수 있다. 본 방법은 또한 적어도 제1 값을 이용하여 제1 제어 매개변수를 결정하는 것을 포함할 수 있다. 본 방법은 제1 제어 매개변수 및 제1 값을 이용하여 전기 신호의 특성을 제어하는 것을 더 포함할 수 있다.
일부 실시예는 웨이퍼를 접지시키기 위한 시스템이 개시된다. 시스템은 전기 신호에 의해 접지되고 있는 웨이퍼와 연관된 전기적 특성의 제1 값을 생성하도록 구성된 센서; 전기 신호를 생성하도록 구성된 전기 신호 생성기; 및 전기적 특성의 제1 값을 수신하기 위한, 적어도 제1 값을 이용하여 제1 제어 매개변수를 결정하기 위한, 그리고 제1 제어 매개변수와 제1 값을 이용하여 전기 신호의 특성을 제어하기 위한 회로를 포함하는 컨트롤러를 포함할 수 있다.
일부 실시예에서, 웨이퍼에 대한 접지 위치를 조정하기 위한 방법이 개시된다. 본 방법은 웨이퍼와 접촉하는 접지 핀과 웨이퍼 간의 전기적 연결을 종료하는 것을 포함할 수 있다. 본 방법은 또한 웨이퍼와 접지 핀 사이의 상대 위치를 조정하는 것을 포함할 수 있다. 본 방법은 접지 핀과 웨이퍼 간의 전기적 연결을 복원하는 것을 더 포함할 수 있다.
일부 실시예에서, 웨이퍼에 대한 접지 위치를 조정하기 위한 시스템이 개시된다. 검사 시스템은 웨이퍼와 접촉 상태에 있도록 구성된 접지 핀; 및 웨이퍼와 접지 핀 간의 전기적 연결을 종료하도록, 웨이퍼와 접지 핀 사이의 상대 위치를 조정하도록, 그리고 접지 핀과 웨이퍼 간의 전기 연결을 복원하도록 구성된 액추에이터를 포함할 수 있다.
일부 실시예에서, 웨이퍼를 접지시키기 위한 방법이 개시된다. 본 방법은 다수의 프로브와 웨이퍼 사이에 접촉 포인트 세트를 구축하는 것을 포함할 수 있다. 본 방법은 또한 웨이퍼의 코팅부의 파괴를 용이하게 하기 위해 다수의 프로브를 통해 접촉 포인트 세트에 제1 전기 신호 세트를 인가하는 것을 포함할 수 있다. 본 방법은 웨이퍼를 통한 다수의 프로브 사이의 전기 경로의 저항이 예정된 임계값보다 크거나 이와 같다는 결정을 기반으로 다수의 프로브를 통해 접촉 포인트 세트에 제2 전기 신호 세트를 인가하는 것을 더 포함할 수 있으며, 여기서 제2 전기 신호 세트는 제1 전기 신호 세트를 인가할 때 웨이퍼와 연관된 전기적 특성의 값을 기반으로 결정된다.
일부 실시예에서, 웨이퍼를 접지시키기 위한 방법이 개시된다. 본 방법은 다수의 프로브와 웨이퍼 사이에 제1 접촉 포인트 세트를 구축하는 것을 포함할 수 있다. 본 방법은 또한 웨이퍼의 코팅부의 파괴를 용이하게 하기 위해 다수의 프로브를 통해 제1 접촉 포인트 세트에 제1 전기 신호 세트를 인가하는 것을 포함할 수 있다. 본 방법은 웨이퍼를 통한 다수의 프로브 사이의 전기 경로의 저항이 예정된 임계값보다 크거나 이와 같을 때 다수의 프로브와 웨이퍼 사이에 제2 접촉 포인트 세트를 구축하는 것을 더 포함할 수 있다. 본 방법은 다수의 프로브를 통하여 제2 접촉 포인트 세트에 제2 전기 신호 세트를 인가하는 것을 더 포함할 수 있으며, 여기서 제2 전기 신호 세트는 제1 전기 신호 세트를 인가할 때 웨이퍼와 연관된 전기적 특성의 값을 기반으로 결정된다.
일부 실시예에서, 웨이퍼를 접지시키기 위한 방법이 개시된다. 본 방법은 접지 핀을 웨이퍼와 접촉하게 하는 것을 포함할 수 있다. 본 방법은 또한 접지 핀 또는 웨이퍼 중 적어도 하나를 진동시키는 것을 포함할 수 있다. 본 방법은 접지 핀과 웨이퍼 사이에 전기적 연결을 구축하는 것을 더 포함할 수 있다.
일부 실시예에서, 시스템이 개시된다. 본 시스템은 웨이퍼와 접촉하도록 구성된 접지 핀을 포함할 수 있다. 본 시스템은 또한 접지 핀 또는 웨이퍼 중 적어도 하나를 진동시키기 위한, 그리고 접지 핀과 웨이퍼 사이에 전기적 연결을 구축하기 위한 회로를 갖는 컨트롤러를 포함할 수 있다.
일부 실시예에서, 웨이퍼를 접지시키는 방법이 개시된다. 이 방법은 접지 핀을 충격에 의해 웨이퍼 상의 코팅부를 관통하게 하는 것을 포함할 수 있다. 이 방법은 또한 접지 핀과 웨이퍼 간의 전기적 연결을 구축하는 것을 포함할 수 있다.
일부 실시예에서, 시스템이 개시된다. 시스템은 접지 핀, 및 충격에 의해 웨이퍼 상의 코팅부를 관통하도록 접지 핀을 제어하기 위한 그리고 접지 핀과 웨이퍼 간의 전기적 연결을 구축하기 위한 회로를 갖는 컨트롤러를 포함할 수 있다.
일부 실시예에서, 비일시적 컴퓨터 판독 가능한 매체는 장치의 적어도 하나의 프로세서에 의해 실행 가능한 명령어 세트를 저장하여 장치를 방법을 수행하게 할 수 있다. 본 방법은 접지 핀을 충격에 의해 웨이퍼 상의 코팅부를 관통하게 하는 것을 포함할 수 있다. 본 방법은 또한 접지 핀과 웨이퍼 간에 전기적 연결을 구축하는 것을 포함할 수 있다.
일부 실시예에서, 웨이퍼 접지를 위한 시스템이 개시된다. 시스템은 제1 접지 핀을 포함할 수 있다. 시스템은 또한 내부에 제1 전극을 포함하는 정전 홀더를 포함할 수 있다. 시스템은 제1 접지 핀과 제1 전극 사이에 전기적으로 연결된 제1 과전압 보호 디바이스를 더 포함할 수 있으며, 여기서 제1 과전압 보호 디바이스는 제1 과전압 보호 디바이스에 인가된 제1 전압이 임계 전압을 초과할 때 전기를 전도하도록 그리고 제1 전압이 임계 전압을 초과하지 않을 때 전기를 전도하는 것을 중지하도록 구성된다.
일부 실시예에서, 고전압의 빠른 램핑(rampiing)을 위한 장치가 개시된다. 장치는 장치의 고전압부와 장치의 접지부 사이에 배열된 아이솔레이터를 포함할 수 있다. 장치는 또한 고전압부에 전기적으로 연결된 제1 전극을 포함할 수 있다. 장치는 접지부에 전기적으로 연결되고 제1 전극에 대해 비전도성인 제2 전극을 더 포함할 수 있다. 장치는 아이솔레이터 위의 제1 전극 및 제2 전극을 덮는 유전체 층을 더 포함할 수 있다.
도 1은 본 발명의 일부 실시예와 일치하는 예시적인 전자 빔 검사(EBI) 시스템을 도시하는 개략도이다.
도 2는 도 1의 예시적인 전자 빔 검사 시스템의 일부일 수 있는, 본 발명의 일부 실시예와 일치하는 예시적인 전자 빔 툴을 도시하는 개략도이다.
도 3은 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지의 예시적인 시스템의 도면이다.
도 4는 본 발명의 일부 실시예와 일치하는 예시적인 다중-핀 웨이퍼 접지 시스템의 도면이다.
도 5는 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지를 위한 예시적인 방법을 도시하는 흐름도이다.
도 6은 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지 위치 조정의 예시적인 시스템의 도면이다.
도 7은 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지 위치 조정의 예시적인 시스템의 도면이다.
도 8은 본 발명의 일부 실시예와 일치하는, 조정 전 및 후의 예시적인 접지 위치의 도면이다.
도 9는 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지 위치 조정의 예시적인 시스템의 도면이다.
도 10은 본 발명의 일부 실시예와 일치하는, 웨이퍼에 대한 접지 위치를 조정하는 예시적인 방법을 도시하는 흐름도이다.
도 11은 본 발명의 일부 실시예와 일치하는, 웨이퍼에 대한 접지 위치를 조정하기 위한 또 다른 예시적인 방법을 도시하는 흐름도이다.
도 12는 본 발명의 일부 실시예와 일치하는 예시적인 다중-핀 웨이퍼 접지 시스템의 도면이다.
도 13은 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지를 위한 예시적인 방법을 도시하는 흐름도이다.
도 14a 내지 도 14d는 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지를 위한 예시적인 시스템의 작동 스테이지를 도시하고 있다.
도 15a 내지 도 15d는 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지를 위한 또 다른 예시적인 시스템의 작동 스테이지를 도시하고 있다.
도 16은 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지를 위한 예시적인 방법을 도시하는 흐름도이다.
도 17은 본 발명의 일부 실시예와 일치하는, 정전 홀더의 전극과 접지 핀 사이에서 전압 차이가 순간적으로 발생하는 예시적인 웨이퍼 접지 시스템의 도면이다.
도 18은 본 발명의 일부 실시예와 일치하는, 정전 홀더의 전극과 접지 핀 사이에서 전압 차이가 형성되는 것이 방지되는 예시적인 웨이퍼 접지 시스템의 도면이다.
도 19a 내지 도 19c는 본 발명의 일부 실시예와 일치하는, 정전 홀더의 전극과 접지 핀 사이에서 전압 차이가 형성되는 것이 방지되는 예시적인 웨이퍼 접지 시스템의 도면이다.
도 20은 본 발명의 일부 실시예와 일치하는, 방전이 고전압부에서 발생할 수 있는 예시적인 웨이퍼 검사 시스템의 횡단면도를 도시하고 있다.
도 21은 본 발명의 일부 실시예와 일치하는, 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 예시적인 장치의 도면이다.
도 22는 본 발명의 일부 실시예와 일치하는, 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 다른 예시적인 장치의 도면이다.
도 23은 본 발명의 일부 실시예와 일치하는, 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 또 다른 예시적인 장치의 도면이다.
도 24는 본 발명의 일부 실시예와 일치하는, 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 또 다른 예시적인 장치의 도면이다.
도 25는 본 발명의 일부 실시예와 일치하는, 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 예시적인 웨이퍼 검사 시스템의 횡단면도이다.
이제 예시적인 실시예에 대한 참조가 상세하게 이루어질 것이며, 이들의 예가 첨부 도면에 도시되어 있다. 다음 설명은 다른 도면에서 동일한 번호가 달리 표현되지 않는 한 동일하거나 유사한 요소를 나타내는 첨부 도면을 참조한다. 예시적인 실시예의 다음 설명에서 제시된 구현 형태는 본 발명과 일치하는 모든 구현 형태를 나타내지는 않는다. 대신, 이들은 첨부된 청구범위에 인용된 주제와 관련된 양태와 일치하는 장치 및 방법의 예일 뿐이다. 본 발명의 범위를 제한하지 않고, 일부 실시예는 전자 빔 ("e-빔")을 사용하는 시스템에서 검출 시스템 및 검출 방법을 제공하는 맥락에서 설명될 수 있다. 그러나 본 발명은 이렇게 제한되지 않는다. (예를 들어, 양성자, 이온, 뮤온(muons) 또는 전하를 운반하는 임의의 다른 입자를 포함하는) 다른 유형의 하전 입자 빔이 유사하게 적용될 수 있다. 더욱이, 검출을 위한 시스템 및 방법은 광학 이미징, 광자 검출, x-선 검출, 이온 검출 등과 같은 다른 이미징 시스템에서 사용될 수 있다.
전자 디바이스는 기판으로 불리는 하나의 반도체 재료 상에 회로로 구성된다. 반도체 재료는, 예를 들어 실리콘, 갈륨비소, 인듐 인화물, 또는 실리콘 게르마늄 등을 포함할 수 있다. 많은 회로가 동일한 실리콘 조각에 함께 형성될 수 있으며 집적 회로 또는 IC로 불린다. 더 많은 회로가 기판에 맞을 수 있도록 이 회로들의 크기가 극적으로 감소되었다. 예를 들면, 스마트폰 내의 IC 칩은 엄지손톱만큼 적을 수 있지만 20억 개 이상의 트랜지스터를 포함할 수도 있으며, 각 트랜지스터의 크기는 사람의 머리카락의 크기의 1/1,000보다 작다.
매우 작은 구조체 또는 구성 요소로 이 IC를 만드는 것은 흔히 수백 개의 개별 단계를 포함하는 복잡하고 시간 소모적이며 값비싼 공정이다. 한 단계에서라도의 오류는 완성된 IC에 결함을 야기하는 가능성을 갖고 있으며, 이는 IC를 쓸모없게 만든다. 따라서 제조 공정의 하나의 목표는 이러한 결함을 방지하여 공정에서 만들어지는 기능 IC의 수를 최대화하는 것; 즉, 공정의 전체 수율을 개선하는 것이다.
수율 향상의 한 요소는 칩 제조 공정을 모니터링하여 공정이 충분한 수의 기능적 집적 회로를 생산하고 있는 것을 보장하는 것이다. 공정을 모니터링하는 한 가지 방법은 다양한 형성 단계에서 칩 회로 구조체를 검사하는 것이다. 주사 하전 입자 현미경("SCPM")을 사용하여 검사가 수행될 수 있다. 예를 들어, SCPM은 주사 전자 현미경(SEM)일 수 있다. 이 극도로 작은 구조체를 이미지화하여 사실상 웨이퍼의 구조체의 "사진"을 찍기 위하여 SCPM이 사용될 수 있다. 이미지는 구조체가 적절한 위치에 적절하게 형성되었는지를 확인하기 위해 사용될 수 있다. 구조체에 결함이 있다면, 그 후 공정은 조정될 수 있으며, 따라서 결함은 다시 발생할 가능성이 작다.
SEM의 작용 원리는 카메라와 유사하다. 카메라는 사람 또는 대상물에서 반사되거나 방출되는 광의 강도를 받아들이고 기록함으로써 사진을 촬영한다. SEM은 웨이퍼 구조체에서 반사되는 또는 방출되는 에너지 또는 전자의 양을 받아들이고 기록함으로써 "사진"을 촬영한다. 이러한 "사진"을 찍기 전에, 전자 빔이 구조체 상으로 투영될 수 있으며, 전자가 구조체에서 (예를 들어, 웨이퍼 표면에서, 웨이퍼 표면 아래의 구조체에서, 또는 둘 모두에서) 반사되거나 방출될 때 ("빠져나올 때"), SEM의 검출기는 이 전자의 에너지 또는 양을 수신하고 기록하여 검사 이미지를 생성할 수 있다. 그러한 "사진"을 찍기 위하여, 전자 빔은 (예를 들어, 한 라인씩 또는 지그재그 방식으로) 웨이퍼를 스캔할 수 있으며, 검출기는 ("빔 스폿"으로 언급되는) 전자 빔 투영 아래 영역에서 나오는, 빠져나오는 전자(exiting electron)를 받아들일 수 있다. 검출기는 한 번에 하나씩 각 빔 스폿으로부터의 출사 전자를 받아들이고 기록할 수 있으며 또한 모든 빔 스폿에 대해 기록된 정보를 결합하여 검사 이미지를 생성할 수 있다. 일부 SEM은 단일 전자 빔을 이용하여 ("단일-빔 SEM"으로 지칭됨) 단일 "사진"을 촬영하여 검사 이미지를 생성하는 반면에, 일부 SEM은 다수의 전자 빔을 이용하여 ("다중-빔 SEM"으로 지칭됨) 웨이퍼의 다수의 "서브 사진"을 동시에 찍고 이들을 연결(stitch)하여 검사 이미지를 생성한다. 다수의 전자 빔을 사용함으로써, SEM은 이 다수의 "서브 사진"을 얻기 위하여 더 많은 전자 빔을 구조체 상으로 제공할 수 있으며, 결과적으로 구조체로부터 더 많은 전자가 빠져나간다. 따라서, 검출기는 더 많은 출사 전자를 동시에 받아들일 수 있으며 더 높은 효율과 더 빠른 속도로 웨이퍼의 구조체의 검사 이미지를 생성할 수 있다.
전형적으로, 구조체는 이미징을 위하여, 스테이지로 지칭되는 플랫폼에 배치된 기판 (예를 들어, 실리콘 기판) 상에 만들어진다. 전자 빔이 구조체에 부딪히면, 전자 빔은 기판을 하전(charge)시키며 기판을 더 이상 전기적으로 중성이 아닌 상태로 만들 수 있다. 하전된 기판은 전압을 획득할 수 있고 기존 전자에 영향을 미칠 수 있으며, 이는 이미징 품질에 영향을 미칠 수 있다. 따라서, 이미징을 개선하기 위해 기판을 접지시키는 것이 유리할 수 있다.
접지를 위하여, 기판은 전력 소스에 전기적으로 연결될 수 있다. 기판의 배면 표면 (즉, 구조체가 없는 표면)에 대해 하나 이상의 전도성 접지 핀 또는 프로브를 가압함으로써 파워 소스와 기판 간의 전기적 연결이 구현될 수 있다. 기판의 배면 표면은 일반적으로 재료의 얇은 층 ("배면 필름")으로 덮여 있으며, 이 층은 기판의 제조 공정에서 보호 커버링(covering)으로서 생성된다.
그러나 배면 필름은 비전도성이다. 일반적으로, 두 가지 유형의 방법; 관통 방법(penetration method) 및 전기 재핑 방법(electric zapping method)이 사용되어 이러한 비전도성 배면 필름을 극복할 수 있다. 관통 방법에서, 접지 핀은 가압되어 배면 필름을 관통할 수 있고 기판에 직접적으로 접촉할 수 있다. 그러나 관통 방법은 배면 필름의 손상을 야기할 수 있으며, 이는 제조 중 기판을 손상시키는 것으로 이어질 수 있고 또한 과도한 입자가 생성되는 것으로 이어질 수 있어 SEM의 진공 챔버의 오염을 야기한다.
전기 재핑 방식에서, 접지 핀은 배면 필름을 가압하고 부분적으로 관통할 수 있지만, 완전히 관통하지는 않는다. 파워 소스는 배면 필름을 파괴할 목적을 위하여 접지 핀들 사이에 고전압 (예를 들어, 수십 킬로볼트) 전기 신호 ("접지 신호")를 생성하여 접지 핀과 웨이퍼 사이의 전기적 연결을 구축할 수 있다. 접지 신호는 접지 핀의 팁과 배면 필름 사이에 전기 재핑을 야기할 수 있다.
그러나 전기 재핑 방법 또한 그의 문제를 갖고 있다. 첫 번째 문제는 배면 필름을 파괴하기 위하여 그리고 과도한 손상을 생성하지 않고 그렇게 하기 위하여 상이한 유형의 기판들이 상이한 최적화된 접지 신호 전압을 필요로 한다는 것이다. 즉, 한 유형의 기판을 위하여 최적화된 접지 신호의 전압이 또 다른 유형의 기판의 배면 필름을 파괴할 수 없으며, 이는 접지 핀과 웨이퍼 간의 전기적 연결 생성 실패로 이어진다. 두 번째 문제는 접지 신호의 과도한 전압이 접지 핀의 팁 주변의 웨이퍼에 과도한 손상 (예를 들어, 배면 필름의 소형 폭발)을 야기할 수 있으며, 이는 결과적으로 이미징 환경 (예를 들어, SEM 시스템의 진공 챔버)을 오염시킬 수 있는 입자의 생성을 초래한다는 것이다. 세 번째 문제는 전기적 재핑이 일부 접지 위치에서 실패할 수 있지만 반복 재핑이 접지 핀의 감소된 수명 또는 과도한 수의 오염 입자로 이어질 수 있기 때문에 동일한 위치에서 재핑을 반복하는 것은 바람직하지 않을 수 있다는 것이다. 따라서 양 방법에 대한 대한 개선이 바람직하다.
본 발명의 실시에는 개선된 웨이퍼 접지 시스템을 제공할 수 있다. 웨이퍼 접지는 관통 방법 또는 전기적 재핑 방법을 사용하여 구현될 수 있다. 본 발명의 전기적 재핑 방법의 실시예에 따르면, 접지 핀의 접촉 위치는 동적으로 조정될 수 있다. 초기 접촉 위치에서 접지가 성공이지 않다면, 접촉 위치를 동적으로 조정하고 상이한 접지 신호를 적용함으로써, 성공적인 웨이퍼 접지의 확률이 증가될 수 있는 반면에, (현재 방법과 비교하여) 진공 챔버 내의 오염은 감소될 수 있으며 접지 핀의 수명은 연장될 수 있다. 또한, 본 발명에서의 전기적 재핑 방법의 실시예에 따르면, 웨이퍼 접지를 위한 전기 신호의 전기적 특성은 또한 접지 전기 경로의 측정 피드백을 기반으로 동적으로 조절될 수 있다. 전기적 특성을 동적으로 조정함으로써, 접지 핀의 수명이 연장될 수 있으며 검사 진공 챔버 내의 오염이 감소될 수 있고, 전기 신호를 다양한 유형의 웨이퍼에 편리하게 맞출 수 있다. 또한, 본 발명에서의 관통 방법 및 전기적 재핑 방법의 실시예에 따르면, 접지 핀과 웨이퍼 간의 접촉을 향상시키기 위해 웨이퍼에 대해 접지 핀을 진동시키기 위한 바이브레이터가 제공될 수 있다. 바이브레이터는 관통 방법을 사용하는 시스템에 사용될 수 있으며, 여기서 배면 필름의 폭발과 오염 입자의 침착이 방지될 수 있으며, 접지 핀의 수명이 연장될 수 있다. 바이브레이터는 또한 전기 재핑 방법만을 사용하는 시스템 또는 양 방법을 조합한 시스템에서도 사용될 수 있으며, 여기서 접지 핀의 접지 위치는 조정을 위한 추가 치수를 구비할 수 있으며, 웨이퍼 접지의 성공률은 추가로 증가될 수 있다.
본 발명의 실시예는 웨이퍼 접지를 위한 그리고 웨이퍼에 대한 접지 위치를 조정하기 위한 방법 및 시스템을 포함한다. 예를 들어, 웨이퍼 접지 시스템은 전기 신호에 의해 접지되고 있는 웨이퍼와 연관된 전기적 특성의 제1 값을 수신할 수 있다. 웨이퍼 접지 시스템은 또한 적어도 제1 값을 사용하여 제1 제어 매개변수를 결정할 수 있다. 웨이퍼 접지 시스템은 제1 제어 매개변수와 제1 값을 이용하여 전기 신호의 특성을 더 제어할 수 있다. 또 다른 예에서, 웨이퍼 접지 시스템은 웨이퍼와 접지 핀을 분리할 수 있다. 웨이퍼 접지 시스템은 또한 웨이퍼와 접지 핀 사이의 상대 위치를 조정할 수 있다. 웨이퍼 접지 시스템은 접지 핀과 웨이퍼를 추가로 재부착할 수 있다. 실시예의 세부사항은 다음과 같이 제시된다.
또한, 일부 특수한 경우에, 매우 복잡한 구조체가 웨이퍼 상에서 제조될 수 있으며 이는 웨이퍼 접지에 대한 더 많은 문제를 야기할 수 있다. 예를 들어, 일부 IC는 많은 수의 층을 포함하도록 제조될 수 있다. 이러한 다층 구조체는 일반적인 경우보다 웨이퍼 표면에 더 높은 장력을 유발할 수 있다. 높은 장력은 웨이퍼를 뒤틀리게 하거나 굽힐 수 있으며, 이는 검사 이미지 내의 오류 (예를 들어, 흐릿함(fuzziness))를 야기할 수 있다. 이러한 복잡한 구조체로 인하여 웨이퍼가 비틀리거나 굽는 것을 방지하기 위하여, 이러한 복잡한 IC를 제조하기 위한 일부 웨이퍼는 두꺼운 배면 필름으로 코팅되어 그의 기계적 강도를 향상시킬 수 있다. 그러나 웨이퍼 비틀림을 방지하기에 충분히 두꺼운 두꺼워진 배면 필름은 전기적 재핑 방법이나 관통 방법이 웨이퍼 접지에 대해 가동될 수 없는 정도까지 너무 두꺼울 수 있다.
본 발명의 실시예는 "핀-임팩트(pin-impact)" 방법을 이용하는, 웨이퍼 접지를 위한 방법 및 시스템을 더 포함한다. 웨이퍼 접지 시스템은 접지 핀을 작동시켜 두꺼운 배면 필름에 충격을 주어 배면 필름을 완전 관통 또는 부분 관통 형태로 관통할 수 있다. 충격에 의해 두꺼운 배면 필름을 관통한 후, 접지 핀과 웨이퍼의 전도성 표면 간의 전기적 연결이 웨이퍼 접지를 위해 구축될 수 있다.
전형적으로, 기판은 정전 홀더 (또는 "정전 척")에 의해 스테이지 상에 배치되고 고정된다. 정전 홀더는 적어도 하나의 전극을 포함할 수 있으며, 비전도성 유전체 재료가 전극과 기판 사이에 제공될 수 있다. 예를 들어, 전극은 스테이지의 최상부 표면 아래에 제공될 수 있다. 클램핑 전압이 적용될 때 전극은 정전기장을 통해 기판을 끌어당겨 스테이지의 최상부 표면에 고정시킬 수 있다. 클램핑 전압이 꺼지면(off), 전극은 정전기장을 잃을 수 있으며 기판은 스테이지에서 해제될 수 있다.
그러나 일부의 경우, 클램핑 전압이 꺼진 후, 정전 홀더에 잔류 전하가 발생할 수 있으며, 이는 잔류 클램핑 력을 야기할 수 있다. 잔류 클램핑 력은 기판 해제에 어려움을 야기할 수 있으며, 일부의 경우에 기판은 전혀 해제되지 않을 수 있다. 이러한 "웨이퍼 고착(wafer sticking)" 문제는 장비의 성능과 활용률에 영향을 미칠 수 있다. 잔류 전하는 일정 시간 동안 축적될 수 있거나 클램핑 전압이 인가될 때 순간적으로 형성될 수 있다. 예를 들어, 정전 홀더의 정상 작동 중에, 접지 핀은 접지 신호를 제공하기 위하여 기판에 접촉할 수 있다. 기판은 전압 전위 (예를 들어, 30킬로볼트)로 바이어스될 수 있다. 정전 홀더의 전극은 전압 전위에 플로팅되는 클램핑 전압 (예를 들어, +300 또는 -300볼트)를 구비할 수 있다. 클램핑 전압이 주기적으로 켜지고 꺼지는(on/off) 경우(예를 들어: 다수의 기판을 고정 및 해제), 전압 전위와 클램핑 전압 간의 전압 차이로 인해 전하가 완전히 중성화되지 않기 때문에 정전 홀더의 표면에 일정 시간 동안 잔류 전하가 축적될 수 있다. 전극의 회로에 아크(arcing)가 발생하는 경우에 클램핑 전압이 인가될 때 잔류 전하가 순간적으로 형성될 수 있으며, 여기서 기판과 전극 사이의 순간적인 전압 차이(예를 들어, 10킬로볼트)는 클램핑 전압을 크게 방해할 수 있는 반면에 전압 전위는 영향을 받지 않는다. 이러한 순간적인 전압 차이는 강한 전계를 기판과 전극 사이에 순간적으로 형성되게 할 수 있으며, 이는 정전 홀더의 최상부 상면에 대해 기판의 전하를 끌어당기거나 밀어낼 수 있다.
잔류 클램핑 력을 감소시키기 위하여 여러 디자인이 사용될 수 있다. 일부 경우에, 일정 기간 동안 축적된 잔류 전하를 다루기 위해 제1 디자인이 사용될 수 있다. 예를 들어, 리프트 핀은 정전 홀더를 구비할 수 있으며, 이는 잔류 클램핑 력이 존재하는 것으로 모니터링될 때 기판을 들어올리기 위해 사용될 수 있다. 또 다른 예로서, 이온화 장치(ionizer)는 정전 홀더를 구비할 수 있으며, 이는 잔류 클램핑 력이 예정된 임계값 이상으로 측정된다면 정전 홀더의 표면의 잔류 전하를 (예를 들어, 이온을 슈팅(shooting)함으로써) 중성화시키기 위하여 사용될 수 있다.
그러나 잔류 전하가 순간적으로 형성되는 경우에는, 초기 잔류력이 측정될 수 없기 때문에 제1 디자인은 효과적이지 않을 수 있다. 이 경우에, 제2 디자인이 사용될 수 있다. 예를 들어, 방전 컨트롤러는 정전 홀더를 구비할 수 있으며, 이는 기판을 들어올리기 위해 그리고 기판이 해제된 후 잔류 전하를 완전히 중성화시키기 위해 리프트 핀이 사용될 수 있도록 잔류 클램핑 력이 예정된 결정된 임계값 미만으로 측정될 때까지 정전 홀더의 표면에 잔류 전하를 일시적으로 중성화하기 위해 정전 홀더의 방전 전압을 제어할 수 있다. 그럼에도 불구하고, 정전 홀더의 제2 디자인은 이온화 장치 대신 정전 홀더의 방전 역량에 의존하며, 이는 모든 잔류 전하를 중성화하는 데 비효율적일 수 있다.
본 발명의 실시예는 기판과 정전 홀더 사이에 순간적으로 형성되는 전압 차이로부터 기인하는 잔류 전하의 형성을 방지하기 위한 장치 및 시스템을 더 포함하고 있으며, 이는 정전 홀더의 전극과 기판 사이에 연통적으로 연결된 과전압 보호 디바이스를 포함할 수 있다. 과전압 보호 디바이스는 과전압 하에서 전도성이 될 수 있으며 과전압이 제거되면 비전도성으로 재설정된다. 예를 들어, 과전압 보호 디바이스는 전극과 기판 사이의 전압 차이가 임계 전압 미만일 때 전도성일 수 있다. (예를 들어, 전극의 회로에서 발생하는 아크에 의하여 야기된) 전압 차이가 임계 전압보다 높으면, 전극과 기판 사이의 전압 차이가 감소 또는 제거될 수 있도록 과전압 보호 디바이스가 전도성이 되어 전극과 기판 사이에 전기를 전도시킬 수 있다. 전압 차이가 다시 임계 전압 아래로 떨어지면, 과전압 보호 디바이스는 다시 비전도성으로 될 수 있다. 이렇게 함으로써, 잔류 전하가 기판 또는 정전 홀더에 순간적으로 형성될 수 없다.
일부 경우에, 기판과 정전 홀더 사이에 순간적으로 형성되는 전압 차이의 하나의 이유는 정전 홀더 근처에서 발생하는 방전일 수 있다. 전형적으로, 정전 홀더는 스테이지의 하우징의 최상부 표면 상에 배치될 수 있다. 정전 홀더의 최상부에 고정된 기판을 바이어스하기 위해, 하우징의 최상부 표면은 전도성일 수 있으며 바이어싱 고전압 전위 (예를 들어, -30킬로볼트)가 가해질 수 있다. 하우징은, 예를 들어 하우징의 최상부 표면을 접지(ground)에 연결함으로써 접지된다. 하우징의 최상부 표면과 최하부 표면은 하나 이상의 아이솔레이터에 의해 격리될 수 있다. 예를 들어, 아이솔레이터는 하우징의 하나 이상의 벽일 수 있다.
기존 웨이퍼 접지 시스템의 한 가지 문제는 시스템의 고전압부 (예를 들어, 하우징의 최상부 표면)과 접지부 (예를 들어, 하우징의 최하부 표면) 사이의 아이솔레이터가 원하지 않는 방전을 겪기 쉽다는 것이다. 고전압부와 아이솔레이터 사이의 전계가 너무 강하면, 이들 사이에서 전하의 전계 방출이 시작되며, 이는 전자를 고전압부 표면에서 떨어져 나가게 할 수 있다. 이 전계 방출 전자가 아이솔레이터의 표면에 부착된 가스 분자를 방출하기에 충분한 랜딩 에너지(landing energy)를 갖는다면, 전자 사태 효과(avalanche effect)가 촉발될 수 있으며, 이는 결국 고전압부와 아이솔레이터 사이의 절연 파괴로 이어질 수 있다. 예를 들어, 아크가 발생할 수 있으며, 이는 고전압부 근처에서 많은 양의 전하를 방전할 수 있다. 이러한 방전은 (예를 들어, 기판과 정전 홀더 사이의 전압 차이를 순간적으로 형성하게 함으로써) 정전 홀더의 클램핑 전압을 방해할 수 있으며 또한 잔류 전하를 정전 홀더 상에 형성되게 할 수 있으며, 이는 본 명세서에서 설명된 바와 같은 웨이퍼 고착 문제를 야기할 수 있다. 또한, 이러한 방전은 환경으로부터 원하지 않는 입자 (예를 들어, 먼지)를 끌어들일 수 있으며, 이는 웨이퍼 접지 시스템에 잠재적인 피해를 끼칠 수 있다.
웨이퍼 접지 시스템의 정상 작동 중에, 시스템의 고전압부의 전압이 안정적이기 때문에 전계 방출이 발생하지 않을 수 있다. 그러나 (예를 들어, 기판 교체 또는 유지 보수 서비스를 위해) 고전압이 켜지고 꺼지는 경우, 아이솔레이터의 표면 전압은 용량 방식으로 고전압의 램프-업 또는 램프-다운에 응답할 수 있다 (예를 들어, 아이솔레이터와 고전압부는 유효 커패시터의 2개의 단자이다). 이러한 경우, 고전압부와 아이솔레이터 사이의 전계가 충분히 강해져서 전계 방출을 야기하고, 결국 원치 않는 방전을 야기할 수 있다.
원치 않는 방전을 방지하기 위하여, 기존 웨이퍼 접지 시스템은 느린 속도로 고전압을 램프 업(ramp up) 또는 램프 다운(ramp down)해야만 한다. 그럼에도 불구하고, 이러한 느린 램핑 속도는, 특히 다중 빔 검사 시스템에서 웨이퍼 검사의 처리량을 조절(throttle)할 수 있다.
본 발명의 실시예는 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 장치 및 시스템을 더 포함하고 있다. 예를 들어, 이러한 장치는 장치의 고전압부와 장치의 접지부 사이에 배열된 아이솔레이터를 포함할 수 있다. 본 장치는 또한 고전압부에 전기적으로 연결된 제1 전극 및 접지부에 전기적으로 연결되고 제1 전극에 비전도성인 제2 전극을 포함할 수 있다. 본 장치는 아이솔레이터 위의 제1 전극 및 제2 전극을 덮는 유전체 층을 더 포함할 수 있다. 이렇게 함으로써, 강한 전계는 제1 및 제2 전극의 종단 부근 및 아이솔레이터의 표면 부근에 형성되도록 국한될 수 있다. 또한, 제1 및 제2 전극은 이들 사이에 부드럽게 가라앉는(subsiding) 전계를 형성할 수 있으며, 이는 높은 전계 강도에 대응하는 전계 기울기의 급격한 변화를 방지할 수 있다. 또한, 제1 전극과 제2 전극을 덮음으로써, 주변 환경은 전극들 사이의 전계에 더 약한 영향을 미칠 수 있다. 또한, 전계가 제1 전극과 제2 전극 사이에서 매우 강해지더라도, 제1 전극과 제2 전극이 유전체 층과 아이솔레이터에 의해 덮여 있기 때문에 전계 방출은 발생할 수 없다. 전반적으로, 제공된 장치 및 시스템은 임의의 원하지 않는 방전을 야기하지 않고 고전압의 빠른 램핑, 웨이퍼 고착 문제의 더 낮은 가능성, 웨이퍼 검사의 크게 증가된 처리량, 및 원하지 않는 방전으로 초래된 감소된 환경적 피해를 제공할 수 있다.
도면에서의 구성 요소의 상대적 치수는 명확성을 위해 과장될 수 있다. 아래의 도면의 설명에서, 동일 또는 유사한 참조 번호는 동일 또는 유사한 구성 요소 또는 개체를 나타내며, 개별 실시예들에 대한 차이점만이 설명된다.
본 명세서에서 사용된 바와 같이, 달리 구체적으로 언급되지 않는 한, 용어 "또는"은 실현 불가능한 경우를 제외하고 가능한 모든 조합을 포함하고 있다. 예를 들어, 구성 요소가 A 또는 B를 포함할 수 있다는 점이 명시되어 있는 경우, 달리 구체적으로 명시되거나 실행 불가능하지 않는 한, 구성 요소는 A 또는 B, 또는 A와 B를 포함할 수 있다. 제2 예로서, 구성 요소가 A, B 또는 C를 포함할 수 있다는 점이 명시되어 있는 경우, 달리 구체적으로 명시되거나 실행 불가능하지 않는 한, 구성 요소는 A, 또는 B, 또는 C, 또는 A와 B, 또는 A와 C, 또는 B와 C, 또는 A와 B와 C를 포함할 수 있다.
도 1은 본 발명의 일부 실시예와 일치하는 예시적인 전자 빔 검사(EBI) 시스템(100)을 도시하고 있다. EBI 시스템(100)은 이미징을 위하여 사용될 수 있다. 도 1에서 보여지는 바와 같이, EBI 시스템(100)은 주 챔버(101), 로드/록(load/lock) 챔버(120), 빔 툴(104) 및 장비 프론트 엔드 모듈(equipment front end module)(EFEM)(106)을 포함하고 있다. 빔 툴(100)은 주 챔버(101) 내에 위치되어 있다. EFEM(106)은 제1 로딩 포트(loading port)(106a) 및 제2 로딩 포트(30b)를 포함하고 있다. EFEM(106)은 부가적인 로딩 포트(들)를 포함할 수 있다. 제1 로딩 포트(106a) 및 제2 로딩 포트(106b)는 검사될 웨이퍼 (예를 들어, 반도체 웨이퍼 또는 다른 재료(들)로 만들어진 웨이퍼) 또는 샘플 (웨이퍼와 샘플은 교체 사용될 수 있다)을 담고 있는 웨이퍼 전방 개구 통합 포드(front opening unified pod)(FOUP)를 수용할 수 있다. "로트(lot)"는 배치(batch)로 처리하기 위하여 로딩될 수 있는 복수의 웨이퍼이다.
EFEM(1060) 내의 1 이상의 로봇 아암(robotic arm)(보이지 않음)은 웨이퍼를 로드/록 챔버(102)로 이송할 수 있다. 로드/록 챔버(102)는 대기압 아래의 제1 압력에 도달하도록 로드/록 챔버(102) 내의 가스 분자를 제거하는 로드/록 진공 펌프 시스템 (보이지 않음)에 연결되어 있다. 제1 압력에 도달한 후, 하나 이상의 로봇 아암 (보이지 않음)은 로드/록 챔버(102)로부터 주 챔버(101)로 웨이퍼를 이송할 수 있다. 주 챔버(101)는 주 챔버 진공 펌프 시스템 (보이지 않음)에 연결되어 있으며, 이 펌프 시스템은 제1 압력 아래의 제2 압력에 도달하도록 주 챔버(101) 내의 가스 분자를 제거한다. 제2 압력에 도달한 후, 웨이퍼는 전자 빔 툴(104)에 의해 검사를 받는다. 빔 툴(104)은 단일 빔 시스템 또는 다중-빔 시스템일 수 있다.
컨트롤러(109)는 빔 툴(104)에 전자적으로 연결되어 있다. 컨트롤러(109)는 EBI 시스템(100)의 다양한 제어를 실행하도록 구성된 컴퓨터일 수 있다. 컨트롤러(109)는 도 1에서 주 챔버(101), 로드/록 챔버(102) 및 EFEM(106)을 포함하고 있는 구조체의 외부에 있는 것으로 보여지고 있지만, 컨트롤러(109)는 구조체의 일부일 수 있다는 점이 인식된다.
일부 실시예에서, 컨트롤러(109)는 하나 이상의 프로세서 (보이지 않음)를 포함할 수 있다. 프로세서는 정보를 다루거나 처리할 수 있는 일반적인 또는 특정의 전자 디바이스일 수 있다. 예를 들어, 프로세서는 중앙 처리 유닛 (또는 "CPU"), 그래픽 처리 유닛 (또는 "GPU"), 광학 프로세서, 프로그램 가능한 로직 컨트롤러, 마이크로컨트롤러, 마이크로프로세서, 디지털 신호 프로세서, 지적 재산(IP) 코어, 프로그램 가능한 로직 어레이(PLA), 프로그램 가능한 어레이 로직(PAL), 일반 어레이 로직(GAL), 복합 프로그램 가능한 로직 디바이스(CPLD), 필드-프로그램 가능한 게이트 어레이(FPGA), 시스템 온 칩(SoC), 주문형 집적회로(ASIC) 및 데이터 처리가 가능한 임의 유형의 회로 중 임의의 수의 임의의 조합을 포함할 수 있다. 프로세서는 또한 네트워크를 통해 연결된 다수의 기계 또는 디바이스에 걸쳐 분산된 하나 이상의 프로세서를 포함하는 가상 프로세서일 수 있다.
일부 실시예에서, 컨트롤러(109)는 하나 이상의 메모리 (보이지 않음)를 더 포함할 수 있다. 메모리는 (예를 들어, 버스를 통해) 프로세서에 의해 액세스 가능한 코드 및 데이터를 저장할 수 있는 일반적인 또는 특정의 전자 디바이스일 수 있다. 예를 들어, 메모리는 랜덤 액세스 메모리(RAM), 독출 전용 메모리(ROM), 광학 디스크, 자기 디스크, 하드 드라이브, 솔리드 스테이트 드라이브, 플래시 드라이브, 보안 디지털(SD) 카드, 메모리 스틱, 콤팩트 플래시(CF) 카드 또는 임의 유형의 저장 디바이스 중 임의의 수의 임의의 조합을 포함할 수 있다. 코드는 운영 체제(OS) 및 특정 작업을 위한 하나 이상의 응용 프로그램 (또는 "앱(apps)")을 포함할 수 있다. 메모리는 또한 네트워크를 통해 연결된 다수의 기계 또는 디바이스에 걸쳐 분산된 하나 이상의 메모리를 포함하는 가상 메모리일 수 있다.
도 2는 본 발명의 실시예에 따른 예시적인 이미징 시스템(200)을 도시하고 있다. 도 2의 전자 빔 툴(104)은 EBI 시스템(100)에서의 사용을 위하여 구성될 수 있다. 전자 빔 툴(104)은 단일 빔 장치 또는 다중-빔 장치일 수 있다. 도 2에서 보여지는 바와 같이, 전자 빔 툴(104)은 전동식 샘플 스테이지(201), 및 전동식 샘플 스테이지(201)에 의해 지지되어 검사될 웨이퍼(203)를 유지시키는 웨이퍼 홀더(402)를 포함하고 있다. 전자 빔 툴(104)은 대물렌즈 조립체(204), (전자 센서 표면(206a 및 206b)을 포함하는) 전자 검출기(206), 대물 어퍼처(208), 집광 렌즈(210), 빔 제한 어퍼처(212), 건(gun) 어퍼처(214), 애노드(216) 및 캐소드(218)를 더 포함하고 있다. 일부 실시예에서, 대물렌즈 조립체(204)는 변형된 스윙 대물 지연 침지 렌즈 (swing objective retarding immersion lens)(SORIL)를 포함할 수 있으며, 이 렌즈는 극편(pole piece)(204a), 제어 전극(204b), 편항기(204c) 및 여기(exciting) 코일(204d)을 포함하고 있다. 전자 빔 툴(104)은 웨이퍼(203) 상의 재료를 특성화하기 위해 에너지 분산형 X-선 스펙트로미터(EDS) 검출기 (보이지 않음)를 부가적으로 포함할 수 있다.
일차 전자 빔(220)은 애노드(216)와 캐소드(218) 사이에 가속 전압을 인가함으로써 캐소드(218)로부터 방출된다. 일차 전자 빔(220)은 건 어퍼처(214)와 빔 제한 어퍼처(212)를 통과하며, 이 둘 모두는 집광 렌즈(410)로 들어가는 전자 빔의 크기를 결정할 수 있고, 집광 렌즈는 빔 제한 어퍼처(212) 아래에 있다. 빔이 대물 어퍼처(208)로 들어가기 전에 집광 렌즈(210)는 일차 전자 빔(220)을 집속하여 대물렌즈 조립체(204)로 들어가기 전에 전자 빔의 크기를 설정한다. 편항기(204c)는 일차 전자 빔(220)을 편향시켜 웨이퍼 상에서의 빔 스캐닝을 용이하게 한다. 예를 들어, 스캐닝 공정에서, 편항기(204c)는 상이한 시점에서 웨이퍼(203)의 최상부 표면의 상이한 위치들로 일차 전자 빔(220)을 순차적으로 편향시키도록 제어되어 웨이퍼(203)의 상이한 부분에 대한 이미지 재구성을 위한 데이터를 제공할 수 있다. 더욱이, 편항기(204c)는 또한 상이한 시점들에서, 특정 위치에서 웨이퍼(203)의 상이한 측면들 상으로 일차 전자 빔(220)을 편향시키도록 제어되어 이 위치에서의 웨이퍼 구조체의 스테레오 이미지(stereo image) 재구성을 위한 데이터를 제공할 수 있다. 또한, 일부 실시예에서, 애노드(216)와 캐소드(218)는 다수의 일차 전자 빔(220)을 생성할 수 있으며, 전자 빔 툴(104)은 다수의 일차 전자 빔(220)을 웨이퍼의 상이한 부분들/측면들로 동시에 투영시키기 위해 복수의 편항기(204c)를 포함하여 웨이퍼(203)의 상이한 부분들에 대한 이미지 재구성을 위한 데이터를 제공할 수 있다.
여기 코일(204d)과 극편(204a)은 극편(204a)의 한 종단에서 시작하여 극편(204a)의 다른 종단에서 끝나는 자기장을 생성한다. 일차 전자 빔(220)에 의해 스캐닝되는 웨이퍼(203)의 일부는 자기장에 잠길 수 있으며 전기적으로 하전될 수 있고, 이는 결과적으로 전계를 생성한다. 전계는 웨이퍼(203)와 충돌하기 전에 웨이퍼(203)의 표면 근처의 충돌하는 일차 전자 빔(220)의 에너지를 감소시킨다. 극편(204a)로부터 전기적으로 분리된 제어 전극(204b)은 웨이퍼(203) 상의 전계를 제어하여 웨이퍼(203)의 마이크로-아크(micro-arching)를 방지하고 적절한 빔 초점을 보장한다.
이차 전자 빔(222)은 일차 전자 빔(220)을 받아들일 때 웨이퍼(203)의 일부로부터 방출될 수 있다. 이차 전자 빔(222)은 전자 검출기(206)의 센서의 표면(206a 및 206b) 상에 빔 스폿을 형성할 수 있다. 전자 검출기(206)는 빔 스폿의 세기를 나타내는 신호 (예를 들어, 전압, 전류 등)를 생성하고 신호를 이미지 처리 시스템(250)에 제공할 수 있다. 이차 전자 빔(222)의 세기 및 결과적인 빔 스폿은 웨이퍼(203)의 외부 또는 내부 구조에 따라 변할 수 있다. 더욱이, 위에서 논의된 바와 같이, 일차 전자 빔(220)은 웨이퍼의 최상부 표면의 상이한 위치들 또는 특정 위치에서의 웨이퍼의 상이한 측면 상으로 투영되어 상이한 세기의 이차 전자 빔(222) (및 결과적인 빔 스폿)을 생성할 수 있다. 따라서, 빔 스폿의 세기를 웨이퍼(203)의 위치와 매핑함으로써, 처리 시스템은 웨이퍼(203)의 내부 또는 외부 구조체를 반영하는 이미지를 재구성할 수 있다.
이미징 시스템(200)은 전동식 샘플 스테이지(201) 상의 웨이퍼(203)를 검사하기 위해 사용될 수 있으며, 위에서 설명된 바와 같이 전자 빔 툴(104)을 포함하고 있다. 이미징 시스템(200)은 또한 이미지 획득기(260), 저장부(270), 및 컨트롤러(109)를 포함하는 이미지 처리 시스템(250)을 포함할 수 있다. 이미지 획득기(260)는 하나 이상의 프로세서를 포함할 수 있다. 예를 들어, 이미지 획득기(260)는 컴퓨터, 서버, 메인프레임 호스트, 단말기, 개인용 컴퓨터, 임의의 종류의 모바일 컴퓨팅 디바이스 등, 또는 이들의 조합을 포함할 수 있다. 이미지 획득기(260)는 전기 전도체, 광섬유 케이블, 휴대용 저장 매체, IR, 블루투스, 인터넷, 무선 네트워크, 무선 라디오, 또는 이들의 조합과 같은 매체를 통해 전자 빔 툴(104)의 검출기(206)와 연결될 수 있다. 이미지 획득기(260)는 검출기(206)로부터 신호를 수신할 수 있으며 이미지를 구성할 수 있다. 이미지 획득기(260)는 따라서 웨이퍼(203)의 이미지를 획득할 수 있다. 이미지 획득기(260)는 또한 윤곽을 생성하는 것, 획득된 이미지 상에서 지시자들을 중첩시키는 것 등과 같은 다양한 후처리 기능을 수행할 수 있다. 이미지 획득기(260)는 획득된 영상의 밝기, 콘트라스트 등의 조정을 수행할 수 있다. 저장부(270)는 하드 디스크, 클라우드 스토리지(cloud storage), 랜덤 액세스 메모리(RAM), 다른 유형의 컴퓨터 판독 가능한 메모리 등과 같은 저장 매체일 수 있다. 저장부(270)는 이미지 획득기(260)와 결합될 수 있으며 스캐닝된 미가공 이미지 데이터를 원본 이미지로서, 그리고 후처리된 이미지를 저장하기 위하여 사용될 수 있다. 이미지 획득기(260)와 저장부(270)는 컨트롤러(109)에 연결될 수 있다. 일부 실시예에서, 이미지 획득기(260), 저장부(270), 및 컨트롤러(109)는 하나의 제어 유닛으로서 함께 통합될 수 있다.
일부 실시예에서, 이미지 획득기(260)는 검출기(206)로부터 수신된 이미징 신호를 기반으로 샘플의 하나 이상의 이미지를 획득할 수 있다. 이미징 신호는 하전 입자 이미징을 수행하기 위한 스캐닝 동작에 대응할 수 있다. 획득된 영상은 복수의 이미징 구역을 포함하는 단일 이미지일 수 있다. 단일 이미지는 저장부(270)에 저장될 수 있다. 단일 이미지는 복수의 영역으로 분할될 수 있는 원본 이미지일 수 있다. 영역들의 각각은 웨이퍼(203)의 피처를 포함하는 하나의 이미징 구역을 포함할 수 있다.
웨이퍼 접지는 웨이퍼(203)로부터 웨이퍼 홀더(202)로 전하를 전도시킴으로써 구현되어 웨이퍼(203)를 전기적으로 중성이 되게 할 수 있다. 웨이퍼 접지를 수행하기 위해 관통 방법 또는 전기적 방법이 사용될 수 있다. 이 방법들에서, 웨이퍼는 웨이퍼 상에 배치될 수 있으며, 그의 배면은 웨이퍼 스테이지에 의하여 지지된다. (예를 들어, 보호 코팅부로서의 기능을 하는) 배면 필름은 웨이퍼 기판의 배면의 표면 상에 존재할 수 있다. 배면 필름은 비-전도성일 수 있다. 전도성 재료 (예를 들어, 금속)로 만들어진 2개 이상의 접지 핀이 배면 필름을 완전히 관통하지 않고 배면 필름을 가압할 수 있다.
전기적 재핑(electrical zapping) 방법에서, 전기 신호는 제1 절연 파괴(dielectric breakdown)를 통하여 제1 접지 핀으로부터 배면 필름을 통해 웨이퍼로 들어갈 수 있으며 또한 제2 절연 파괴를 통하여 제2 접지 핀으로 배면 필름을 통해 웨이퍼를 빠져나갈 수 있다. 절연 파괴는 배면 필름을 통해, "바이어스 경로"로 지칭될 수 있는 안정적인 전기 경로 (예를 들어, 직류(DC) 경로)를 형성하게 할 수 있다. 바이어스 경로는 실효 저항을 가질 수 있다. 예를 들어, 배면 필름의 저항은 실효 저항에 기여할 수 있다.
전기적 재핑 동안, 센서는 제1 접지 핀과 제2 접지 핀 사이의 실효 저항을 측정하기 위해 사용될 수 있다. 웨이퍼 접지 시스템에 연결된 컨트롤러는 임계 조건이 충족 (예를 들어, 실효 저항이 예정된 저항보다 작거나 이와 동일하다)되는지 여부를 결정할 수 있다. 임계 조건이 충족되면 컨트롤러는 전기 신호 생성기가 접지 신호를 생성하는 것을 중지하도록 할 수 있다. 그렇지 않으면, 컨트롤러는 전기 신호 생성기가 예정된 기간 (예를 들어, 수에서 수십 밀리초) 동안 접지 신호를 계속 생성하도록 할 수 있다. 예정된 저항과의 실효 저항의 각각의 이러한 비교는 웨이퍼 접지의 "사이클(cycle)"로 지칭될 수 있다. 일반적으로, 임계 조건이 충족되기 전까지 몇 사이클이 걸릴 수 있다.
바이어스 경로의 실효 저항이 예정된 저항보다 작거나 이와 동일할 때, 웨이퍼 접지가 완료될 수 있다. 컨트롤러는 그후 접지 핀들을 전기 신호 생성기로부터 전기적으로 분리할 수 있으며 그리고 이들을 웨이퍼 바이어스 공급부에 전기적으로 연결할 수 있다. 웨이퍼 바이어스 공급부는 웨이퍼 바이어싱을 위한 바이어스 경로를 통해 웨이퍼 기판에 저전압 전기 신호를 제공할 수 있다.
전기적 재핑 방법의 문제는 웨이퍼 접지를 완료하기 전에 컨트롤러가 전기 신호 생성기가 동일한 진폭, 동일한 주파수와 같은 동일한 접지 신호를 동일한 예정된 기간 동안 계속 생성하게 할 수 있다는 것이다. 이러한 전기 신호는 웨이퍼 접지를 위해 최적화되지 않을 수 있다. 예를 들어, 절연 파괴를 유발하기 위해 진폭은 필요 이상으로 높을 수 있으며, 주파수가 필요 이상으로 높을 수 있고, 또는 지속 시간이 필요 이상으로 길 수 있다. 최적화되지 않은 전기 신호는 배면 필름의 입자들에 동력을 공급하고 이들을 배면의 표면에서 자유롭게 할 수 있다. 입자는 웨이퍼와 웨이퍼 스테이지를 둘러싸고 있는 진공 챔버로 들어갈 수 있으며, 이는 오염을 유발하고 유지 보수 비용을 올릴 수 있다. 또한, 최적화되지 않은 전기 신호는 접지 핀의 수명을 단축시킬 수 있으며, 이는 또한 유지 관리 비용을 올릴 수 있다.
전기적 재핑 방법의 또 다른 문제는 접지 신호가 다른 유형의 웨이퍼에 대해 조정 가능하지 않을 수 있다는 것이다. 접지 신호는 예정된 신호 프로파일 (예를 들어, 구형파)을 가질 수 있다. 예정된 신호 프로파일은 적용될, 예정된 진폭, 예정된 주파수, 또는 예정된 기간을 가질 수 있다. 예정된 신호 프로파일의 이러한 특성은 조정 가능하지 않을 수 있다. 그러나 다른 제조업체는 상이한 유형의 웨이퍼들을 제조할 수 있으며 상이한 유형의 웨이퍼들은 상이한 유형의 배면 필름을 가질 수 있다. 예를 들어, 상이한 유형의 배면 필름은 산화물, 실리콘 질화물, 또는 다른 재료와 같은 상이한 재료일 수 있다. 또 다른 예를 들어, 상이한 유형들의 배면 필름은 상이한 두께, 경도 또는 전도율 (예를 들어, 절연성 또는 높은 고저항성)을 가질 수 있다. 최적화된 전기 신호는 상이한 유형의 웨이퍼에 대하여 특성을 변화시킬 수 있다. 조정 가능하지 않는 신호 프로파일로, 접지를 위한 전기 신호의 신호 프로파일을 다양한 유형의 웨이퍼에 대해 최적화된 것으로 설정하는 것이 어려울 것이며, 따라서 앞서 언급된 문제를 야기한다.
전기적 재핑 방법의 또 다른 문제는 접지 신호의 신호 프로파일이 전형적으로 펄스, 예를 들어 구형파라는 것이다. 테스트는 펄스 신호가 더 많은 수의 배면 필름의 입자를 진공 챔버 내로 풀려지게 할 수 있다는 것을 보여주고 있다.
전기적 재핑 방법의 또 다른 문제는 배면 필름에서의 접지 핀의 접촉 위치 ("접지 위치")가 전형적으로 고정된다는 것이다. 접지 핀이 웨이퍼에 대하여 가압될 때, 양호하지 않은 접촉 또는 다른 이유로 인하여 웨이퍼가 접지될 수 없다는 가능성이 있다. 그러나 웨이퍼 스테이지는 웨이퍼의 위치를 조정하기 위한 메커니즘이 없을 수 있기 때문에 접지 위치를 조정하는 것이 어려울 수 있다. 웨이퍼를 성공적으로 접지시킬 가능성을 증가시키기 위해, 기존 시스템은 배면 필름을 가압하기 위하여 다수의 (예를 들어, 2개보다 많은) 접지 핀을 사용할 수 있다. 다수의 접지 핀 중 임의의 2개의 접지 핀이 전기 경로를 형성한다면, 웨이퍼 접지는 이 2개의 접지 핀을 사용하여 완료될 수 있다. 그럼에도 불구하고, 접지 핀들 중 어느 것도 전기 경로를 형성할 수 없다면, 웨이퍼가 접지될 수 없으며 폐기물로서 폐기될 수 있다. 이는 생산의 생산성과 제조의 효율성을 감소시킬 수 있다. 또한, 다수의 접지 핀을 사용함으로써 시스템의 복잡성을 증가시킬 수 있다.
도 3은 본 개시의 일부 실시예와 일치하는, 웨이퍼 접지의 예시적인 시스템(300)의 도면이다. 시스템(300)은 접지 핀의 수명을 개선하거나 스퍼터링된 입자를 감소시키는 것과 같은 다양한 이점을 갖고 웨이퍼를 접지하기 위해 사용될 수 있다. 도 3에서, 본 시스템(300)은 적어도, 웨이퍼를 유지시키기 위한 서브시스템(302), 전기 신호 생성기(314), 센서(316), 컨트롤러(322), 및 제어 매개변수 최적화기(324)를 포함하고 있다.
서브시스템(302)은 정전 홀더(306) 및 웨이퍼(304)를 포함하고 있다. 일부 실시예에서, 웨이퍼(304)는 도 2의 웨이퍼(203)일 수 있으며, 정전 홀더(306)는 도 2의 웨이퍼 홀더(202)일 수 있다. 웨이퍼(304)는 배면 필름(308)을 포함하고 있다.
배면 필름(308)은 비전도성일 수 있다. 정전 홀더(306)는 적어도 하나의 전극(310)을 포함할 수 있다. 대전될 때, 전극(310)은 정전기 장을 통해 웨이퍼(304)를 정전 홀더(306)에 끌어당기고 고정시킬 수 있다. 서브시스템(302)은 적어도 하나의 접지 핀 (또는 "프로브")(312)을 더 포함하고 있다. 접지 핀(312)은 전기 신호 생성기(314)와 웨이퍼(304) 사이에 전기적으로 연결될 수 있다. 일부 실시예에서, 접지 핀(312)은 배면 필름(308)을 완전히 관통하지 않고 배면 필름(308)에 대해 가압될 수 있다. 접지 핀(312)은 전기 신호 생성기(314)와 센서(316)에 전기적으로 연결될 수 있다.
전기 신호 생성기(314)는 웨이퍼(304)를 접지하기 위한 접지 신호를 생성할 수 있다. 일부 실시예에서, 전기 신호 생성기(314)는 드라이버이다. 접지 신호는 배면 필름(308)을 통해 접지 핀(312)과 웨이퍼(304) 간의 절연 파괴를 야기하기 위한 고전압을 가질 수 있다. 센서(316)는 전기 절연 파괴(electric breakdown)와 연관된 전기적 특성(320)을 검출 또는 측정하기 위해 사용될 수 있다. 예를 들어, 전기적 특성(320)은 임피던스, 저항, 용량성 리액턴스, 어드미턴스(admittance), 컨덕턴스, 또는 용량성 서셉턴스(capacitive susceptance) 중 적어도 하나를 포함할 수 있다. 일부 실시예에서, 전기적 특성(320)은 접지 핀과 웨이퍼를 포함하는 전기 경로와 연관될 수 있다. 예를 들어, 서브시스템(302)은 단일 핀 시스템일 수 있으며, 이 시스템은 단일 접지 핀(312)을 포함하고 있다. 단일-핀 시스템에서, 센서(316)는 웨이퍼(304)에 전기적으로 연결될 수 있으며, 배면 필름(308)을 통해 접지 핀(312)과 웨이퍼(304) 사이의 전기 절연 파괴의 전기적 특성(320)을 측정할 수 있다.
또 다른 예를 들어, 서브시스템(302)은 다중-핀 시스템일 수 있으며, 이 시스템은 다수의 접지 핀(312)을 포함하고 있다. 도 4는 본 발명의 일부 실시예와 일치하는, 예시적인 다중-핀 웨이퍼 접지 시스템(400)의 도면이다. 시스템(400)은 웨이퍼(304), 정전 홀더(306), 배면 필름(308), 전극(310), 및 다수의 접지 핀(312)을 포함하고 있다. 일부 실시예에서, 서브시스템(302)은 시스템(400)으로 대체될 수 있다. 다중-핀 시스템에서, 예를 들어, 전기 신호 생성기(314)는 제1 접지 핀에 전기적으로 연결될 수 있으며, 센서(316)는 제2 접지 핀에 전기적으로 연결될 수 있다. 접지 신호는 제1 접지 핀을 통해 웨이퍼(304)에 들어갈 수 있으며 제2 접지 핀을 통해 나갈 수 있다. 도 3의 센서(316)는 제1 전기 절연 파괴와 제2 전기 절연 파괴의 전기적 특성(320)을 측정할 수 있으며, 여기서 제1 전기 절연 파괴는 배면 필름(308)을 통해 제1 접지 핀과 웨이퍼(304) 사이에 있고, 제2 전기 절연 파괴는 배면 필름(308)을 통해 웨이퍼(304)와 제2 접지 핀 사이에 있다. 일부 실시예에서, 용량성 커플링(capacitive coupling)이 웨이퍼(304)와 웨이퍼 스테이지 상의 전도체 (정전 홀더(306) 또는 도 3의 전극(310)) 사이에 형성될 수 있다. 예를 들어, 웨이퍼와 웨이퍼 스테이지 상의 전도체는 커패시터를 형성할 수 있다. 용량성 커플링은 접지 신호에 대한 전기 경로 ("복귀 경로")로 사용될 수 있다. 용량성 커플링의 전기적 연결성은 접지 핀(312)들 중 적어도 하나를 통해 들어오는 교류(AC) 신호를 이용하여 점검될 수 있다. AC 신호가 흐르고 전류 루프를 형성할 때, 컨트롤러(322)는 용량성 커플링이 구축되었다는 것을 결정할 수 있다. 일부 실시예에서, 접지 핀(312)과 웨이퍼(304) 간의 전기적 연결의 품질은 AC 신호를 측정함으로써 검증될 수 있다.
다시 도 3을 참조하면, 컨트롤러(322)는 전기 신호 생성기(314)에 전기적으로 연결될 수 있으며 이 전기 신호 생성기를 제어하여 접지 신호 및 AC 신호를 생성할 수 있다. 일부 실시예에서, 컨트롤러(322)는 도 1 및 도 2의 컨트롤러(109)의 일부로서 구현될 수 있다. 일부 실시예에서, 컨트롤러(322)는 소프트웨어 모듈 또는 하드웨어 모듈과 같은, 컨트롤러(109)와 독립적인 컨트롤러로서 구현될 수 있다. 접지 신호 및 AC 신호의 특성은 컨트롤러(322)에 의해 출력되는 제어 매개변수에 의해 제어될 수 있다. 접지 신호 및 AC 신호의 특성은 전압, 전류, 전압 또는 전류의 프로파일, 프로파일의 주파수, 프로파일의 주기, 프로파일의 위상, 프로파일의 진폭, 또는 전압 또는 전류의 지속 시간 중 적어도 하나를 포함할 수 있다. 일부 실시예에서, 접지 신호의 프로파일은 정현파 형상일 수 있다. 구형파 신호와 비교할 때, 정현파 신호(예를 들어, 정현파 고전압 신호)는 동력이 공급되고 배면 필름(308)의 표면으로부터 자유로워지는 스퍼터링된 입자의 수를 상당히 감소시킬 수 있다. 접지 신호 및 AC 신호의 특성을 제어하는 것은, 예를 들어 절연 파괴를 유발하는 데 최소한으로 요구되는 전압 또는 지속 시간으로 접지 신호를 생성하는 것에 의하여 접지 공정의 성능을 최적화할 수 있다. 상이한 유형의 웨이퍼(304)에 따라, 컨트롤러(322)에 의해 출력된 제어 매개변수들은 상이할 수 있다. 따라서, 접지 신호와 AC 신호가 조절 가능할 수 있으며, 접지 핀(312)의 수명이 개선될 수 있다.
제어 매개변수 최적화기(324)는 컨트롤러(322)에 대한 제어 매개변수를 최적화할 수 있다. 일부 실시예에서, 컨트롤러(322)는 적어도 전기적 특성(320)을 이용하여 실시간 제어 매개변수(326)를 생성할 수 있다. 예를 들어, 컨트롤러(322)는 전기적 특성(320)의 값 및 전기적 특성(320)의 목표값(328)을 수신할 수 있으며 실시간 제어 매개변수(326)를 생성할 수 있다. 일부 실시예에서, 목표값(328)은 데이터베이스 내에 (예를 들어, 컨트롤러(322)에 의해 액세스 가능한 메모리 내)에 저장될 수 있으며, 컨트롤러(322)는 요청 시 데이터베이스로부터 이를 검색할 수 있다. 목표값(328)은 동일한 유형의 전기적 특성(320)의 값일 수 있으며, 접지 상태를 나타내기 위해 미리 결정될 수 있다. 일부 실시예에서, 컨트롤러(322)가 실시간 제어 매개변수(326)를 결정하기 전에 전기적 특성(320)의 값은 목표값(328)과 비교될 수 있다. 예를 들어, 전기적 특성(320)이 유효 저항값인 경우, 목표값(328)은 목표 유효 저항값일 수 있다. 전기적 특성(320)의 값이 목표 유효 저항값보다 낮거나 이와 같으면, 컨트롤러(322)는 유전 파괴가 발생하였고 웨이퍼(304)가 접지된다는 것을 결정할 수 있으며 또한 전기 신호 생성기(314)를 제어하여 접지 신호를 생성하는 것을 중지시킬 수 있다. 전기적 특성(320)의 값이 목표 유효 저항값보다 높거나 이와 같으면, 컨트롤러(322)는 절연 파괴가 발생하지 않았다는 것을 결정할 수 있으며 또한 제어 매개변수 최적화기(324)에 의하여 최적화될 실시간 제어 매개변수(326)를 생성할 수 있다. 제어 매개변수 최적화기(324)는 실시간 제어 매개변수(326)를 최적화할 수 있으며 최적화된 제어 매개변수(330)를 컨트롤러(322)에 출력할 수 있다. 컨트롤러(322)는 최적화된 제어 매개변수(330)를 이용하여 전기 신호 생성기(314)를 제어하고 의도된 특성을 갖는 접지 신호를 생성할 수 있다.
제어 매개변수 최적화기(324)는 다양한 입력을 기반으로 실시간 제어 매개변수(326)를 최적화할 수 있다. 입력은 적어도 초기 매개변수(326), 전기적 특성(320)의 값, 목표값(328), 및 웨이퍼 유형(332)을 포함할 수 있다. 일부 실시예에서, 웨이퍼 유형(332)은 목표값(328)을 저장하는 동일한 데이터베이스와 같은 데이터베이스에 저장될 수 있다. 일부 실시예에서, 제어 매개변수 최적화기(324)는 다른 입력 데이터를 포함하여 실시간 제어 매개변수(326)를 최적화할 수 있다. 웨이퍼 유형(332)은 웨이퍼(304)의 유형을 나타낼 수 있다. 예를 들어, 웨이퍼 유형(332)은 재료의 유형, 배면 필름(308)의 두께, 경도 또는 전도도, 웨이퍼(304)의 전기적 특성 등을 나타낼 수 있다. 일부 실시예에서, 제어 매개변수 최적화기(324)는 전기적 특성(320)의 값, 실시간 제어 매개변수(326), 목표값(328) 및 웨이퍼 유형(332)을 입력으로서 이용하여 최적화된 매개변수(330)를 결정하기 위하여 기계 학습 기술을 사용할 수 있다. 기계 학습 기술은, 예를 들어 신경망을 포함할 수 있다. 기계 학습 기술은 비지도 학습 모델(unsupervised learning model) 또는 지도 학습 모델(supervised learning model)일 수 있다.
일부 실시예에서, 기계 학습 기술은 지도 학습 모델일 수 있으며, 이는 다양한 웨이퍼 유형(332), 전기적 특성(320)의 측정값 및 전기적 특성(320)의 목표값(328)의 조건 하에서 실시간 제어 매개변수를 최적화하도록 트레이닝될 수 있다. 일부 실시예에서, 지도 학습 모델을 트레이닝하기 위해, 다양한 웨이퍼 유형(332), 전기적 특성(320)의 측정값, 및 전기적 특성(320)의 목표값(328)에 대응하는 공지된 매개변수 (예를 들어, 기계 학습 기술을 이용하지 않은 튜닝된 매개변수)의 정보가 진정한(true) 또는 정확한 분류를 나타내는 레이블 (실측 자료 레이블(ground truth label))로 사용될 수 있다- 예를 들어, 이러한 정보는 데이터베이스에 로그 파일(log files)로서 저장될 수 있다. 트레이닝 중에, 초기 제어 매개변수가 생성되고 지도 학습 모델에 공급될 수 있다. 지도 학습 모델의 출력은 실측 자료 레이블과 비교될 수 있다. 그들이 다르다면, 지도 학습 모델의 매개변수는 업데이트될 수 있다. 이러한 비교의 정확성 비율이 예정된 수준 (예를 들어, 95%)을 초과한다면, 지도 학습 모델이 트레이닝되었다는 것이 결정될 수 있다.
트레이닝된 지도 학습 모델은 다양한 웨이퍼 유형(332), 전기적 특성(320)의 측정값, 및 전기적 특성(320)의 목표값(328)을 기반으로, 최적화된 제어 매개변수(330)를 결정하기 위하여 사용될 수 있다. 예를 들어, 웨이퍼 접지의 제1 사이클에서, 컨트롤러(322)는 전기 신호 생성기(314)를 제어하기 위해 최적화된 제어 매개변수(330)를 수신하여 전압의 의도된 주파수 또는 전압의 의도된 진폭과 같은 의도된 특성을 갖는 정현파 접지 신호를 생성할 수 있다. 센서(316)는 전기적 특성(320)의 제1 값을 측정할 수 있다. 컨트롤러(322)는 전기적 특성(320)의 제1 값을 수신할 수 있으며 데이터베이스로부터 목표값(328)을 검색할 수 있다. 전기적 특성(320)의 제1 값이 목표값(328)보다 높다는 비교를 기반으로, 컨트롤러(322)는 실시간 제어 매개변수(326)를 생성할 수 있다. 제어 매개변수 최적화기(324)는 실시간 제어 매개변수(326) 및 전기적 특성(320)의 제1 값을 수신할 수 있으며, 데이터베이스로부터 목표값(328) 및 웨이퍼 유형(332)을 추가로 검색할 수 있다. 이들을 트레이닝된 지도 학습 모델에 입력함으로써, 제어 매개변수 최적화기(324)는 최적화된 제어 매개변수(330)를 출력할 수 있다. 컨트롤러(322)는 전기 신호 생성기(314)를 제어하기 위해 최적화된 제어 매개변수(330)를 수신하여 웨이퍼 접지의 제2 사이클에서 접지 신호의 특성을 변화시킬 수 있다.
일부 실시예에서, 웨이퍼 접지의 상이한 사이클에서의 최적화된 제어 매개변수(330)는 상이할 수 있다. 예를 들어, 최적화된 제어 매개변수(330)는 센서(316)에 의해 측정된 전기적 특성(320)의 값에 좌우될 수 있다. 예를 들어, 앞서 언급된 예에서의 웨이퍼 접지의 제2 사이클에서, 컨트롤러(322)가 전기 신호 생성기(314)를 제어하여 접지 신호의 특성을 변경한 후에 센서(316)가 전기적 특성(320)의 제2 값을 수신할 수 있다. 제2 값은 웨이퍼 접지의 제1 사이클에서 센서(316)에 의해 측정된 제1 값보다 낮을 수 있지만 목표값(328)보다 여전히 높을 수 있으며, 이는 절연 파괴가 완전히 발생하지 않았지만 접지 신호의 제어된 전압은 그의 발생의 가능성을 증가시켰다는 것을 나타낼 수 있다. 이 상황에서, 접지 핀의 수명을 과도하게 높은 전압으로부터 보호하면서 절연 파괴의 발생을 보장하기 위해 접지 신호의 전압은 낮아질 수 있다. 제어 매개변수 최적화기(324)는 웨이퍼 접지의 제3 사이클에 대한 최적화된 제어 매개변수(330)를 결정하기 위해 제2 값을 입력할 수 있으며, 이는 웨이퍼 접지의 제2 사이클에서의 진폭과 비교하여 접지 신호의 전압의 진폭을 감소시키기 위하여 전기 신호 생성기(314)를 제어하도록 컨트롤러(322)에 지시할 수 있다.
접지 공정의 각 사이클에 대해, 최적화된 매개변수들은 상이할 수 있다. 최적화된 접지 전압 프로파일로 접지 핀의 수명이 크게 향상될 것으로 예상된다.
일부 실시예에서, 최적화된 제어 매개변수(330)는 웨이퍼 유형(332)에 따라 상이할 수 있다. 예를 들어, 웨이퍼(304)가 검사된 후, 새로운 유형의 배면 필름을 갖는 새로운 웨이퍼가 정전 홀더(306) 상에 배치될 수 있다. 새로운 유형의 배면 필름은 배면 필름(308)과 다른 전기적 특성을 가질 수 있다. 이러한 상황에서, 제어 매개변수 최적화기(324)는 데이터베이스로부터 대응하는 웨이퍼 유형을 검색할 수 있으며 새로운 웨이퍼에 대응하는 실시간 제어 매개변수를 최적화할 수 있다.
일부 실시예에서, 제어 매개변수 최적화기(324)는 컨트롤러(322)에 의해 액세스 가능한 그리고 실행 가능한 소프트웨어 모듈, 예를 들어, 컨트롤러(322)에 의해 액세스 가능한 메모리 내에 저장된 애플리케이션 프로그램 또는 코드로서 구현될 수 있다. 일부 실시예에서, 제어 매개변수 최적화기(324)는 실행 가능한 프로그램 코드를 저장하는 하드웨어 모듈로서 구현될 수 있으며, 하드웨어 모듈은 데이터 입력/출력을 위하여 컨트롤러(322)와 통신할 수 있다. 예를 들어, 하드웨어 모듈은 FPGA 또는 ASIC일 수 있다.
도 5는 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지를 위한 예시적인 방법(500)을 도시하는 흐름도이다. 본 방법(500)은 하전 입자 빔 장치 (예를 들어, EBI 시스템(100))와 결합될 수 있는 컨트롤러에 의해 수행될 수 있다. 예를 들어, 컨트롤러는 도 2의 컨트롤러(109) 또는 도 3의 컨트롤러(322)일 수 있다. 컨트롤러는 본 방법(500)을 구현하도록 프로그램될 수 있다.
단계 502에서, 컨트롤러는 전기 신호에 의해 접지되고 있는 웨이퍼와 관련된 전기적 특성의 제1 값을 수신한다. 일부 실시예에서, 전기적 특성은 도 3의 전기적 특성(320)일 수 있다. 예를 들어, 전기적 특성은 임피던스, 저항, 용량성 리액턴스, 어드미턴스, 컨덕턴스 또는 용량성 서셉턴스 중 적어도 하나를 포함할 수 있다.
전기적 특성의 제1 값은 웨이퍼 접지의 제1 사이클에서의 전기적 특성(320)의 제1 값일 수 있다. 웨이퍼는 도 3의 웨이퍼(304)일 수 있다. 전기 신호는 도 3의 전기 신호 생성기(314)에 의해 생성된 접지 신호일 수 있다. 일부 실시예에서, 센서 (예를 들어, 도 3의 센서(316))는 전기적 특성을 측정한 후에 제1 값을 생성할 수 있다. 컨트롤러는 센서로부터 제1 값을 수신할 수 있다.
일부 실시예에서, 센서는 웨이퍼를 빠져나가는 전기 신호를 전도하는 전기 경로 ("피드백 부분")의 일부에 배치될 수 있다. 일부 실시예에서, 전기적 특성은 웨이퍼 및 웨이퍼를 지지하는 웨이퍼 마운트를 포함하는 전기 경로와 연관될 수 있다. 일부 실시예에서, 전기적 특성은 웨이퍼, 웨이퍼를 지지하는 웨이퍼 마운트, 및 접지 핀을 포함하는 전기 경로와 연관된다. 예를 들어, 웨이퍼 마운트는 도 3의 정전 홀더(306)일 수 있다.
일부 실시예에서, 전기적 특성은 웨이퍼와 웨이퍼 마운트 사이의 저항 또는 웨이퍼와 웨이퍼 마운트 사이의 용량성 리액턴스 중 하나를 포함할 수 있다. 예를 들어, 단일 핀 시스템에서 접지 신호는 접지 핀(312)을 통해 웨이퍼(304)에 들어갈 수 있고 웨이퍼(304)와 정전 홀더(306) 사이의 용량 결합을 통해 웨이퍼(304)를 빠져나갈 수 있다. 이 예에서, 전기 경로는, 예를 들어 접지 핀(312), 배면 필름(308), 및 웨이퍼(304) 사이의 전기적 특성을 포함할 수 있다. 전기적 특성은 웨이퍼(304)와 정전 홀더(306) 사이의 용량성 커플링의 용량성 리액턴스를 포함할 수 있다. 또 다른 예에 대하여, 다중-핀 시스템에서, 접지 신호는 제1 접지 핀을 통해 웨이퍼(304)에 들어갈 수 있고 제2 접지 핀을 통해 나갈 수 있다. 전기 경로는, 예를 들어 제1 접지 핀(312), 배면 필름(308)과 웨이퍼(304) 사이의 전기적 특성 그리고 제2 접지 핀을 포함할 수 있다. 이 예에서, 전기적 특성은 제1 전기 절연 파괴와 제2 전기 절연 파괴의 유효 저항과 같은, 웨이퍼와 웨이퍼 마운트 사이의 저항을 포함할 수 있으며, 여기서 제1 전기 절연 파괴는 배면 필름(308)을 통해 제1 접지 핀과 웨이퍼(304) 사이에 있고, 제2 전기 절연 파괴는 배면 필름(308)을 통해 웨이퍼(304)와 제2 접지 핀 사이에 있다.
본 발명에서 전기적 특성의 유형은 한정되지 않으며 또한 본 발명의 범위를 벗어나지 않고 직류 회로 또는 교류 회로의 전기적 특성이 본 발명에서 사용될 수 있다는 점이 주목되어야 한다.
도 5를 다시 참조하면, 단계 504에서, 컨트롤러는 적어도 제1 값을 사용하여 제1 제어 매개변수를 결정한다. 예를 들어, 제1 제어 매개변수는 도 3에 도시된 바와 같이, 웨이퍼 접지의 제1 사이클에서의 최적화된 제어 매개변수(330)일 수 있다. 일부 실시예에서, 컨트롤러는 전기적 특성의 제1 값과 목표값의 비교를 기반으로 제1 제어 매개변수를 결정할 수 있다. 예를 들어, 전기적 특성의 목표값은 도 3의 목표값(328)일 수 있다. 일부 실시예에서, 비교를 기반으로 제1 제어 매개변수를 결정하기 위하여, 컨트롤러는 제1 값과 목표값의 차이가 임계 조건을 만족하는지 여부를 결정할 수 있다. 컨트롤러는 차이가 임계 조건을 만족한다는 결정을 기반으로 제1 제어 매개변수를 추가로 결정할 수 있다. 일부 실시예에서, 예를 들어, 전기적 특성이 저항인 경우, 임계 조건은 제1 값이 목표값보다 작거나 이와 동일하다는 것일 수 있다. 또한, 전기적 특성의 유형에 따라 제1 값과 목표값 사이의 임계 조건은 변경될 수 있으며, 그에 따라 본 명세서 내에 제시된 예에 제한되지 않는다는 점이 주목되어야 한다.
일부 실시예에서, 제1 제어 매개변수를 결정하기 위하여, 컨트롤러는 적어도 제1 값을 이용하여 초기 매개변수를 결정할 수 있다. 예를 들어, 초기 매개변수는 도 3의 실시간 제어 매개변수(326)일 수 있다. 컨트롤러는 그후 적어도 초기 매개변수, 제1 값, 목표값, 및 웨이퍼의 유형을 기반으로 제1 제어 매개변수를 결정할 수 있다. 예를 들어, 웨이퍼의 유형은 도 3의 웨이퍼 유형(332)일 수 있다. 일부 실시예에서, 컨트롤러는 도 3의 제어 매개변수 최적화기(324)와 같은 제어 매개변수 최적화기를 사용하여 제1 제어 매개변수를 결정할 수 있다.
일부 실시예에서, 제어 매개변수 최적화기는 기계 학습 기술을 이용하여 제1 제어 매개변수를 결정할 수 있다. 예를 들어, 기계 학습 기술에 대한 입력은 적어도 초기 매개변수, 제1 값, 목표값, 및 웨이퍼의 유형을 포함할 수 있다. 예를 들어, 도 3에서 보여지는 바와 같이, 제어 매개변수 최적화기(324)는 실시간 제어 매개변수(326), 전기적 특성의 제1 값(320), 목표값(328), 및 웨이퍼 유형(332)을 입력으로서 취할 수 있다. 제어 매개변수 최적화기(324)의 출력은 최적화된 제어 매개변수(330)일 수 있다.
도 5를 다시 참조하면, 단계 506에서, 컨트롤러는 제1 제어 매개변수와 및 제1 값을 이용하여 전기 신호의 특성을 제어한다. 예를 들어, 도 3에서 보여지는 바와 같이, 컨트롤러(322)는 최적화된 제어 매개변수(330) 및 전기적 특성(320)의 제1 값을 이용하여 전기 신호 생성기(314)에 의해 생성된 접지 신호의 특성을 제어할 수 있다. 일부 실시예에서, 전기 신호의 특성은 전압, 전류, 전압 또는 전류의 프로파일, 프로파일의 주파수, 프로파일의 주기, 프로파일의 위상, 프로파일의 진폭, 또는 전압 또는 전류의 지속 시간 중 적어도 하나를 포함한다
일부 실시예에서, 전기 신호의 프로파일은 정현파 형상일 수 있다. 구형파 프로파일과 비교하여, 정현파 프로파일을 갖는 전기 신호는 웨이퍼와 웨이퍼 마운트를 둘러싸는 진공 챔버 (예를 들어, 도 3의 서브시스템(302)을 둘러싸는 진공 챔버) 내로 스퍼터링되는 웨이퍼의 배면 필름 (예를 들어, 배면 필름(308))의 입자를 감소시킬 수 있다.
일부 실시예에서, 전기 신호의 특성을 제어하기 위하여, 컨트롤러는 제1 제어 매개변수와 제1 값을 이용하여 전기 신호의 특성의 값을 변화시킬 수 있다. 예를 들어, 컨트롤러는 접지 신호의 전압의 진폭, 주파수, 위상 또는 지속 시간을 변화시킬 수 있다.
일부 실시예에서, 동일한 유형의 웨이퍼에 대한 접지 공정 동안, 웨이퍼 접지의 사이클 수가 증가함에 따라 접지 신호의 전압은 감소할 수 있다. 예를 들어, 단계 506에서, 컨트롤러는 제1 제어 매개변수와 제1 값을 이용하여 접지 신호의 전압을 낮출 수 있다.
일부 실시예에서, 웨이퍼 접지가 완료될 때까지 단계 502 내지 506이 반복될 수 있다. 예를 들어, 웨이퍼 접지의 제1 사이클의 단계 506을 수행한 후, 컨트롤러는 전기적 특성의 제2 값을 수신할 수 있다. 컨트롤러는 적어도 제2 값을 이용하여 제2 제어 매개변수를 더 결정할 수 있다. 컨트롤러는 제2 제어 매개변수와 제2 값을 이용하여 전기 신호의 특성을 더 제어할 수 있다. 컨트롤러는 임계 조건이 만족될 때까지 본 방법(500)을 수행하는 것을 중지시킬 수 있으며, 이는 단계 504에서 설명된다. 일부 실시예에서, 제2 제어 매개변수와 제1 제어 매개변수는 상이한 값을 가질 수 있다. 이에 따라, 제2 제어 매개변수와 제1 제어 매개변수의 값들은 상이한 값을 가질 수 있다.
일부 실시예에서, 단계 502에서 설명된 바와 같이 웨이퍼와 웨이퍼 마운트를 포함하는 전기 경로의 임피던스 (예를 들어, 저항)는 예정된 임계값보다 크거나 이와 같을 수 있다. 임피던스가 예정된 임계값보다 크거나 이와 동일할 때, 컨트롤러는 전기 경로가 개방되었다는 것을 결정할 수 있다. 예를 들어, 예정된 임계값은 특히 100,000옴(ohms), 200,000옴, 300,000옴 또는 350,000옴의 저항값을 포함할 수 있다. 예정된 임계값은 웨이퍼에 대한 접지 요구 사항을 충족하는 데 필요할 수 있는 예상 전류량을 기반으로 결정될 수 있다. 임피던스가 예정된 임계값보다 크거나 이와 같을 때, 컨트롤러가 단계 506을 수행한 후에 전기 경로는 개방된 것으로 결정될 수 있다 (예를 들어, 전기 신호가 웨이퍼와 웨이퍼 마운트 사이에 거의 또는 전혀 흐르지 않을 수 있다). 임피던스가 예정된 임계값보다 크거나 이와 같을 때, 컨트롤러는 적어도 제1 값을 이용하여 제3 제어 매개변수를 결정할 수 있다. 예를 들어, 제1 값은 전기 경로가 여전히 개방되어 동안 컨트롤러가 전기 신호를 인가한 후의 전기 경로와 관련된 데이터일 수 있다. 제3 제어 매개변수와 제1 제어 매개변수는 상이한 값을 가질 수 있다. 컨트롤러는 그후 적어도 제3 제어 매개변수와 제1 값을 이용하여 전기 신호의 특성을 제어할 수 있다. 일부 실시예에서, 컨트롤러는 전기 경로가 폐쇄될 때까지 이러한 작동을 반복할 수 있다.
일부 실시예에서, 컨트롤러는 웨이퍼 접지의 상이한 사이클들에서 상이한 유형의 제어 매개변수들을 생성하고 최적화할 수 있다. 예를 들어, 앞서 언급된 제2 제어 매개변수와 제1 제어 매개변수는 상이한 유형일 수 있다. 예를 들어, 제1 제어 매개변수는 컨트롤러가 접지 신호의 진폭을 제어하기 위한 하나 이상의 매개변수일 수 있는 반면, 제2 제어 매개변수는 컨트롤러가 접지 신호의 지속 기간을 제어하기 위한 하나 이상의 매개변수일 수 있다.
도 6은 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지 위치 조정의 예시적인 시스템(600)의 도면이다. 시스템(600)은 적어도, 웨이퍼를 유지시키기 위한 서브시스템(602), 웨이퍼 접지 서브시스템(606), 및 웨이퍼 접지 위치 조정 서브시스템(608)을 포함하고 있다. 서브시스템(602)은 도 3의 서브시스템(302)과 유사할 수 있으며, 또한 웨이퍼(304), 정전 홀더(306), 배면 필름(308), 전극(310), 접지 핀(312), 및 웨이퍼 스테이지(604)를 포함하고 있다. 일부 실시예에서, 웨이퍼 스테이지(604)는 도 2의 전동식 샘플 스테이지(201)일 수 있다. 일부 실시예에서, 서브시스템(606)은 웨이퍼(304)를 접지하기 위하여 사용될 수 있고, 도 3의 시스템(300)과 유사할 수 있으며, 이에 대한 세부 사항은 이하에서 설명되지 않을 것이다. 일부 실시예에서, 서브시스템(608)은 접지 핀(312)이 배면 필름(308)과 접촉하는 위치 ("접지 위치")를 조정할 수 있다.
일부 실시예에서, 웨이퍼(304)가 정전 홀더(306)의 최상부에 장착되고 접지 핀(312)이 배면 필름(308)과 접촉할 때, 서브시스템(606)은 웨이퍼(304)가 접지될 수 있는지 여부를, 예를 들어 도 3 내지 도 5에서 설명된 공정의 사용에 의하여 테스트할 수 있다. 접지가 성공하지 못한 경우, 서브시스템(608)은 이와 같이 표시된 신호를 수신하고 접지 위치를 조정하기 위한 신호를 생성할 수 있다. 신호는 서브시스템(602)의 하나 이상의 액추에이터를 구동하여 접지 위치를 변경할 수 있다. 접지 위치가 조정될 때, 서브시스템(606)은 웨이퍼(304)가 접지될 수 있는지 여부를 계속 테스트할 수 있다. 앞서 언급된 공정은 웨이퍼(304)가 성공적으로 접지될 때까지 반복될 수 있다. 서브시스템(602)이 도 6에 다중-핀 시스템으로 도시되어 있지만, 이는 또한 (예를 들어, 도 3의 서브시스템(302)과 유사한) 단일-핀 시스템일 수도 있고 웨이퍼 접지 위치 조정이 여전히 가동할 수 있다는 점이 주목되어야 한다.
도 7은 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지 위치 조정의 예시적인 시스템(700)의 예시이다. 일부 실시예에서, 시스템(700)은 서브시스템(608)의 실시예일 수 있다. 시스템(700)은 적어도 웨이퍼를 유지시키기 위한 서브시스템(702), 컨트롤러(710), 센서(712), 및 목표 조정부(714)를 포함하고 있다. 센서(712)는 웨이퍼(304)와 접지 핀(312) 사이의 상대 위치 (예를 들어, 회전 또는 병진 위치)를 측정할 수 있다. 일부 실시예에서, 목표 조정부(714)는 서브시스템(702)의 액추에이터를 제어하기 위하여 컨트롤러(710)에 의해 사용될 매개변수의 값을 포함하여 접지 위치를 목표 위치로 조정할 수 있다. 예를 들어, 목표 위치는 회전 위치 또는 병진 위치를 포함할 수 있다. 일부 실시예에서, 목표 조정부(714)는 데이터베이스에 (예를 들어, 도 1의 시스템(100)의 하나 이상의 메모리에) 저장될 수 있다. 서브시스템(702)은 도 3의 서브시스템(302) 또는 도 6의 서브시스템(602)과 유사할 수 있으며, 웨이퍼(304), 정전 홀더(306), 배면 필름(308), 전극(310), 접지 핀(312), 웨이퍼 스테이지의 최상부 부분(704), 웨이퍼 스테이지의 최하부 부분(706), 및 리프터(708)를 포함하는 액추에이터를 포함하고 있다. 서브시스템(702)은 도 7에서 다중-핀 시스템으로서 보여지고 있다. 그러나 이는 도 3의 서브시스템(302)과 같은 단일-핀 시스템일 수도 있다. 액추에이터는 전기 액추에이터, 자기 작동기, 전자기 액추에이터, 유압 액추에이터, 기계 액추에이터, 또는 임의의 적절한 유형의 액추에이터를 포함할 수 있다. 일부 실시예에서, (최상부 부분(704) 및 최하부 부분(706)을 포함하는) 웨이퍼 스테이지는 도 2의 전동식 샘플 스테이지(201)일 수 있다.
컨트롤러(710)는 센서(712) 및, 최상부 부분(704)에 기계적으로 연결된 리프터(708)와 액추에이터 (보이지 않음)를 포함하는 액추에이터에 전기적으로 연결될 수 있다. 컨트롤러(710)는 액추에이터를 제어하여 리프터(708), 최상부 부분(704), 접지 핀(312), 또는 웨이퍼(304)를 이동시키기 위한 다른 기계적 구성 요소(예를 들어, 로봇 암)와 같은, 서브시스템(702)의 다양한 부분을 이동시킬 수 있다. 예를 들어, 컨트롤러(710)와 최상부 부분(704) 사이의 점선 화살표는 컨트롤러(710)가 액추에이터(보이지 않음)를 제어하여 최상부 부분(704)을 수평적으로, 수직적으로, 또는 양 방향으로 이동시킬 수 있다는 것을 나타낼 수 있다. 컨트롤러(710)와 리프터(708) 사이의 점선 화살표는 컨트롤러(710)가 리프터(708)를 제어하여 웨이퍼(304)를 수직적으로 이동시킬 수 있다는 것을 나타낼 수 있다. 일부 실시예에서, 컨트롤러(710)는 데이터베이스에 추가로 연결되어 액추에이터를 제어하기 위하여 매개변수를 검색할 수 있거나 센서(712)로부터 수신된 데이터를 저장할 수 있다. 예를 들어, 컨트롤러(710)는 목표 조정부(714)를 저장하는 데이터베이스에 액세스할 수 있다. 일부 실시예에서, 컨트롤러(710)는 도 1 및 도 2의 컨트롤러(109)의 일부로서 구현될 수 있다. 일부 실시예에서, 컨트롤러(710)는 소프트웨어 모듈 또는 하드웨어 모듈과 같은, 컨트롤러(109)로부터 독립된 컨트롤러로서 구현될 수 있다.
일부 실시예에서, 최하부 부분(706)은 정지 상태일 수 있으며, 최상부 부분(704)은 이동 가능할 수 있다. 예를 들어, 최상부 부분(704)은 (예를 들어, 수직 방향, 수평 방향, 또는 둘 모두를 따라) 이동 가능하거나 수평 방향으로 회전 가능하거나, 또는 둘 모두 가능할 수 있다. 일부 실시예에서, 정전 홀더(306)는 최상부 부분(704)에 고정될 수 있으며 또한 이와 함께 이동 가능할 수 있다. 일부 실시예에서, 최상부 부분(704)은 정전 홀더(306)를 통해 웨이퍼(304)를 지지할 수 있으며 또한 접지 핀(312)을 고정시킬 수 있다. 예를 들어, 접지 핀의 종단은 최상부 부분(704)에 고정될 수 있으며 또한 최상부 부분(704)과 함께 이동 가능할 수 있다. 웨이퍼(304)가 최상부 부분(704)에 (예를 들어, 정전 홀더(306)의 최상부에) 받쳐질 때, 접지 핀(312)은 웨이퍼(304)의 최하부 표면 (예를 들어, 배면 필름(308))과 접촉할 수 있다.
일부 실시예에서, 액추에이터는 최상부 부분을 이동시킬 수 있다. 예를 들어, 액추에이터는 회전 메커니즘 (보이지 않음)을 포함하여 최상부 부분(704)을, 예를 들어 방위각만큼 수평 방향으로 회전시킬 수 있다. 일부 실시예에서, 액추에이터는 리프터(708)를 포함할 수 있다. 리프터의 제1 종단은 최하부 부분(706)에 고정될 수 있으며, 리프터의 제2 종단은 수직적으로 이동 가능할 수 있다. 예를 들어, 리프터의 제1 종단은 (예를 들어, 최하부 부분(706)의 구멍을 관통함으로써) 최하부 부분(706)에 수평적으로 고정될 수 있으며 수직적으로 이동 가능할 수 있다. 리프터의 제2 종단은 전자기 액추에이터 또는 유압 액추에이터와 같은 이동 메커니즘에 의해 들어 올려지거나 낮춰질 수 있다. 리프터의 제2 종단은, 예를 들어 내부의 관통 구멍 또는 그루브에 의해 최상부 부분(704), 정전 홀더(306) 및 전극(310)을 관통할 수 있다. 리프터의 제2 종단은 정전 홀더(306)의 최상부 표면을 넘어 이동할 수 있으며 웨이퍼(304)의 최하부 표면과 접촉할 수 있다. 서브시스템(702)에서, 리프터를 특정 높이 (예를 들어, 수 밀리미터)로 들어올림으로써, 웨이퍼(304)는 들어 올려져 정전 홀더(306)의 최상부 표면을 떠나고 접지 핀(312)과 분리될 수 있다.
일부 실시예에서, 리프터(708)는 수직 및 수평적으로 고정될 수 있으며, 최상부 부분(704)은 수직으로 이동 가능할 수 있다. 최상부 부분(704)이 낮춰질 때, 접지 핀(312) 및 정전 홀더(306)도 낮춰질 수 있다. 그러나 리프터는 웨이퍼(304)를 지지할 수 있으며, 웨이퍼(304)는 수직적으로 정지 상태일 수 있다. 최상부 부분(704)을 낮춤으로써, 정전 홀더(306)는 웨이퍼(304)의 최하부 표면을 떠나도록 낮춰질 수 있으며, 접지 핀(312)은 최하부 표면과 분리될 수 있다.
일부 실시예에서, 접지 위치를 조정하기 위하여 다음 절차가 시스템(700)에 의해 수행될 수 있다. 도 6의 서브시스템(606)은 웨이퍼(304)가 접지되지 않았다는 것을 결정할 수 있으며, 이를 가리키는 신호를 컨트롤러(710)에 보낼 수 있다. 컨트롤러(710)는 센서(712)를 제어하여 웨이퍼(304)에 대한 접지 핀(312)의 현재 회전 각도 (예를 들어, 방위각)를 측정할 수 있다. 컨트롤러(710)는 목표 조정부(714)(예를 들어, 목표 회전 각도의 값)을 더 검색할 수 있다. 컨트롤러(710)는, 예를 들어, 목표 각도와 현재 회전 각도 간의 각도 차이를 결정함으로써 목표 각도를 현재 회전 각도와 비교할 수 있다. 그 다음, 컨트롤러(710)는 접지 위치를 조정하기 위하여 액추에이터에 각도 차이를 보낼 수 있다. 일부 실시예에서, 컨트롤러(710)는 소프트웨어 모듈과 같은 액세스 가능한 메모리에 컴퓨터 판독 가능한 명령어를 저장할 수 있으며, 이러한 명령어를 실행하여 전술한 작동을 수행할 수 있다.
일부 실시예에서, 접지 위치를 조정하는 것은 접지 핀(312)과 웨이퍼(304) 사이의 상대 회전 각도 (예를 들어, 방위각)를 변경하는 것을 포함할 수 있다. 상대 회전 각도를 변경하는 것은 접지 핀(312)을 회전적으로 정지 상태로 유지하면서 웨이퍼(304)의 회전 각도를 변경하는 것, 웨이퍼(304)를 회전적으로 정지 상태로 유지하면서 접지 핀(312)의 회전 각도를 변경하는 것, 또는 접지 핀(312)과 웨이퍼(304)의 회전 각도를 변경하는 것 중 적어도 하나를 포함할 수 있다.
예를 들어, 일부 실시예에서, 리프터(708)가 최하부 부분(706)에 수평적으로 고정되고 수직적으로 이동 가능할 때, 컨트롤러(710)는 리프터(708)를 제어하여, 예를 들어 최상부 부분(704)을 수직적으로 정지 상태로 유지시킴으로써 웨이퍼(304)를 들어올릴 수 있다. 접지 핀(312) 및 정전 홀더(306)가 최상부 부분(704)에 고정되기 때문에, 웨이퍼(304)가 리프터(708)에 의해 들어 올려질 때, 배면 필름(308)은 접지 핀(312) 그리고 정전 홀더(306)의 최상부 표면에서 분리될 수 있다. 컨트롤러(710)는 추가로 최상부 부분(704)을 제어하여 각도 차이와 동일한 각도에 대해 수평적으로 (예를 들어, 방위각 방향으로) 회전시킬 수 있다. 회전 방향은 시계 방향 또는 반시계 방향일 수 있다. 일부 실시예에서, 회전은 최상부 부분(704)의 중심에 대한 것일 수 있다. 접지 핀(312)들이 최상부 부분(704)에 고정되어 있기 때문에, 이들은 최상부 부분(704)과의 각도 차이에 대해 회전될 수도 있다. 컨트롤러(710)는 최하부 부분(706)을 회전적으로 정지 상태가 되도록 제어할 수 있으며, 따라서 웨이퍼(304)도 회전적으로 정지된다. 이러한 회전을 수행한 후, 접지 핀(312)이 상이한 위치에서 배면 필름(308)에 재부착될 때, 컨트롤러(710)는 리프터(708)를 제어하여 웨이퍼(304)가 정전 홀더(306)의 최상부에 받쳐질 때까지 웨이퍼(304)를 낮출 수 있다.
또 다른 예를 들어, 일부 실시예에서, 리프터(708)가 최하부 부분(706)에 수평적으로 그리고 수직적으로 고정될 때, 컨트롤러(710)는 액추에이터(미도시)를 제어하여 최상부 부분(704)을 낮춰 접지 핀(312)과 배면 필름(308)을 분리할 수 있다. 최하부 부분(706)에 수평적으로 그리고 수직적으로 고정된 리프터(708)는 또한 "지지체(supporter)"로 지칭될 수 있다. 접지 핀(312)과 정전 홀더(306)가 최상부(704)에 고정되기 때문에, 최상부 부분(704)이 액추에이터에 의해 낮춰질 때, 배면 필름(308)은 정전 홀더(306)의 최상부 표면 그리고 접지 핀(312)에서 분리될 수 있다. 컨트롤러(710)는 최상부 부분(704)을 추가로 제어하여 각도 차이와 동일한 각도에 대해 수평적으로 (예를 들어, 방위각 방향으로) 회전시킬 수 있다. 회전 방향은 시계 방향 또는 반시계 방향일 수 있다. 일부 실시예에서, 회전은 최상부 부분(704)의 중심에 대한 것일 수 있다. 접지 핀(312)들은 최상부 부분(704)에 고정되어 있기 때문에, 그들은 또한 최상부 부분(704)과의 각도 차이를 위해 회전될 수도 있다. 컨트롤러(710)는 최하부 부분(706)을 회전적으로 정지 상태가 되도록 제어할 수 있으며, 따라서 웨이퍼(304) 또한 회전적으로 정지 상태에 있다. 이러한 회전을 수행한 후, 접지 핀(312)이 상이한 위치에서 배면 필름(308)에 재부착될 때, 컨트롤러(710)는 액추에이터를 제어하여, 웨이퍼(304)가 정전 홀더(306)의 최상부에 안착될 때까지 최상부 부분(704)을 들어올릴 수 있다.
또 다른 예를 들어, 일부 실시예에서 접지 핀(312)은 최상부 부분(704)에 고정되지 않을 수 있으며, 독립적인 액추에이터 (보이지 않음)에 고정될 수 있다. 이 예에서, 컨트롤러(710)는 액추에이터를 제어하여, 예를 들어 리프터(708)를 수직적으로 정지된 상태로 유지함으로써 최상부 부분(704)과 웨이퍼(304)를 수직적으로 정지된 상태로 유지시키면서 접지 핀(312)을 낮출 수 있다. 접지 핀(312)은 그 후 배면 필름(308)으로부터 분리될 수 있는 반면, 웨이퍼(304)는 정전 홀더(306)의 최상부 상에 계속 놓여있다. 컨트롤러(710)는 독립적인 액추에이터를 추가로 제어하여 접지 핀(312)을 각도 차이와 동일한 각도에 대해 수평적으로 (예를 들어, 방위각 방향으로) 회전시킬 수 있다. 회전 방향은 시계 방향 또는 반시계 방향일 수 있다. 일부 실시예에서, 회전은 최상부 부분(704)의 중심에 대한 것일 수 있다. 이러한 회전을 수행한 후, 컨트롤러(710)는 액추에이터를 제어하여 접지 핀이 상이한 위치에서 배면 필름(308)에 재부착될 때까지 접지 핀(312)을 들어올릴 수 있다.
일부 실시예에서, 컨트롤러(710)는 접지 핀(312)과 웨이퍼(304) 사이의 병진 위치를 조정할 수 있다. 예를 들어, 컨트롤러(710)는 접지 핀(312)과 배면 필름(308)을 분리하도록 제어할 수 있으며, 또한 병진 액추에이터 (보이지 않음)를 제어하여 최상부 부분(704), 접지 핀(312), 또는 웨이퍼(304) 중 적어도 하나의 예정된 방향을 따라 예정 거리에 대한 수평 위치를 조정할 수 있고 그후 접지 핀(312)과 배면 필름(308)을 상이한 위치에 다시 부착할 수 있다. 일부 실시예에서, 컨트롤러(710)는 접지 핀(312)과 배면 필름(308) 사이의 병진 위치 및 회전 위치 모두를 조정할 수 있다.
컨트롤러(710)가 접지 위치의 조정을 완료할 때, 서브시스템(606)은 웨이퍼(304)가 접지될 수 있는지 여부를 다시 테스트할 수 있다. 웨이퍼(304)가 성공적으로 접지될 수 있다면, 조정 공정은 끝날 수 있다. 그렇지 않으면, 시스템(700)은 또 다른 조정 라운드(round)를 수행하도록 다시 기능할 수 있다. 이 공정은 웨이퍼(304)가 성공적으로 접지될 때까지 반복될 수 있다. 일부 실시예에서, 각도 차이는 작은 값 (예를 들어, 1도(degree)의 1/1,000, 1/100, 또는 1/10)으로서 미리 결정될 수 있으며, 시스템(700)은 웨이퍼(304)를 성공적으로 접지하기 전에 실질적으로 임의의 횟수의 조정을 수행할 수 있으며, 이는 웨이퍼(304)가 낭비되지 않을 것이라는 점을 보장할 수 있다.
도 8은 조정 전 및 후의 예시적인 접지 위치의 도면이다. 도 8은 도 7의 서브시스템(702)에 제공된 웨이퍼(304)의 평면도이다. 도 8에서, 서브시스템(702)은 2개의 접지 핀(312)을 포함할 수 있다. 도 7과 관련하여 설명된 바와 같은 예와 일치하게, 웨이퍼(304)는 회전적으로 정지 상태일 수 있다. 접지 회전을 조정하기 위하여, 접지 핀(312)은, 예를 들어 웨이퍼(304)를 들어올림으로써 또는 최상부 부분(704)을 낮춤으로써 배면 필름(308)으로부터 분리될 수 있다. 도 8에서 보여지는 바와 같이, 웨이퍼(304)가 정지 상태에 있고 최상부 부분(704)이 회전될 때, 최상부 부분(704)은 각도 차이(808)에 대해 시계 방향(802)을 따라 회전될 수 있다. 이러한 조정 전에, 접지 핀(312)은 흑색점으로 보여지는 제1 접지 위치(804)에서 배면 필름(308)과 접촉할 수 있다. 조정 후에, 접지 핀(312)은 원으로 보여지는 접지 위치(806)에서 배면 필름(308)과 접촉할 수 있다.
이러한 조정을 수행함으로써, 웨이퍼(304)를 폐기하지 않고 접지 위치가 쉽게 그리고 효율적으로 수행될 수 있으며, 웨이퍼(304)를 접지시키는 가능성이 편리하게 증가될 수 있고, 따라서 생산성 및 제조 효율을 향상시킬 수 있다. 또한, 접지 위치 조정의 이러한 디자인을 이용함으로써, 접지 핀의 수가 감소될 수 있으며, 따라서 웨이퍼 접지 시스템의 복잡도가 낮아질 수 있다. 또한, 각도 차이 및 예정된 거리의 임의의 값을 설정함으로써, 접지 위치는 필요에 따라 임의의 정밀도로 그리고 임의의 시간 동안 조정될 수 있다.
도 7 및 도 8에서, 일부 실시예에서 접지 핀(312)이 배면 필름(308)에 재부착될 때, 접지 핀은 조정 전의 압력과 상이한 압력으로 배면 필름(308)에 대해 가압될 수 있다. 예를 들어, 조정 후, 접지 핀(312)은 배면 필름(308)에 대해 더 큰 압력으로 가압될 수 있다. 접지 핀(312)이 배면 필름(308)으로 더 깊이 관통할 수 있기 때문에, 각도 차이가 0°일지라도 더 높은 압력으로 일부 상황에서 웨이퍼 접지 서브시스템 (예를 들어, 웨이퍼 접지 서브시스템(606))이 웨이퍼(304)를 접지하게 할 가능성이 있다. 압력을 변경하는 것은 접지 위치를 조정하기 위한 또 다른 독립적인 양태를 제공할 수 있다. 위치 조정과 압력 조정은 시스템(702)에 의해 독립적으로 또는 조합하여 사용될 수 있다.
도 9는 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지 위치 조정의 예시적인 시스템(900)의 도시이다. 시스템(900)은 적어도, 웨이퍼를 유지시키기 위한 서브시스템(602), 웨이퍼 접지 서브시스템(606), 및 웨이퍼 조정 플랫폼(902)을 포함하고 있다. 서브시스템(602 및 606)은 도 6에서 설명되었으며 이후에 더 설명되지 않을 것이다. 웨이퍼 조정 플랫폼(902)은 서브시스템(608 및 702)과 상이할 수 있으며 다음과 같이 상세하게 설명될 것이다.
일부 실시예에서, 웨이퍼 조정 플랫폼(902)은 웨이퍼(304)를 회전시키거나 병진 이동시키기 위한 플랫폼일 수 있다. 예를 들어, 웨이퍼 조정 플랫폼(902)은 컨트롤러, 센서, 및 웨이퍼(304)를 회전 또는 병진 이동시키기 위한 적어도 하나의 액추에이터를 포함할 수 있다. 일부 실시예에서, 웨이퍼 조정 플랫폼(902)은 서브시스템(602)과 독립적인 구성요 소로서 구현될 수 있다. 예를 들어, 웨이퍼 조정 플랫폼(902)은 상이한 위치에서 서브시스템(602)과 함께 동일한 진공 챔버 내에 위치될 수 있다. 일부 실시예에서, 접지 위치를 조정하기 위하여, 언로딩 액추에이터 (예를 들어, 로봇 아암)는 정전 홀더(306)로부터 웨이퍼 조정 플랫폼(902) 상으로 웨이퍼(304)를 (예를 들어, 흡입하고 들어올림으로써) 언로딩할 수 있다. 웨이퍼 조정 플랫폼(902)은 웨이퍼(304)를 각도에 대해 (예를 들어, 각도 차이만큼) 회전시키거나 웨이퍼(304)를 일정 거리 병진 이동시킬 수 있다. 웨이퍼(304)를 회전 또는 병진 이동시킨 후, 로딩 액추에이터 (예를 들어, 로봇 아암)는 웨이퍼(304)를 (예를 들어, 흡입하고 들어올림으로써) 웨이퍼 조정 플랫폼(902)으로부터 정전 홀더(306)로 다시 로딩할 수 있으며, 이에 의하여 상이한 위치에서 접지 핀(312)은 배면 필름에 재부착될 수 있다. 시스템(900)의 설계를 사용하는 것의 한 가지 이점은 이것이 기존 웨이퍼 스테이지 (예를 들어, 웨이퍼 스테이지(604))를 교체하거나 기존 웨이퍼 스테이지를 (예를 들어, 리프터(708)를 추가함으로써) 실질적으로 변경하지 않고 구성 요소 (웨이퍼 조정 플랫폼(902))를 추가함으로써 웨이퍼 스테이지의 기존 디자인과의 접지 위치 조정 시스템의 호환성을 극대화할 수 있다는 것이다.
도 10 및 도 11은 본 발명의 일부 실시예와 일치하는 방법(1000 및 1100)의 예시적인 흐름도를 보여주고 있다. 본 방법(1000 및 1100)은 하전 입자 빔 장치 (예를 들어, EBI 시스템(100))와 결합될 수 있는 컨트롤러에 의해 수행될 수 있다. 예를 들어, 컨트롤러는 도 2의 컨트롤러(109) 또는 도 7의 컨트롤러(710)일 수 있다. 컨트롤러는 방법(1000 및 1100)을 구현하도록 프로그램될 수 있다.
도 10은 본 발명의 일부 실시예와 일치하는, 웨이퍼에 대한 접지 위치를 조정하는 예시적인 방법을 도시하는 흐름도이다.
단계 1002에서, 컨트롤러는 웨이퍼와 접촉 상태에 있는 접지 핀과 웨이퍼 간의 전기적 연결을 종료한다. 예를 들어, 웨이퍼는 도 6 내지 도 9의 웨이퍼(304)일 수 있다. 접지 핀은 도 6 내지 도 9의 접지 핀(312)들 중 적어도 하나일 수 있다. 일부 실시예에서, 리프터 (예를 들어, 도 7의 리프터(708))를 사용하여, 웨이퍼를 지지하는 웨이퍼 마운트 (예를 들어, 도 6 내지 도 9의 정전 홀더(306))로부터 웨이퍼를 멀리 들어올리기 위해 컨트롤러는 액추에이터를 제어할 수 있다. 리프터는 웨이퍼의 최하부 표면 (예를 들어, 도 6 내지 도 9의 배면 필름(308))과 접촉 상태에 있을 수 있으며 또한 웨이퍼 마운트의 최하부 부분 (예를 들어, 도 7의 최하부 부분(706))에 고정될 수 있다. 일부 실시예에서, 접지 핀은 웨이퍼 마운트의 최상부 부분 (예를 들어, 도 7의 최상부 부분(704))에 고정될 수 있으며, 접지 핀은 웨이퍼가 최상부 부분에 받쳐질 때 웨이퍼의 최하부 표면과 접촉할 수 있다. .
일부 실시예에서, 컨트롤러는 액추에이터를 제어하여 웨이퍼 마운트에 받쳐진 웨이퍼의 최하부 표면으로부터 접지 핀을 낮출 수 있어 웨이퍼와 접지 핀을 분리한다. 접지 핀은 도 7에서 보여지고 설명된 바와 같이, 웨이퍼 마운트에서 수직적으로 이동 가능할 수 있다. 컨트롤러는 웨이퍼를 수직적으로 정지 상태로 유지시키도록 제어할 수 있다. 예를 들어, 접지 핀은 도 7에서 보여지고 설명된 바와 같이, 웨이퍼 마운트를 낮추거나 들어올리지 않고 접지 핀을 수직적으로 낮추거나 들어올릴 수 있는 독립적인 액추에이터에 연결될 수 있다.
일부 실시예에서, 컨트롤러는 웨이퍼 마운트의 최상부 부분을 낮추도록 액추에이터를 제어하여 접지 핀과 웨이퍼를 분리할 수 있다. 일부 실시예에서, 접지 핀은 도 7에서 보여지고 설명된 바와 같이, 웨이퍼 마운트의 최상부 부분에 고정될 수 있다. 웨이퍼의 최하부 표면은 도 7에 도시되고 설명된 바와 같이, 웨이퍼 마운트의 최하부 부분에 고정된 (예를 들어, 리프터(708)가 수직적으로 고정 상태로 유지되는 경우) 이동 가능하지 않은 지지체와 접촉할 수 있다. 접지 핀은 도 7에서 보여지고 설명된 바와 같이, 최상부 부분이 낮춰지지 않을 때 웨이퍼의 최하부 표면과 접촉할 수 있다.
일부 실시예에서, 컨트롤러는 도 9에서 보여지고 설명된 바와 같이, 액추에이터를 제어하여 웨이퍼를 웨이퍼 마운트로부터 플랫폼으로 제거할 수 있다. 일부 실시예에서, 접지 핀은 도 9에 도시되고 설명된 바와 같이, 웨이퍼가 웨이퍼 마운트에 받쳐질 때 웨이퍼 마운트에 고정될 수 있고 웨이퍼와 접촉할 수 있다.
단계 1004에서, 컨트롤러는 웨이퍼와 접지 핀 사이의 상대 위치를 조정한다. 일부 실시예에서, 상대 위치는 상대 회전 위치, 상대 병진 위치, 또는 이들의 조합을 포함할 수 있다. 일부 실시예에서, 컨트롤러는 액추에이터를 제어하여 웨이퍼와 접지 핀 사이의 상대 방위각을 도 6 내지 도 9에서 보여지고 설명된 바와 같이, 예정된 각도만큼 조정할 수 있다. 일부 실시예에서, 예정된 각도는 현재 상대 방위각과 목표 상대 각도 간의 차이일 수 있다. 현재 상대 방위각은 웨이퍼와 접지 핀 사이에 있을 수 있다. 목표 상대 방위각은 웨이퍼와 접지 핀 사이에 있을 수 있다. 예를 들어, 예정된 각도는 도 8에서의 각도 차이(808)일 수 있다.
일부 실시예에서, 리프터를 사용하여 웨이퍼를 웨이퍼 마운트로부터 멀리 들어올림으로써 또는 웨이퍼 마운트의 최상부 부분을 낮춤으로써 웨이퍼가 접지 핀과 분리된다면, 웨이퍼 마운트의 최상부 부분을 웨이퍼 마운트의 최하부 부분에 대해 예정된 각도만큼 회전시킴으로써 컨트롤러는 상대 위치를 조정할 수 있다. 일부 실시예에서, 접지 핀을 웨이퍼의 최하부 표면으로부터 낮춤으로써 웨이퍼가 접지 핀과 분리된다면, 접지 핀이 웨이퍼 마운트에 대하여 회전적으로 이동 가능할 때, 접지 핀을 웨이퍼 마운트에 대해 예정된 각도도 회전시킴으로써 컨트롤러는 상대 위치를 조정할 수 있다. 일부 실시예에서, 웨이퍼를 웨이퍼 마운트로부터 플랫폼으로 제거함으로써 웨이퍼가 접지 핀과 분리된다면, 플랫폼이 웨이퍼를 예정된 각도만큼 회전시키도록 함으로써 컨트롤러는 상대 위치를 조정할 수 있다.
일부 실시예에서, 웨이퍼가 병진 이동에 의해 접지 핀과 분리된다면, 컨트롤러는 액추에이터를 제어하여 도 7에서 설명된 바와 같이, 웨이퍼와 접지 핀 간의 상대 병진 거리를 예정된 거리만큼 조정할 수 있다.
단계 1006에서, 컨트롤러는 접지 핀과 웨이퍼 간의 전기적 연결을 복원한다. 일부 실시예에서, 리프터를 사용하여 웨이퍼를 웨이퍼 마운트로부터 멀리 들어올림으로써 웨이퍼가 접지 핀과 분리된다면, 도 7에서 보여지고 설명된 바와 같이, 리프터를 사용하여 웨이퍼를 웨이퍼 마운트의 최상부 부분으로 낮춤으로써 컨트롤러는 접지 핀과 웨이퍼를 다시 부착할 수 있다. 일부 실시예에서, 접지 핀을 웨이퍼의 최하부 표면으로부터 낮춤으로써 웨이퍼가 접지 핀과 분리된다면, 도 7에서 보여지고 설명된 바와 같이, 웨이퍼의 최하부 표면과 접촉시키기 위해 접지 핀을 상승시킴으로써 컨트롤러는 접지 핀과 웨이퍼를 다시 부착할 수 있다. 일부 실시예에서, 웨이퍼 마운트의 최상부 부분을 낮춤으로써 웨이퍼가 접지 핀과 분리된다면, 컨트롤러는 도 7에서 보여지고 설명된 바와 같이, 웨이퍼 마운트의 최상부 부분을 들어 올림으로써 접지 핀과 웨이퍼를 다시 부착할 수 있다.
일부 실시예에서, 웨이퍼를 웨이퍼 마운트로부터 플랫폼으로 제거함으로써 웨이퍼가 접지 핀과 분리된다면, 컨트롤러는 도 9에서 보여지고 설명된 바와 같이, 웨이퍼를 플랫폼으로부터 웨이퍼 마운트 상으로 이동시킴으로써 접지 핀과 웨이퍼를 재부착할 수 있다.
일부 실시예에서, 컨트롤러가 접지 핀과 웨이퍼를 재부착하라는 신호를 보내는 경우, 접지 핀은 웨이퍼와 접지 핀을 분리하기 전에 접지 핀과 최하부 표면 사이의 압력과 다른 압력으로 웨이퍼의 최하부 표면과 접촉할 수 있다. 일부 실시예에서, 접지 핀은 도 8에서 보여지는 바와 같이, 웨이퍼와 접지 핀을 분리하기 전에 접지 핀이 최하부 표면과 접촉하는 위치와 상이한 위치에서 웨이퍼의 최하부 표면과 접촉할 수 있다. 일부 실시예에서, 접지 핀은 동일한 상대 위치에서 웨이퍼의 최바닥 표면과 접촉할 수 있다.
일부 실시예에서, 웨이퍼가 성공적으로 접지될 때까지 단계 1002 내지 1006이 반복될 수 있다.
도 11은 본 발명의 일부 실시예와 일치하는, 웨이퍼에 대한 접지 위치를 조정하기 위한 또 다른 예시적인 방법(1100)을 도시하는 흐름도이다. 본 방법(1100)은 단계 1102 내지 1108을 포함하고 있다. 컨트롤러는 단계 1002 내지 1006과 각각 유사한 방법으로 단계 1102 내지 1106를 수행할 수 있다.
단계 1108에서, 또 다른 컨트롤러 (예를 들어, 도 3의 컨트롤러(322), 또는 도 6 및 도 9의 서브시스템(606)의 컨트롤러)는 웨이퍼를 접지시키기 위하여 전기 경로가 형성되는지 (또는 실질적으로 개방되는지) 여부를 결정할 수 있다. 전기 경로가 형성되지 않으면 (또는 실질적으로 개방된다면), 컨트롤러는 단계 1102 내지 1106을 수행하기 위해 돌아갈 수 있다. 전기 경로가 형성되면 (또는 폐쇄되면), 컨트롤러는 웨이퍼가 성공적으로 접지된 것으로 결정될 수 있는 방법(1100)을 수행하는 것을 중단할 수 있다. 본 발명에서, 전기 경로의 임피던스 (예를 들어, 저항)가 예정된 임계값보다 크거나 이와 같다면, 전기 경로는 "실질적으로 개방"된 것으로 간주된다. 임피던스가 예정된 임계값보다 크거나 이와 같다면, 컨트롤러는 전기 경로가 개방된다는 것을 결정할 수 있다. 일부 실시예에서, 예정된 임계값은 100,000옴의 저항 값을 포함할 수 있다.
본 발명은 또한 접지 핀을 조정하기 위해 바이브레이터를 사용하여 웨이퍼를 접지시키기 위한 방법 및 시스템을 제공한다. 일부 실시예에서, 바이브레이터는 웨이퍼 접지를 위하여 전기 재핑 방법, 관통 방법, 또는 둘 모두를 지원하기 위해 시스템에서 사용될 수 있다. 도 12는 본 발명의 일부 실시예와 일치하는, 예시적인 다중-핀 웨이퍼 접지 시스템(1200)의 도면이다. 시스템(1200)은 시스템(400)과 유사하며, 웨이퍼(304), 정전 홀더(306), 배면 필름(308), 전극(310), 다수의 접지 핀(312), 및 접지 핀(312)에 연결된 (예를 들어, 고정된) 다수의 바이브레이터(1202)를 포함하고 있다. 일부 실시예에서, 접지 핀(312)들의 각각은 단일 바이브레이터와 결합될 수 있다. 일부 실시예에서, 바이브레이터(1202)들의 각각은 2개 이상의 접지 핀에 연결될 수 있거나, 각 접지 핀은 2개 이상의 바이브레이터 (1202)에 연결될 수 있다. 바이브레이터는 임의의 치수 (예를 들어, 1D, 2D 또는 3D)의 임의의 방향을 따라 (예를 들어, 수평적으로, 수직적으로, 원형적으로, 타원형적으로 또는 임의의 방향으로) 접지 핀(312)을 진동시킬 수 있다. 일부 실시예에서, 바이브레이터(1202)는 접지 핀(312)의 액추에이터(예를 들어, 리프터(708))와 통합될 수 있다. 일부 실시예에서, 바이브레이터(1202)는 압전 액추에이터를 포함할 수 있다.
일부 실시예에서, 바이브레이터(1202)는 파워 공급부에 의해 파워를 받을 수 있다. 예를 들어, 파워 공급부는 전기 신호 생성기(314)와 동일하거나 상이할 수 있다. 컨트롤러(322)는 파워 공급부의 출력을 제어할 수 있다. 예를 들어, 컨트롤러(322)는 출력을 임의 형상의 전기 신호 또는 펄스가 되도록 제어하여 바이브레이터(1202)를 진동시키도록 구동할 수 있다.
일부 실시예에서, 컨트롤러(322)는 웨이퍼 접지를 위하여 바이브레이터(1202)의 진동을 제어할 수 있다. 예를 들어, 관통 방법을 사용하는 시스템에서, 접지 핀(312)은 배면 필름(308)을 관통할 수 있으며 초기 접지 위치에서 웨이퍼(304)와 직접적으로 접촉할 수 있다. 전기 경로가 형성되지 않아 접지 신호가 웨이퍼(304)와 접지 핀(312) 사이에서 흐르는 것을 허용한다면 (예를 들어, 하나 이상의 접지 핀(312)이 배면 필름(308)을 완전히 관통하지 못하거나 접지 핀(312)이 오염 입자를 침착시켰다면), 컨트롤러(322)는 바이브레이터(1202) 또는 웨이퍼(304)를 진동하도록 제어할 수 있어, 예를 들어 접지 핀(312)을 웨이퍼(304)와 더 잘 접촉하게 하거나 접지 핀(312) 상의 침착된 입자를 흔들어 떨어트린다. 또 다른 예를 들어, 전기 재핑 방법을 사용하는 시스템에서, 접지 핀(312)의 접지 위치를 조정하기 위해 액추에이터 (예를 들어, 리프터(708) 또는 최상부 부분(708))를 사용하는 것 외에, 바이브레이터(1202)는 또 다른 차원의 제어를 제공하여 동일한 또는 조정된 접지 위치에서의 보다 나은 접지를 달성할 수 있다. 바이브레이터(1202)를 제공함으로써 웨이퍼 접지 시스템이 웨이퍼 접지를 위하여 관통 방법 및 전기 재핑 방법 모두를 사용하는 것을 가능하게 할 수 있다는 점이 주목되어야 한다. 다시 말해서, 바이브레이터(1202)를 갖는 웨이퍼 접지 시스템은 웨이퍼 접지의 2가지 "모드"; (관통 방법을 이용하는) 기계적 모드 및 (전기 재핑 방법을 이용하는) 전기적 모드를 가질 수 있다.
일부 실시예에서, 웨이퍼(304)가 정지 상태에 있을 때, 컨트롤러(322)는 바이브레이터(1202)를 제어하여 진동시킬 수 있다. 예를 들어, 바이브레이터(1202)가 도 7 내의 최상부 부분(708)에 고정되거나 최상부 부분(708)이 접지 핀(312)을 위한 바이브레이터로서의 기능을 할 때, 컨트롤러(322)는 최상부 부분(708)을 제어하여 진동시킬 수 있다. 또 다른 예를 들어, 바이브레이터(1202)가 최상부 부분(704)과 관계가 없을 때, 컨트롤러(322)는 바이브레이터(1202)를 제어하여 최상부 부분(708)의 이동과 독립적으로 진동시킬 수 있다. 일부 실시예에서, 웨이퍼(304)가 정지 상태가 아닐 때, 컨트롤러(322)는 웨이퍼(304)를 제어하여 진동시킬 수 있다. 예를 들어, 컨트롤러(322)는 전극(310)을 제어하여 진동시킬 수 있으며, 그에 의하여 웨이퍼(304)를 진동하게 한다. 바이브레이터(1202)는 본 명세서에 개시된 바와 같은 예에 제한되지 않으며, 접지 핀(312)을 웨이퍼(304)에 대해 진동하게 하는 임의의 적절한 방법으로 구현될 수 있다는 점이 주목된다.
일부 실시예에서, 컨트롤러(322)는 바이브레이터(1202)를 제어하여 주기적으로 진동시킬 수 있다. 일부 실시예에서, 컨트롤러(322)는 바이브레이터(1202)를 제어하여, 예를 들어 웨이퍼(304)와 접지 핀(312) 간의 실패한 전기적 연결을 검출할 때 "요구에 따라서" 진동시킬 수 있다.
도 13은 본 발명의 일부 실시예와 일치하는, 웨이퍼를 접지시키기 위한 예시적인 방법(1300)을 도시하는 흐름도이다. 본 방법(1300)은 하전 입자 빔 장치 (예를 들어, EBI 시스템(100))와 결합될 수 있는 컨트롤러에 의해 수행될 수 있다. 예를 들어, 컨트롤러는 도 3의 컨트롤러(322) 또는 도 7의 컨트롤러(710)일 수 있다. 컨트롤러는 본 방법(1300)을 구현하기 위해 프로그램될 수 있다.
단계 1302에서, 컨트롤러는 접지 핀을 웨이퍼와 접촉하게 한다. 컨트롤러는 단계 1002 또는 1102와 유사한 방식으로 단계 1302를 수행할 수 있다. 일부 실시예에서, 단계 1302를 수행하기 전에, 컨트롤러는 웨이퍼를 접지시키기 위한 전기 경로가 형성되는지 여부를 결정할 수 있다. 전기 경로가 형성되지 않으면, 컨트롤러는 그후 단계 1302를 수행할 수 있다.
단계 1304에서, 컨트롤러는 접지 핀 또는 웨이퍼 중 적어도 하나를 진동시킨다. 일부 실시예에서, 컨트롤러는 접지 핀 (예를 들어, 도 12의 접지 핀(312)) 및 웨이퍼를 지지하는 웨이퍼 마운트의 최상부 부분 (예를 들어, 최상부 부분(704))에 연결된 바이브레이터를 진동시킬 수 있다. 일부 실시예에서, 바이브레이터는 최상부 부분일 수 있다. 일부 실시예에서, 컨트롤러는 웨이퍼 홀더(예를 들어, 정전 홀더(306) 또는 전극(310))를 진동시킬 수 있으며, 여기서 웨이퍼 홀더는 웨이퍼를 웨이퍼 마운트 상에 고정시킨다. 일부 실시예에서, 컨트롤러는 접지 핀 또는 웨이퍼 중 적어도 하나를 웨이퍼의 표면에 수직인 방향 또는 표면에 평행한 방향 중 적어도 하나를 따라 진동시킬 수 있다. 예를 들어, 도 12에서 보여지는 바와 같이, 컨트롤러는 접지 핀 또는 웨이퍼 중 적어도 하나를 수평 방향, 수직 방향, 원형 방향 또는 3D 방향을 따라 진동시킬 수 있다.
단계 1306에서, 컨트롤러는 접지 핀과 웨이퍼 사이에 전기적 연결을 구축한다. 컨트롤러는 단계 1006 또는 1106과 유사한 방식으로 단계 1306을 수행할 수 있다. 일부 실시예에서, 컨트롤러는 관통 방법을 이용하여 전기적 연결을 복원할 수 있다. 예를 들어, 컨트롤러는 웨이퍼와 직접 접촉하도록 표면의 코팅부 (예를 들어, 배면 필름(308))을 통해 웨이퍼의 표면에 대해 접지 핀을 가압할 수 있다. 일부 실시예에서, 컨트롤러는 전기 재핑 방법을 이용하여 전기적 연결을 복원할 수 있다. 예를 들어, 컨트롤러는 코팅부 (예를 들어, 배면 필름(308))를 통해 웨이퍼의 표면에 대해 접지 핀을 가압할 수 있으며 여기서 접지 핀은 코팅부를 완전히 관통하지 않는다.
일부 실시예에서, 단계 1306 이후에, 다른 컨트롤러 (예를 들어, 도 3의 컨트롤러(322), 또는 도 6 및 도 9의 서브시스템(606) 내의 컨트롤러)는 웨이퍼를 접지시키기 위하여 전기 경로가 형성되는지 (또는 실질적으로 개방되는지) 여부를 결정할 수 있다. 전기 경로가 형성되지 않는다면 (또는 실질적으로 개방된다면), 컨트롤러는 단계 1302 내지 1306을 수행하기 위해 돌아갈 수 있다. 전기 경로가 형성되면, 컨트롤러는 웨이퍼가 성공적으로 접지된 것으로 결정될 수 있는 방법(1300)을 수행하는 것을 중지시킬 수 있다.
본 발명은 또한 두꺼운 배면 필름으로 웨이퍼를 접지시키기 위한 방법 및 시스템을 제공한다. 일부 실시예에서, 매우 복잡한 구조체가 웨이퍼 상에서 제조되어야 한다. 이러한 복잡한 구조체는 많은 수의 층을 포함할 수 있다. 예를 들어, 복잡한 구조체는 NAND 플래시 메모리 회로와 같은, 하나 이상의 NOT-AND ("NAND") 게이트를 포함하는 3차원 회로를 포함할 수 있다. 다른 구조체에 비교하여, 다중-층 복합 구조체는 웨이퍼 표면에 더 높은 장력을 야기할 수 있으며, 이는 웨이퍼를 뒤틀거나 구부릴 수 있다. 구부러진 웨이퍼는 검사 공정에서 문제를 일으킬 수 있으며, 따라서 웨이퍼의 일부가 일차 전자 빔(예를 들어, 도 2의 일차 전자 빔(220))의 초점 평면에서 벗어날 수 있다. 이 문제는 검사 이미지의 품질 열화 (예를 들어, 초점이 맞지 않음(out of focus), 블러링(blurring), 왜곡 등)를 야기할 수 있다.
이러한 복잡한 구조로 인해 웨이퍼가 뒤틀리거나 굽히는 것을 방지하기 위해, 일부 실시예에서 웨이퍼는 그의 기계적 강도를 향상시키기 위해 두꺼운 배면 필름으로 코팅될 수 있다. 예를 들어, 일반적인 경우, 배면 필름의 두께는 0.1 내지 0.3㎛일 수 있다. 웨이퍼가 비틀리거나 굽어지는 것을 방지하기 위해 배면 필름의 두께는 (예를 들어, 1.4 내지 2.2㎛까지) 증가될 수 있다. 그러나 두꺼운 배면 필름은 전기적 재핑 방법 또는 관통 방법이 웨이퍼 접지를 위하여 운용되지 않을 정도로 너무 두꺼울 수 있다. 예를 들어, 두꺼운 배면 필름은 너무 두꺼워서 전기적 재핑 방법에서 절연 파괴가 배면 필름을 뚫고 나아갈 수 없다. 또 다른 예에서, 두꺼운 배면 필름은 너무 두꺼울 수 있어 관통 방법에서 접지 핀이 가압되어 배면 필름을 관통할 수 없다.
두꺼운 배면 필름으로 웨이퍼를 접지하기 위해 "핀-임팩트(pin-impact) 방법"이 이용될 수 있다. 도 14a 내지 도 14d는 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지를 위한 예시적인 시스템(1400)의 작동 스테이지를 도시하고 있다. 시스템(1400)은 핀-임팩트 방법을 구현할 수 있다. 시스템(1400)은 웨이퍼 접지를 위한 임의의 시스템 (예를 들어, 도 3, 도 6, 도 7 또는 도 9의 시스템(300, 600, 700, 또는 900))의 서브시스템일 수 있다. 도 14a 내지 도 14d는 하나의 접지 핀만을 보여주고 있지만, 임의의 단일-핀 시스템 (예를 들어, 도 3의 서브시스템(302)) 또는 다중-핀 시스템 (예를 들어, 도 4의 시스템(400), 도 6 및 도 7의 서브시스템(602 또는 702), 또는 도 12의 시스템(1200))이 핀-임팩트 방법을 호환 가능하게 구현하도록 구성될 수 있다는 점이 주목되어야 한다. 예를 들어, 다중-핀 시스템에서 핀-임팩트 방법은 그의 다수의 접지 핀들 중 하나 이상에서 호환 가능하게 구현될 수 있다.
도 14a 내지 도 14d에서, 시스템(1400)은 웨이퍼(304), 배면 필름(1402), 접지 핀(312), 및 액추에이터 (보이지 않음)를 포함하고 있다. 배면 필름(1402)은 도 3, 도 4, 도 6, 도 7, 도 9 및 도 12 내의 배면 필름(308)과 유사할 수 있다. 일부 실시예에서, 배면 필름(1402)은 두꺼워질 수 있다. 예를 들어, 배면 필름(1402)의 두께는 0.3㎛를 초과할 수 있다 (예를 들어, 1.4 내지 2.2㎛). 일부 실시예에서, 액추에이터는 웨이퍼를 지지하는 웨이퍼 스테이지 (예를 들어, 도 6 또는 도 9에서의 웨이퍼 스테이지(604))에 연결될 수 있다. 예를 들어, 액추에이터는 웨이퍼 스테이지의 일부 (예를 들어, 도 7에서의 최상부 부분(704))에 연결될 수 있다. 일부 실시예에서, 액추에이터는 전기 액추에이터 (예를 들어, 전기 모터와 같은 전기 기계 액추에이터, 또는 유압 어큐뮬레이터를 포함하는 전자 유압 액추에이터), 자기 액추에이터 (예를 들어, 전기 모터), 스프링 (예를 들어, 코일 스프링 또는 코일형 폴리머), 공압 액추에이터 또는 유압 액추에이터 중 하나를 포함할 수 있다.
도 14a는 접지 핀(312)이 (예를 들어, 도 3 내의 컨트롤러(322) 또는 도 7 내의 컨트롤러(710)에 의하여) 제어되어 배면 필름(1402)과 접촉하는, 시스템(1400)의 초기 스테이지를 보여주고 있다. 예를 들어, 초기 스테이지에서의 액추에이터는 디스에이블될 수(disabled) 있다. 다시 말해서, 접지 핀(312)은 초기 스테이지에서 기본 위치(default position)에 있을 수 있으며, 여기서 기본 위치는 접지 핀(312)을 배면 필름(1402)과 접촉하게 한다. 초기 스테이지의 이러한 구성은 두꺼운 배면 필름을 갖고 있지 않은 웨이퍼를 접지시키기 위하여 시스템(1400)의 호환성을 보장할 수 있다. 예를 들어, 두꺼운 배면 필름을 갖지 않은 웨이퍼가 도 14a에 도시된 바와 같이 초기 스테이지를 설정함으로써 시스템(1400) 내에 배치될 때, 시스템(1400)은 핀-임팩트 방법을 적용하지 않고 웨이퍼 접지를 위하여 다른 방법 (예를 들어, 도 5, 도 10, 도 11 또는 도 13 내의 방법(500, 1000, 1100 또는 1300))을 수행할 수 있다.
도 14b는 핀-임팩트 방법을 구현하는 시스템(1400)의 제1 스테이지를 보여주고 있으며, 여기서 접지 핀(312)은 (화살표로 나타나 있는 바와 같이) 배면 필름(1402)으로부터 일정 거리 멀리 이동된다. 일부 실시예에서, 액추에이터는 접지 핀(312)을 배면 필름(1402)으로부터 멀리 이동시킬 수 있다. 일부 실시예에서, 접지 핀(312)은 (예를 들어, 접지 핀(312)의 팁(tip)으로부터 측정된) 접지 핀(312)과 배면 필름(1402) 사이의 거리가 100미크론을 초과할 수 있도록 배면 필름(1402)으로부터 멀리 이동될 수 있다. 예를 들어, 거리는 1밀리미터를 초과할 수 있다. 일부 실시예에서, 접지 핀(312)을 배면 필름(1402)으로부터 멀리 이동시킴으로써, 아래에서 설명되는 바와 같이 접지 핀(312)은 가속을 위한 충분한 공간을 가질 수 있다.
도 14c는 핀-임팩트 방법을 구현하는 시스템(1400)의 제2 스테이지를 보여주고 있으며, 여기서 액추에이터 (보이지 않음)는 접지 핀(312)을 (화살표로 표시된 바와 같이) 배면 필름(1402)을 향하여 작동 (예를 들어, 가속)시킬 수 있다. 일부 실시예에서, 가속은 접지 핀(312)이 배면 필름(1402)에 충격을 주기 전에 끝날 수 있다. 일부 실시예에서, 배면 필름(1402)에 충격을 주기 전에 (예를 들어, 접지 핀(312)에 대한 3밀리미터의 최대 이동 거리 하에서) 접지 핀(312)의 운동 에너지가 예를 들어 0.0002 줄(joules)을 초과할 수 있도록 액추에이터는 접지 핀(3122)을 작동시킬 수 있다. 배면 필름(1402)에 충격을 주기 전에 접지 핀의 운동 에너지는 액추에이터에 의한 작동 하에서의 그의 이동 거리에 좌우될 수 있으며 또한 위의 예에 제한되지 않는다는 점이 주목되어야 한다.
도 14d는 핀-임팩트 방법을 구현하는 시스템(1400)의 최종 단계를 도시하며, 여기서 접지 핀(312)은 도 14c에서 설명된 바와 같이 제2 스테이지에서 획득된 운동 에너지로 인한 충격에 의해 배면 필름(1402)을 관통한다. 일부 실시예에서, 접지 핀(312)은 배면 필름(1402)을 완전히 관통할 수 있으며 배면 필름 내로의 드릴링 없이 웨이퍼(304)와 직접 접촉할 수 있다. 이러한 경우에, 접지 핀(312)과 웨이퍼(304) 간의 전기적 연결은 웨이퍼 접지의 관통 방법을 이용하여 웨이퍼(304)를 접지하기 위해 구축될 수 있다. 일부 실시예에서, 접지 핀(312)은 접지 핀(312)의 팁과 웨이퍼(304)의 표면 (예를 들어, 전도성 표면) 간의 거리가 0.3 미크론보다 작거나 이와 동일할 수 있도록 배면 필름(1402)을 완전히 관통하지 않을 수 있다. 이러한 경우에, 접지 핀(312)과 웨이퍼(304) 간의 전기적 연결은 웨이퍼 접지의 전기 재핑 방법을 이용하여 웨이퍼(304)를 접지하기 위해 구축될 수 있다.
위에서 설명된 바와 같은 핀-임팩트 방법의 일부 실시예에서, 접지 핀(312)의 운동 에너지는 도 14b에 설명된 바와 같이 접지 핀(312)과 배면 필름 (1402) 사이의 거리에 좌우될 수 있다. 예를 들어, 액추에이터가 스프링 기반 액추에이터인 경우, 접지 핀(312)은 배면 필름(1402)으로부터 멀리 당겨져 액추에이터의 스프링을 압축할 수 있다. 접지 핀(312)과 배면 필름 (1402) 사이의 거리가 클수록, 스프링을 압축함으로써 접지 핀(312)은 더 많은 탄성 에너지를 얻을 수 있다. 접지 핀(312)에 의해 얻어진 탄성 에너지는 도 14c에 설명된 바와 같이 제2 스테이지에서 얻어진 그의 운동 에너지를 결정할 수 있다. 또 다른 예에서, 액추에이터가 전기 액추에이터인 경우, 접지 핀(312)과 배면 필름(1402) 간의 거리는 전기 액추에이터가 도 14c에서 설명된 바와 같이 제2 스테이지에서 접지 핀(312)에 대한 작업을 수행하는 것을 허용하는 공간을 결정할 수 있다. 특정 유형의 액추에이터에 대해, 상이한 유형의 웨이퍼, 상이한 유형의 배면 필름, 및 배면 필름(1402)의 상이한 두께는 도 14b에 설명된 바와 같이 제1 스테이지에서의 거리를 결정할 수 있다.
일부 실시예에서, 거리는 웨이퍼의 유형, 배면 필름의 유형, 또는 배면 필름의 두께 중 적어도 하나를 기반으로 (예를 들어, 도 3의 컨트롤러(322) 또는 도 7의 컨트롤러(710)에 의하여) 결정될 수 있다. 예를 들어, 특정 두께를 갖는 특정 유형의 배면 필름이 있는 특정 유형의 웨이퍼에 대해, 드릴링(drilling) 없이 접지 핀(312)과 웨이퍼(304) 사이의 직접적인 접촉을 보장하는 거리 또는 접지 핀(312)과 웨이퍼(304) 사이의 절연 파괴를 보장하는 거리와 같은 대응 거리가 획득될 수 있는 접지 공정 외부에서 실험이 수행될 수 있다. 웨이퍼 유형 정보는 시스템(1400)을 포함하는 웨이퍼 접지 시스템에 (예를 들어, 도 3에서 보여지는 바와 같이 웨이퍼 유형(332)을 저장하는 데이터베이스에) 저장될 수 있으며, 대응하는 거리는 또한 웨이퍼 접지 시스템에 (예를 들어, 도 3에서 보여지는 바와 같이 목표값(328) 또한 저장하는 데이터베이스에) 저장될 수 있다. 제2 스테이지를 수행할 때, 시스템(1400)은 웨이퍼 유형 정보를 결정할 수 있으며 접지 핀(312)을 배면 필름(1402)으로부터 멀리 이동시키기 전에 대응하는 거리를 검색할 수 있다.
일부 실시예에서, 시스템(1400)은 전기적 연결로부터 (예를 들어, 도 3의 센서(316)에 의하여) 결정된 전기적 특성 (예를 들어, 도 3의 전기적 특성(320))을 기반으로 거리를 최적화할 수 있다. 예를 들어, 접지 핀(312)이 배면 필름(1402)에 충격을 주지만 전기적 연결이 구축될 수 없거나 전기적 연결의 품질이 웨이퍼 접지에 대한 요구를 충족시킬 수 없다면, 시스템(1400)은 전기적 연결로부터 결정된 전기적 특성을 기반으로 접지 핀(312)을 배면 필름(1402)으로부터 멀리 이동시키기 위한 새로운 거리를 결정할 수 있으며 또한 도 14b 및 도 14c에서 설명된 바와 같이 핀-임팩트 방법을 반복할 수 있다. 일부 실시예에서, 핀-임팩트 방법을 반복할 때, 시스템(1400)은 또한 액추에이터에 의해 접지 핀(312)에 가해진 목표 운동 에너지와 같은, 거리 이외의 다른 매개변수를 최적화할 수 있다.
일부 실시예에서, 도 14d에서 설명된 바와 같이 최종 스테이지에서 전기적 연결이 구축될 수 없다면, 시스템(1400)을 포함하는 웨이퍼 접지 시스템은 핀-임팩트 방법이 구현되는 웨이퍼 상의 위치를 조정할 수 있다. 예를 들어, 위치는 도 6 내지 도 11에 설명된 바와 같은 방식으로 조정될 수 있다.
도 15a 내지 도 15d는 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지를 위한 예시적인 시스템(1500)의 작동 스테이지를 도시하고 있다. 시스템(1500)은 핀-임팩트 방법을 구현할 수 있다. 시스템(1500)은 웨이퍼 접지를 위한 임의의 시스템 (예를 들어, 도 3, 도 6, 도 7 또는 도 9의 시스템(300, 600, 700 또는 900))의 서브시스템일 수 있다. 도 15a 내지 도 15d는 하나의 접지 핀만을 보여주고 있지만, 임의의 단일-핀 시스템 (예를 들어, 도 3의 서브시스템(302)) 또는 다중-핀 시스템 (예를 들어, 도 4의 시스템(400), 도 6 및 도 7의 서브시스템(602 또는 702), 또는 도 12의 시스템(1200))이 핀-임팩트 방법을 호환 가능하게 구현하도록 구성될 수 있다는 점이 주목되어야 한다. 예를 들어, 다중-핀 시스템에서 핀-임팩트 방법은 그의 다수의 접지 핀들 중 하나 이상에서 호환 가능하게 구현될 수 있다.
도 15a 내지 도 15d에서, 시스템(1500)은 웨이퍼(304), 배면 필름(1402), 접지 핀(312), 질량체 블록(mass block)(1502), 및 액추에이터(미도시)를 포함하고 있다. 시스템(1500) 내의 액추에이터는 도 14a 내지 도 14d에서 설명된 바와 같은 시스템(1400) 내의 액추에이터와 유사할 수 있다. 질량체 블록(1502)은 질량을 갖는 고형 물체일 수 있다. 일부 실시예에서, 질량체 블록(1502)은 해머 또는 램(ram)일 수 있다.
도 15a는 시스템(1500)의 초기 스테이지를 보여주고 있으며, 이 시스템에서 접지 핀(312)은 배면 필름(1402)과 접촉하도록 (예를 들어, 도 3의 컨트롤러(322) 또는 도 7의 컨트롤러(710)에 의해) 제어될 수 있으며 질량체 블록(1502)은 접지 핀(312)과 접촉하도록 제어될 수 있다. 시스템(1400)의 초기 스테이지와 유사하게, 이러한 구성은 두꺼운 배면 필름을 갖고 있지 않은 웨이퍼를 접지시키기 위한 시스템(1500)의 호환성을 보장할 수 있다.
도 15b는 핀-임팩트 방법을 구현하는 시스템(1500)의 제1 스테이지를 보여주고 있으며, 여기서 질량체 블록(1502)은 (화살표로 나타나 있는 바와 같이) 접지 핀(312)으로부터 일정 거리 멀리 이동된다. 일부 실시예에서, 액추에이터는 질량체 블록(1502)을 배면 필름(1402)으로부터 멀리 이동시킬 수 있다. 일부 실시예에서, 질량체 블록(1502)은 (예를 들어, 질량체 블록(1502)의 최상부 표면으로부터 측정된) 질량체 블록(1502)과 접지 핀(312) 사이의 거리가 100미크론을 초과할 수 있도록 접지 핀(312)으로부터 멀리 이동될 수 있다. 예를 들어, 거리는 1밀리미터를 초과할 수 있다. 일부 실시예에서, 질량체 블록(1502)을 접지 핀(312)으로부터 멀리 이동시킴으로써, 아래에서 설명되는 바와 같이 질량체 블록(1502)은 가속을 위한 충분한 공간을 가질 수 있다.
도 15c는 핀-임팩트 방법을 구현하는 시스템(1500)의 제2 스테이지를 보여주고 있으며, 여기서 액추에이터 (보이지 않음)는 질량체 블록(1502)을 (화살표로 표시된 바와 같이) 접지 핀(312)을 향하여 작동 (예를 들어, 가속)시킬 수 있다. 일부 실시예에서, 가속은 질량체 블록(1502)이 접지 핀(312)에 충격을 주기 전에 끝날 수 있다. 일부 실시예에서, 접지 핀(312)에 충격을 주기 전에 질량체 블록(1502)의 운동 에너지가 예를 들어 0.0002 줄(joules)을 초과할 수 있도록 액추에이터는 질량체 블록(1502)을 작동시킬 수 있다.
도 15d는 핀-임팩트 방법을 구현하는 시스템(1500)의 최종 스테이지를 보여주고 있으며, 이 방법에서 질량체 블록(1502)에 의해 접지 핀(312)에 가해지는 힘으로 인한 충격에 의하여 접지 핀(312)이 배면 필름(1402)을 관통한다. 시스템(1400)의 최종 스테이지와 유사하게, 시스템(1500)의 최종 스테이지에서, 관통 방법 또는 전기 재핑 방법을 각각 사용하여, 접지 핀(312)은 배면 필름(1402)을 완전히 관통하거나 완전히 관통하지 않을 수 있으며 접지 핀(312)과 웨이퍼(304) 간의 전기적 연결이 웨이퍼(304)를 접지하기 위해 구축될 수 있다.
도 15a 내지 도 15d에서 설명된 바와 같은 핀-임팩트 방법의 일부 실시예에서, 접지 핀(312)을 타격하는 동안의 질량체 블록(1502)의 운동 에너지는 도 15b에서 설명된 바와 같이 질량체 블록(1502)과 접지 핀(312) 사이의 거리에 좌우될 수 있다. 도 15c에서 획득될 질량체 블록(1502)의 운동 에너지와 도 15b에서의 질량체 블록(1502)과 접지 핀(312) 간의 거리 사이의 관계는 도 14c에서 획득될 접지 핀(312)의 운동 에너지와 도 14b에서의 접지 핀(312)과 배면 필름(1402) 간의 거리 사이의 관계와 유사할 수 있으며, 이는 이하에서 반복되지 않을 것이다. 또한, 일부 실시예에서, 도 15b에서의 거리는 도 14a 내지 도 14c에서 설명된 것과 유사한 방식으로 웨이퍼의 유형, 웨이퍼의 코팅부의 유형, 또는 코팅부의 두께 중 적어도 하나를 기반으로 결정될 수 있으며, 이는 이하에서 반복되지 않을 것이다. 또한, 일부 실시예에서, 시스템(1500)은 (예를 들어, 도 3의 센서(316)에 의하여) 전기적 연결로부터 결정된 전기적 특성 (예를 들어, 도 3의 전기적 특성(320))을 기반으로 거리를 최적화할 수 있거나 핀-임팩트 방법이 도 14a 내지 도 14c에서 설명된 것과 유사한 방식으로 구현되는 웨이퍼 상의 위치를 조정할 수 있으며, 이는 이하에서 반복되지 않을 것이다.
도 14a 및 도 14b에서 설명된 바와 같은 핀-임팩트 방법과 비교하여, 도 15a 및 도 15에서 설명된 바와 같은 핀-임팩트 방법은 시스템(1500)을 설계하기 위하여 더 많은 자유도를 가질 수 있다. 예를 들어, 질량체 블록(1502)의 재료 및 질량은 더 많은 자유도로 선택될 수 있다. 도 15a 및 도 15b에서 설명된 바와 같은 핀-임팩트 방법과 비교하여, 도 14a 및 도 14b에서 설명된 바와 같은 핀-임팩트 방법은 더 간단할 수 있으며 구현을 위하여 더 적은 구성 요소를 필요로 할 수 있다.
도 16은 본 발명의 일부 실시예와 일치하는, 웨이퍼 접지를 위한 예시적인 방법(1600)을 도시하는 흐름도이다. 본 방법(1600)은 하전 입자 빔 장치 (예를 들어, EBI 시스템(100))와 결합될 수 있는 컨트롤러에 의해 수행될 수 있다. 예를 들어, 컨트롤러는 도 3의 컨트롤러(322) 또는 도 7의 컨트롤러(710)일 수 있다. 컨트롤러는 본 방법(1600)을 구현하도록 프로그램될 수 있다.
단계 1602에서, 컨트롤러는 접지 핀 (예를 들어, 도 14a 내지 도 14d 또는 도 15a 내지 도 15d의 접지 핀(312))을 웨이퍼 (예를 들어, 도 14a 내지 도 14d 또는 도 15a 내지 도 15d의 웨이퍼(304))에 충격을 주게 한다. 단계 1604에서, 컨트롤러는 접지 핀과 웨이퍼 간의 전기적 연결을 구축한다.
단계 1602의 일부 실시예에서, 컨트롤러는 접지 핀이 충격에 의해 웨이퍼 상의 코팅부 (예를 들어, 도 14a 내지 도 14d 또는 도 15a 내지 도 15d의 배면 필름(1402))을 관통하게 할 수 있다. 예를 들어, 코팅부는 0.3 미크론을 초과하는 두께를 가질 수 있다. 일부 실시예에서, 컨트롤러는 충격을 통해 접지 핀을 코팅부를 관통하게 하여 웨이퍼와 직접 접촉하게 할 수 있다. 일부 실시예에서, 컨트롤러는 충격을 통해 접지 핀을 코팅부를 관통하게 할 수 있으며, 여기서 접지 핀은 코팅부를 완전히 관통하지 않는다. 예를 들어, 접지 핀이 코팅부를 완전히 관통하지 않을 때, 접지 핀이 충격에 의해 코팅부를 관통한 후의 접지 핀의 팁과 웨이퍼의 표면 간의 거리는 0.3 미크론보다 작거나 이와 동일할 수 있다. 일부 실시예에서, 예를 들어 앞서 언급된 거리가 0.3 미크론보다 작거나 이와 동일할 때, 웨이퍼는 여전히 전기 재핑 방법에 의해 접지될 수 있다.
단계 1602의 일부 실시예에서, 컨트롤러는 (예를 들어, 도 14a 내지 도 14d에 설명된 바와 같은 액추에이터를 이용하여) 접지 핀을 웨이퍼를 향하여 작동시켜 접지 핀의 운동 에너지가, 예를 들어 0.0002줄(joule)을 초과하도록 할 수 있다. 일부 실시예에서, 접지 핀을 웨이퍼를 향하여 작동시킬 때, 컨트롤러는 (예를 들어, 도 14b에서 보여지고 설명된 바와 같이) 접지 핀을 웨이퍼로부터 일정 거리 멀리 이동시키는 것과 (예를 들어, 도 14c에서 보여지고 설명된 바와 같이) 접지 핀을 웨이퍼를 향하여 가속시키는 것을 제어할 수 있다. 일부 실시예에서, 거리는 100미크론을 초과할 수 있다. 예를 들어, 거리는 1밀리미터를 초과할 수 있다. 일부 실시예에서, 컨트롤러는 (예를 들어, 도 14a 내지 도 14d에 설명된 바와 같은) 웨이퍼의 유형, 웨이퍼의 코팅부의 유형, 또는 코팅부의 두께 중 적어도 하나를 기반으로 거리를 결정할 수 있다. 일부 실시예에서, 컨트롤러는 예를 들어 도 14a에 도시된 방식으로 접지 핀을 웨이퍼로부터 멀리 이동시키기 전에 접지 핀을 웨이퍼와 접촉하게 할 수 있다.
단계 1602의 일부 실시예에서, 컨트롤러는 질량체 블록 (예를 들어, 도 15a 내지 도 15d의 질량체 블록(1502))을 웨이퍼를 향하여 접지 핀에 충격을 주게 할 수 있다. 일부 실시예에서, 컨트롤러는 (예를 들어, 도 15a 내지 도 15d에 설명된 바와 같은 액추에이터를 이용하여) 질량체 블록을 접지 핀을 향하여 작동시켜 질량체 블록의 운동 에너지가, 예를 들어 0.0002줄을 초과하도록 할 수 있다. 일부 실시예에서, 컨트롤러는 (예를 들어, 도 15b에서 보여지고 설명된 바와 같이) 질량체 블록을 접지 핀으로부터 일정 거리 멀리 이동시키는 것과 (예를 들어, 도 15c에서 보여지고 설명된 바와 같이) 질량체 블록을 접지 핀을 향하여 가속시키는 것을 제어할 수 있다. 일부 실시예에서, 거리는 100미크론을 초과할 수 있다. 예를 들어, 거리는 1밀리미터를 초과할 수 있다. 일부 실시예에서, 컨트롤러는 (예를 들어, 도 15a 내지 도 15d에 설명된 바와 같은) 웨이퍼의 유형, 웨이퍼의 코팅부의 유형, 또는 코팅부의 두께 중 적어도 하나를 기반으로 거리를 결정할 수 있다. 일부 실시예에서, 컨트롤러는, 예를 들어 도 15a에 도시된 방식으로 질량체 블록을 접지 핀으로부터 멀리 이동시키기 전에 질량체 블록이 접지 핀과 접촉하게 할 수 있다. 일부 실시예에서, 질량체 블록을 접지 핀으로부터 멀리 이동시키기 전에 질량체 블록을 접지 핀과 접촉하게 하는 것 외에, 컨트롤러는 추가로 예를 들어 도 15a에서 보여지는 방식으로 접지 핀을 웨이퍼와 접촉하도록 할 수 있다.
예로서, 도 17은 본 발명의 일부 실시예와 일치하는, 정전 홀더의 전극과 접지 핀 사이에 전압 차이가 순간적으로 발생하는 예시적인 웨이퍼 접지 시스템(1700)의 도면이다. 일부 실시예에서, 시스템(1700)은 도 3의 시스템(300), 도 4의 시스템(400), 도 6의 시스템(600), 도 7의 시스템(700), 도 9의 시스템(900), 도 12의 시스템(1200), 도 14a 내지 도 14d의 시스템(1400) 또는 도 15a 내지 도 15d의 시스템(1500)과 동일한 수의 구성 요소, 이보다 더 많은 구성 요소 또는 더 적은 구성 요소를 포함할 수 있다. 도 17에서, 본 시스템(1700)은 웨이퍼(304), 정전 홀더(306), (접지 핀(312)을 포함하는) 하나 이상의 접지 핀, (제1 전극(1702)과 제2 전극(1704)을 포함하는) 복수의 전극, 제1 전극(1702)에 연결된 제1 배선(1706), 제2 전극(1704)에 연결된 제2 배선(1708), 접지 핀(312)에 연결된 접지 배선(1710), 접지 배선(1710)에 연결된 접지 파워 소스(1712), 및 제1 배선(1706)과 제2 배선(1708)에 연결된 전압 소스(1714)를 포함하고 있다.
예를 들어, 제1 전극(1702)은 제1 배선(1706)을 통해 전압 소스(1714)에 의해 제공되는 (제1 전극(1702) 내부에서 양의 부호 "+"로 표시된) 양의 클램핑 전압을 가질 수 있으며, 제2 전극(1704)은 제2 배선(1708)을 통해 전압 소스(1714)에 의해 제공되는 (제2 전극(1704) 내부에서 음의 부호 "-"로 표시된) 음의 클램핑 전압을 가질 수 있다. 본 명세서에 설명된 "배선(wiring)" 또는 "케이블링(cabling)"은 임의의 수의 와이어, 케이블, 코드, 인터페이스, 커넥터, 전도체, 절연체, 반도체, 또는 전기 분배를 위한 임의의 구성 요소를 포함할 수 있다. 도 17에 도시된 바와 같이, 제1 전극(1702)과 제2 전극(1704)은 정전 홀더(306)의 최상부 표면 아래에 포함될 수 있다. 정전 홀더(306)는 웨이퍼(304)와 제1 전극(1702) 및 제2 전극(1704) 사이에 비전도성 유전체 재료를 포함할 수 있다. 도 17은 하나의 접지 핀과 2개의 전극만을 보여주고 있지만, 시스템(1700)은 임의의 수의 접지 핀과 전극을 포함할 수 있다는 점이 주목되어야 한다.
시스템(1700)의 작동 동안, 도 17에 도시된 바와 같이, 접지 핀(312)은 접지 신호를 제공하기 위하여 웨이퍼(304)에 전기적으로 연결될 수 있다. 예를 들어, 접지 핀(312)은 예를 들어 본 명세서에서 설명된 관통 방법을 통하여 웨이퍼(304)의 (도 17에서는 보이지 않는) 배면 필름을 관통한 후 웨이퍼(304)와 물리적으로 접촉할 수 있다. 또 다른 예로서, 접지 핀(312)은, 예를 들어 본 명세서에서 설명된 전기적 재핑 방법을 통한, 절연 파괴를 통하여 웨이퍼(304)에 전도적으로 연결될 수 있다. 접지 파워 소스(1712)는 접지 배선(1710)과 접지 핀(312)을 통하여 웨이퍼(304)에 접지 신호 및 웨이퍼 바이어싱 전위(예를 들어, 음의 전압 전위)를 제공할 수 있다. 예를 들어, 접지 파워 소스(1712)는 도 3의 시스템(300) 내에서의 전기 신호 생성기(314)의 기능을 포함할 수 있다. 접지 파워 소스(1712)는 전압 소스(1714)와 상이한 디바이스일 수 있다.
시스템(1700)의 작동 동안, 정전 홀더(306)의 전극은 정전 홀더(306)의 최상부 표면 상에 웨이퍼(304)를 고정하기 위한 클램핑 전압을 구비할 수 있다. 클램핑 전압은 플로팅 전압(floating voltage)에 의하여, 웨이퍼(304)에 제공된 전압 전위에 플로팅(float)할 수 있다. 예를 들어, 플로팅 전압은 300볼트일 수 있으며, 여기서 제1 전극(1702)은 30킬로볼트+300볼트의 양의 클램핑 전압을 구비할 수 있고, 제2 전극(1704)은 30킬로볼트-300볼트의 음의 클램핑 전압을 구비할 수 있다. 본 발명의 일부 실시예와 일치하는, 도 17에 도시된 바와 같이, 제1 전극(1702)과 제2 전극(1704)은 양의 클램핑 전압과 음의 클램핑 전압을 각각 구비할 수 있다. 클램핑 전압에 응답하여, (웨이퍼(304) 내부에 양의 부호 "+" 및 음의 부호 "-"로 표시된) 반대 전하들이 웨이퍼(304)의 최하부 표면에 형성될 수 있다. 제1 전극(1702)과 제2 전극(1704)의 클램핑 전압들의 절대값들이 동일하면, 웨이퍼(304)의 최하부 표면에서의 발생된 반대 전하들은 웨이퍼(304)에 의해 운반되는 전체 전하에 영향을 미치지 않을 수 있다. 예를 들어, 웨이퍼(304)는 (예를 들어, 30킬로볼트의 전압 전위로) 바이어스될 수 있으며 전기적 중립성을 유지 (예를 들어, 0의 전체 전하를 운반)할 수 있는 반면, 웨이퍼(304) 내부의 전하 분포는 도 17에 도시된 바와 같이 분극화될 수 있다. 웨이퍼(304)의 최하부 표면에 형성된 반대 전하들은 대응하는 전극들에 의해 끌릴 수 있으며, 여기서 웨이퍼(304)는 정전 홀더(306)의 최상부 표면에 고정될 수 있다.
일부 경우에, 전극의 회로에 전기 아크(electric arcing)가 발생할 수 있다. 예를 들어, 도 17에 도시된 바와 같이, 아크(1716)가 제1 배선(1706)에서 발생할 수 있으며, 이는 웨이퍼(304)와 정전 홀더(306)의 전극 (예를 들어, 제1 전극(1702)) 사이에 순간적으로 전압 차이 (예를 들어, 10킬로볼트)가 형성되게 할 수 있다. 전압 차이는 클램핑 전압을 상당히 방해할 수 있는 반면, 웨이퍼(304)에 제공된 바이어싱 전압 전위 (예를 들어, 30킬로볼트)는 영향을 받지 않을 수 있다. 예를 들어, 제1 전극(1702)의 클램핑 전압은 30킬로볼트+300볼트에서 20킬로볼트+300볼트로 낮아질 수 있다. 또 다른 예로서, 제2 배선(1708)에서 아크가 발생한다면 (도 17에서는 보이지 않는), 제2 전극(1704)의 클램핑 전압 또한 전압 차이에 의해 변화될 수 있다. 이러한 순간적으로 형성된 전압 차이는 웨이퍼(304)와 정전 홀더(306)의 전극 (예를 들어, 제1 전극(1702) 및 제2 전극(1704)) 사이에 강한 전계를 생성할 수 있다. 강한 전계는 정전 홀더(306)의 최상부 표면에 대해 웨이퍼(304)의 전하를 끌어당기거나 밀어낼 수 있다. 끌어당겨진 또는 밀어내어진 전하는 웨이퍼(304) 또는 정전 홀더(306) 상에 잔류 전하를 추가로 형성할 수 있다.
본 발명은 기판과 정전 홀더 사이에의 순간적으로 형성된 전압 차이로 인한 잔류 전하의 형성을 방지하기 위한 장치 및 시스템을 제공한다. 본 발명의 일부 실시예와 일치하는, 장치 또는 시스템은 접지 핀, 내부에 있는 전극을 포함하는 정전 홀더, 및 접지 핀과 전극 사이에 전기적으로 연결된 (예를 들어, 전도성으로 연결된) 과전압 보호 디바이스를 포함할 수 있다. 과전압 보호 디바이스는 과전압 보호 디바이스에 인가된 전압이 임계 전압을 초과할 때 전기를 전도하도록 그리고 전압이 임계 전압을 초과하지 않을 때 전기를 전도하는 것을 중지시키도록 구성될 수 있다. 일부 실시예에서, 과전압 보호 디바이스는 복수의 방향으로 전기를 전도하는 것을 허용할 수 있다. 일부 실시예에서, 임계 전압은 과전압 보호 디바이스의 전기적 특성 (예를 들어 매개변수)일 수 있다. 일부 실시예에서, 임계 전압은 조정 가능할 수 있다 (예를 들어, 장치 및 시스템의 상이한 적용들에 응답하여 임의의 값을 갖도록 구성 가능하다).
일부 실시예에서, 과전압 보호 디바이스는 과도 전압 억제(TVS) 다이오드, 제너 다이오드, 배리스터 (또는 "전압 종속 레지스터"로 지칭됨), 또는 교류를 위한 실리콘 다이오드(SIDAC), 또는 과전압 하에서 전도성이 되고 과전압이 제거되면 비전도성으로 재설정되는 임의의 활성 크로우바(active crowbar) 디바이스 중 적어도 하나를 포함할 수 있다. 예를 들어, 과전압 보호 디바이스가 TVS 다이오드를 포함한다면, 임계 전압은 TVS 다이오드의 역항복 전압일 수 있다. TVS 다이오드에 인가된 전압이 역항복 전압을 초과할 때, TVS 다이오드는 과전압에 대해 접지에 대한 저-임피던스 경로를 제공하는 전자 사태 모드에서 작동할 수 있다. TVS 다이오드는 과전압이 역항복 전압 아래로 가라 앉은 후 고-임피던스 상태로 돌아갈 수 있다.
일부 실시예에서, 과전압 보호 디바이스는 사이리스터 서지 보호 디바이스(thyristor surge protection device)(Trisil), 교류용 다이오드(DIAC), 양방향 3극관 사이리스터(TRIAC) 중 적어도 하나를 포함할 수 있다.
본 발명의 일부 실시예와 일치하여, 장치 및 시스템은 다수의 접지 핀을 포함할 수 있으며, 정전 홀더는 다수의 전극을 포함할 수 있다. 장치 및 시스템은 다수의 과전압 보호 디바이스를 포함할 수 있으며, 이들의 각각은 하나의 접지 핀과 하나의 전극 사이에 전기적으로 연결될 수 있다. 즉, 각 접지 핀과 각 전극 사이에 전기적으로 연결된 하나의 과전압 보호 디바이스가 있다. 다수의 과전압 보호 디바이스는 과전압 하에서 전도성이 되도록 구성될 수 있으며, 과전압이 제거되면 비전도성으로 재설정된다.
본 발명의 일부 실시예와 일치하는 장치 및 시스템은 하우징을 더 포함할 수 있다. 하우징은 정전 홀더와 접지 핀을 둘러싸는 오목한 표면을 포함할 수 있다. 하우징은 제1 인터페이스 또는 제2 인터페이스 중 적어도 하나를 더 포함할 수 있다. 제1 인터페이스는 오목한 표면 상에 있을 수 있으며 전극의 배선과 정합(mate)할 수 있다. 제2 인터페이스는 오목한 표면 상에 있을 수 있으며 접지 핀의 배선과 정합할 수 있다. 과전압 보호 디바이스는 하우징 내부 또는 외부에 배열될 수 있다.
과전압 보호 디바이스를 사용함으로써, 과전압이 전극과 접지 핀 사이에서 순간적으로 형성될 때마다 (예를 들어, 전극의 배선에서 아크가 발생할 때) 위에서 설명된 장치 및 시스템은 저-임피던스 상태에서 과전압 보호 디바이스를 통해 전극과 접지 핀 사이의 전하를 순간적으로 이동시킬 수 있다. 과전압이 가라앉으면, 과전압 보호 디바이스는 고-임피던스 상태로 돌아갈 수 있다. 이러한 기술적인 해결책은 잔류 전하의 형성을 줄이거나 제거할 수 있다.
예로서, 도 18은 본 발명의 일부 실시예와 일치하는, 정전 홀더의 전극과 접지 핀 사이에 전압 차이가 형성되는 것이 방지되는 예시적인 웨이퍼 접지 시스템(1800)의 도면이다. 시스템(1800)은 도 17의 시스템(1700)과 유사할 수 있으며, 웨이퍼(304), 정전 홀더(306), 접지 핀(312), 제1 전극(1702), 제2 전극(1704), 제1 배선(1706), 제2 배선(1708), 접지 배선(1710), 접지 파워 소스(1712), 전압 소스(1714), 접지 배선(1710)과 제1 배선(1706) 사이에 전기적으로 연결된 제1 과전압 보호 디바이스(1802), 및 접지 배선(1710)과 제2 배선(1708) 사이에 전기적으로 연결된 제2 과전압 보호 디바이스(1804)를 포함하고 있다.
제1 과전압 보호 디바이스(1802)와 제2 과전압 보호 디바이스(1804)는 접지 배선(1710) (및 본질적으로 웨이퍼(304))과 제1 배선(1706) 및 제2 배선(1708) 사이의 초과 전압을 각각 분류(shunt)시킬 수 있다. 예를 들어, 접지 배선(1710)과 제1 배선(1706) (또는 제2 배선(1708)) 간의 전압 차이가 임계 전압(예를 들어, 항복 전압) 미만일 때, 제1 과전압 보호 디바이스(1802) (또는 제2 과전압 보호 디바이스(1804))는 비-전도성 (예를 들어, 고 임피던스 커패시터의 역할을 함)일 수 있으며 또한 전하가 접지 배선(1710)과 제1 배선(1706) (또는 제2 배선(1708)) 사이에 흐르는 것을 차단한다. 접지 배선(1710)과 제1 배선(1706) (또는 제2 배선(1708)) 사이의 전압 차이가 임계 전압과 동일하거나 이보다 클 때, 제1 과전압 보호 디바이스(1802) (또는 제2 과전압 보호 디바이스(1804))는 (예를 들어, 그의 임피던스를 급격히 낮아지게 함으로써) 전도성이 될 수 있고 그리고 전하가 접지 배선(1710)과 제1 배선(1706) (또는 제2 배선(1708)) 사이에서 흐르는 것을 허용할 수 있으며, 이는 전압 차이를 순간적으로 감소시키거나 제거할 수 있다. 접지 배선(1710)과 제1 배선(1706) (또는 제2 배선(1708)) 사이의 전압 차이가 다시 임계 전압 아래로 감소할 때, 제1 과전압 보호 디바이스(1802) (또는 제2 과전압 보호 디바이스(1804))는 자동적으로 재설정되어 다시 비전도성이 될 수 있다.
제1 과전압 보호 디바이스(1802) 또는 제2 과전압 보호 디바이스(1804)는 방향을 가질 수 있으며, 전하는 이 방향을 따라 흐르는 것이 허용된다. 일부 실시예에서, 제1 과전압 보호 디바이스(1802) 또는 제2 과전압 보호 디바이스(1804)는 "양방향성"일 수 있으며 따라서 전하가 양 방향 중 어느 한 방향을 따라 흐르는 것이 허용된다. 예를 들어, 접지 배선(1710)과 제1 배선(1706) (또는 제2 배선(1708)) 사이의 전압 차이의 절대값이 임계 전압과 동일하거나 이보다 클 때, 제1 과전압 보호 디바이스(1802) (또는 제2 과전압 보호 디바이스(1804))는 웨이퍼(304)의 전압이 제1 전극(1702) (또는 제2 전극(1704))보다 높다면 전하가 접지 배선(1710)에서 제1 배선(1706) (또는 제2 배선(1708))으로 흐르는 것을 허용할 수 있거나, 웨이퍼(304)의 전압이 제1 전극(1702) (또는 제2 전극(1704))보다 낮다면 전하가 제1 배선(1706) (또는 제2 배선(1708))에서 접지 배선(1710)으로 흐르는 것을 허용할 수 있다.
일부 실시예에서, 제1 과전압 보호 디바이스(1802) 또는 제2 과전압 보호 디바이스(1804)는 이들의 단일 방향을 따라서만 전하가 흐르는 것이 허용되도록 "단방향성"일 수 있다. 예를 들어, 제1 과전압 보호 디바이스(1802)가 접지 배선(1710)에서 제1 배선(1706)으로의 전도 방향을 갖고 있다면, 이는 웨이퍼(304)의 전압이 임계 전압만큼 제1 전극(1702)의 전압을 초과할 때에만 전하가 접지 배선(1710)에서 제1 배선(1706)으로 흐르는 것을 허용할 수 있으며, 또한 제1 전극(1702)의 전압이 임계 전압만큼 웨이퍼(304)의 전압을 초과할 때에도 전하가 제1 배선(1706)에서 접지 배선(1710)으로 흐르는 것을 차단할 수 있다.
예로서, 도 19a 내지 도 19c는 본 발명의 일부 실시예와 일치하는, 정전 홀더의 전극과 접지 핀 사이에 전압 차이가 형성되는 것이 방지되는 예시적인 웨이퍼 접지 시스템(1900A 내지 1900C)의 도면이다. 시스템(1900A 내지 1900C)은 도 18의 시스템(1800)과 유사할 수 있으며, 정전 홀더(306), 접지 핀(312), 제1 전극(1702), 제2 전극(1704), 제1 배선(1706), 제2 배선(1708), 접지 배선(1710), 접지 파워 소스(1712), 전압 소스(1714), 제1 과전압 보호 디바이스(1802) 및 제2 과전압 보호 디바이스(1804)를 포함하고 있다. 시스템(1900A 내지 1900C)은 (도 19A 내지 도 19C에서 굵은 선으로 표시된) 오목한 표면(1904)을 포함하는 하우징(1902), 오목한 표면(1904) 상의 제1 인터페이스(1906), 오목한 표면(1904) 상의 제2 인터페이스(1908), 오목한 표면(1904) 상의 접지 인터페이스(1910), 제1 전극(1702)과 제1 인터페이스(1906) 사이에 전기적으로 연결된 제1 케이블링(1912), 제2 전극(1704)과 제2 인터페이스(1908) 사이에 전기적으로 연결된 제2 케이블링(1914), 및 접지 핀(312)과 접지 인터페이스(1910) 사이에 전기적으로 연결된 접지 케이블링(1916)을 더 포함하고 있다. 본 명세서에 설명된 "인터페이스"는 2개 이상의 전기 구성 요소가 서로 연결 또는 상호 작용하는 장소 또는 터미널을 포함할 수 있다. 일부 실시예에서, 본 명세서에서 설명된 인터페이스는 결합 부분 (예를 들어, 수 또는 암 부분)을 포함할 수 있다. 예를 들어, 제1 인터페이스(1906), 제2 인터페이스(1908), 및 접지 인터페이스(1910)는 커넥터, 플러그, 스크류, 클램프, 핀, 소켓, 또는 2개 이상의 전기 구성 요소를 전기적으로 결합하기 위한 임의의 수단을 포함할 수 있다.
제1 배선(1706)은 제1 인터페이스(1906)와 제1 케이블링(1912)을 포함할 수 있다. 예를 들어, 제1 인터페이스(1906)는 제1 배선(1706) (예를 들어, 제1 케이블링(1912))의 하나 이상의 부분과 정합할 수 있다. 제2 배선(1708)은 제2 인터페이스(1908)와 제2 케이블링(1914)을 포함할 수 있다. 예를 들어, 제2 인터페이스(1908)는 제2 배선(1708) (예를 들어, 제2 케이블링(1914))의 하나 이상의 부분과 정합할 수 있다. 접지 배선(1710)은 접지 인터페이스(1910) 및 접지 케이블링(1916)을 포함할 수 있다. 예를 들어, 접지 인터페이스(1910)는 접지 배선(1710) (예를 들어, 접지 케이블링(1916))의 하나 이상의 부분과 정합할 수 있다.
도 19a 내지 도 19c에 도시된 바와 같이, 오목한 표면(1904)은 전기 홀더(306) 및 접지 핀(312)을 둘러쌀 수 있다. 오목한 표면(1904)은 임의의 형상일 수 있으며 도 19a 내지 도 19c에서 보여지는 예시적인 형상에 제한되지 않는다는 점이 주목된다.
이 발명의 실시예와 일치하여, 제1 과전압 보호 디바이스(1802)는 접지 핀(312)과 제1 전극(1702) 사이에 전기적으로 연결되는 한 어디에든 배열될 수 있으며, 제2 과전압 보호 디바이스(1804)는 접지 핀(312)과 제2 전극(1704) 사이에 전기적으로 연결되는 한 어디에나 배치될 수 있다. 예로서, 도 19a에 도시된 바와 같이, 제1 과전압 보호 디바이스(1802)와 제2 과전압 보호 디바이스(1804)는 하우징(1902) 내부에 제공된다. 도 19b 및 도 19c에 도시된 바와 같이, 제1 과전압 보호 디바이스(1802)와 제2 과전압 보호 디바이스(1804)는 하우징(1902) 외부에 제공된다. 예를 들어, 도 19b에서, 제1 과전압 보호 디바이스(1802)는 제1 인터페이스(1906)와 접지 인터페이스(1910) 사이에 전기적으로 연결되어 있으며, 제2 과전압 보호 디바이스(1804)는 제2 인터페이스(1908)와 접지 인터페이스(1910) 사이에 전기적으로 연결되어 있다. 또 다른 예로서, 도 19c에서, 제1 과전압 보호 디바이스(1802)는 제1 케이블링(1912)과 접지 케이블링(1916) 사이에 전기적으로 연결되어 있으며, 제2 과전압 보호 디바이스(1804)는 제2 케이블링(1914)과 접지 케이블링(1916) 사이에 전기적으로 연결되어 있다. 일부 실시예에서, 임피던스를 더 낮추기 위해, 제1 과전압 보호 디바이스(1802)와 제2 과전압 보호 디바이스(1804)는 제1 전극(1702)과 제2 전극(1704) 근처의 위치에 각각 배열될 수 있다. 본 발명은 제1 과전압 보호 디바이스(1802)의 위치 또는 제2 과전압 보호 디바이스(1804)의 위치를 도 19a 내지 도 19c에서 도시되고 설명된 바와 같은 예에 제한하지 않는다는 점이 주목되어야 한다.
일부 경우에, 웨이퍼와 정전 홀더 사이의 순간적으로 형성되는 전압 차이의 하나의 원인은 정전 홀더 근처에서 발생하는 방전일 수 있다. 예로서, 도 20은 본 발명의 일부 실시예와 일치하는, 방전이 고전압부에서 발생할 수 있는 예시적인 웨이퍼 검사 시스템(2000)의 횡단면도를 도시하고 있다. 도 20에서, 시스템(2000)은 정전 홀더(306), 정전 홀더(306)의 최상부에 고정된 웨이퍼(304), 정전 홀더(306)를 지지하는 하우징(1902), 및 (내부 점선으로 표시된) 차폐 플레이트(2002)의 구멍을 통해 웨이퍼(304) 상으로 투영하는 일차 전자 빔(220)을 포함하고 있다. 하우징(1902)은 스테이지 (예를 들어, 도 2에 도시되고 설명된 바와 같은 전동식 샘플 스테이지(201))를 배치하기 위해 사용될 수 있는 캐비티(2004)를 포함하고 있다. 정전 홀더(306)는 스테이지에 장착될 수 있으며 스테이지에 의해 이동하도록 제어될 수 있다.
하우징(1902)은 (굵은 선으로 표시된) 최상부 표면(2006), (굵은 선으로 표시된) 최하부 표면(2008), 및 측 표면(2010)을 포함하고 있다. 일부 실시예에서, 측 표면(210)은 또한 위치 설정 목적을 위한 미러로서 사용될 수 있다. 검사 작동을 위하여, 최상부 표면(2006)과 웨이퍼(304)는 고전압 (예를 들어, -30킬로볼트)에 의해 바이어스될 수 있다. 일차 전자 빔(220)의 편향을 피하기 위해, 차폐 플레이트(2002) 또한 바이어싱 전압 (예를 들어, -30킬로볼트)에 의해 바이어스될 수 있다. 최하부 표면(2008)은 접지될 수 있다. 시스템(2000)에서, 최상부 표면(2006)은 고전압부로 지칭될 수 있으며, 최하부 표면(2008)은 접지부로 지칭될 수 있다. 최상부 표면(2006)과 최하부 표면(2008)을 격리하기 위하여 아이솔레이터 층이 측 표면(2010) 상에 도포될 수 있다. 이러한 이유로, 측 표면(2010)은 또한 본 발명에서 격리 표면(2010)으로 지칭될 수 있다.
이상적인 경우에, 접지로 인해 최하부 표면(2008) 아래에 또는 차폐 플레이트(2002)로 인해 최상부 표면(2006) 위에 전계가 없으며, 또한 전계는 최상부 표면(2006)과 최하부 표면(2008) 사이에만 존재할 것이다. 이러한 이상적인 경우에, 최상부 표면(2006)의 고전압은 최상부 표면(2006)에서 최하부 표면(2008)으로 격리 표면(2010)을 따라 낮아질 수 있다.
그러나 실제 시나리오에서, 최상부 표면(2006) 근처의 전계가 지나치게 강할 때 최상부 표면(2006)과 격리 표면(2010) 사이에서 전계 방출이 발생할 수 있다. 최상부 표면(2006)은 전도성이고 파워 공급부에 연결되기 때문에, 그것은 본질적으로 많은 양의 전하 (예를 들어, 전자)를 공급할 수 있는 전하 소스 역할을 할 수 있다. 전계 방출로 인해 생성된 전하 ("전계-방출 전하"로 지칭됨)는 최상부 표면(2006)에서 이탈할 수 있고 격리 표면(2010)에 도달할 수 있다. 전계-방출 전하의 랜딩 에너지가 충분히 높으면, 이는 격리 표면(2010)에 부착된 가스 분자를 방출할 수 있으며, 또한 전자 사태 효과를 유발할 수 있다. 전자 사태 효과는 큰 전류가 최상부 표면(2006)과 격리 표면(2010) 사이에서 아크를 야기하게 할 수 있으며, 정전 홀더(306) 근처의 환경으로 많은 양의 전하를 방전하게 할 수 있다. 이러한 방전은 정전 홀더(306)의 클램핑 전압을 방해할 수 있으며 잔류 전하가 그 위에 형성되도록 할 수 있고, 이는 웨이퍼 고착 문제를 초래할 수 있다. 또한, 이러한 방전은 환경으로부터 원하지 않는 입자 (예를 들어, 먼지)를 끌어당길 수 있으며, 이는 웨이퍼 검사를 위한 일차 전자 빔(220)을 방해할 수 있다.
최상부 표면(2006)의 고전압 (즉, 바이어싱 전압)은, 예를 들어 웨이퍼를 교체하기 위하여 또는 점검(service)을 위해 시스템(2000)을 셧다운하기 위해 일부 상황에서 스위치 온 및 오프될 수 있다. 이 상황에서, 위에서 설명된 방전을 방지하기 위하여, 고전압의 램프-업(ramp-up) 또는 램프-다운(ramp-down)은 낮은 속도로 제한될 수 있으며, 이는 특히 시스템(2000)이 다중-빔 검사 시스템일 때 시스템(2000)의 웨이퍼 검사의 처리량을 제한할 수 있다.
본 발명의 일부 실시예와 일치하여, 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 장치 및 시스템이 제공된다. 이러한 장치는 장치의 고전압부와 장치의 접지부 사이에 배열된 아이솔레이터를 포함할 수 있다. 고전압부에는 고전압이 인가될 수 있다. 접지부는 접지에 전기적으로 연결됨으로써 접지될 수 있다. 절연체는 고전압부와 접지부를 절연할 수 있다. 장치는 또한 고전압부에 전기적으로 연결된 제1 전극, 및 접지부에 전기적으로 연결되고 제1 전극에 대해 비전도성인 제2 전극을 포함할 수 있다. 장치는 아이솔레이터 위의 제1 전극과 제2 전극을 덮는 유전체 층을 더 포함할 수 있다. 본 명세서에서 사용된 바와 같은 "덮는 것"은 에워싸는 것, 뒤덮는 것, 묻는 것, 싸는 것, 끼워넣는 것, 덮어씌우는 것, 코팅하는 것, 또는 물체를 또 다른 물체 또는 환경으로부터 절연 또는 격리하는 임의의 동작을 포함할 수 있다. 예를 들어, 유전체 층은 글라스, 세라믹, 또는 적어도 3×10-11 패럿(farads)/미터의 유전 상수를 갖는 임의의 재료로 만들어질 수 있다.
일부 실시예에서, 고전압부는 제1 표면 (예를 들어, 플레이트 애노드)을 포함할 수 있다. 접지부는 제1 표면에 평행한 제2 표면(예를 들어, 플레이트 캐소드)을 포함할 수 있다. 아이솔레이터는 제1 표면과 제2 표면 사이에 수직 표면 (예를 들어, 측벽)을 포함할 수 있다.
일부 실시예에서, 유전체 층은 아이솔레이터의 표면에 부착될 수 있다. 이러한 경우, 제1 전극과 제2 전극은 유전체 층과 아이솔레이터의 표면 사이에서 덮일 수 있다. 예를 들어, 글라스 또는 세라믹의 층이 절연체의 표면에 접착될 수 있으며, 여기서 제1 전극과 제2 전극은 층과 표면 사이에 접착될 수 있다.
일부 실시예에서, 아이솔레이터는 유전체 층을 포함할 수 있다. 이러한 경우, 제1 전극과 제2 전극은 아이솔레이터 내부에 매립될 수 있다. 예를 들어, 유전체 층은 절연체의 균질한 부분일 수 있다. 예로서, 제1 전극과 제2 전극은 클레이(clay) 내로 매립될 수 있으며 단일 세라믹 몸체로 동시 소성(co-fired)될 수 있다.
일부 실시예에서, 제1 전극 및 제2 전극이 아이솔레이터에 매립될 수 있으며, 부가적인 유전체 층이 아이솔레이터의 표면에 부착될 수 있다. 유전체 층 위의 제1 전극 및 제2 전극을 덮는 것은 다른 방식으로 구현될 수 있으며, 본 발명은 이 방식을 위에서 설명된 실시예에 한정하지 않는다는 점이 주목되어야 한다.
본 발명의 일부 실시예와 일치하여, 장치는 제1 전도부 및 제2 전도부를 더 포함할 수 있다. 제1 전도부는 장치의 고전압부에 전기적으로 연결될 수 있으며 제1 전극에 용량적으로 연결될 수 있다. 예를 들어, 상기 제1 전도부는 고전압부로부터 연장되고 제1 전극과 평행한 플레이트일 수 있다. 제2 전도부는 장치의 접지부에 전기적으로 연결될 수 있으며 제2 전극에 용량적으로 연결될 수 있다. 예를 들어, 제2 전도부는 접지부로부터 연장되고 제2 전극과 평행한 플레이트일 수 있다.
유전체 층은 아이솔레이터의 표면에 커패시터를 형성하는 데 기여할 수 있다. 예를 들어, 제1 전도부, 유전체 막 및 제1 전극은 제1 커패시터를 형성할 수 있다. 또 다른 예로서, 제2 전도부, 유전체 막 및 제2 전극은 제2 커패시터를 형성할 수 있다. 커패시터는 전계 방출을 유발할 수 있는 집중된 전계의 형성을 방지하기 위하여 그의 주변 환경 내의 장치와 대상물 (예를 들어, 장치의 인접 구성 요소 또는 구성 구조체(building structure)) 간의 용량성 커플링을 완화할 수 있다. 커패시터의 정전 용량이 클수록 더 나은 완화 기능을 제공할 수 있다. 커패시터의 정전 용량이 충분히 높다면, 그의 높은 정전 용량은 장치를 진공 내로 효과적으로 배치하는 것으로 간주될 수 있으며, 여기서 환경적 용량성 커플링의 영향은 크게 완화되거나 심지어 제거될 수 있다.
커패시터의 정전 용량은 그의 터미널의 중첩 영역에 비례할 수 있으며, 2개의 터미널 간의 거리에 반비례할 수 있다. 즉, 커패시터의 정전 용량은 ("중첩 대 거리 비율"로 지칭되는) 거리에 따른 중첩 영역의 비율에 비례할 수 있다. 예를 들어, 제1 커패시터는 제1 전도부와 제1 전극을 그의 2개의 터미널로서 가질 수 있으며, 이들은 유전체 층의 두께만큼 떨어져 있고 제1 중첩 영역을 갖는다. 제2 커패시터는 제2 전도부와 제2 전극을 그의 2개의 터미널로서 가질 수 있으며, 이들은 유전체 층의 두께만큼 떨어져 있고 제2 중첩 영역을 갖는다.
일부 실시예에서, 유전체 층의 두께는 제1 전도부와 제1 전극 사이의 제1 중첩 영역과 제2 전도부와 제2 전극 사이의 제2 중첩 영역 중 더 작은 것의 십분의 일 (즉, 1/10)과 같거나 이보다 적을 수 있다. 이러한 경우에, 제1 커패시터와 제2 커패시터는 둘 모두는 적어도 10의 중첩-대-거리 비율을 가질 수 있으며, 이는 그들의 정전 용량을 증가시키는 데 기여할 수 있다.
일부 실시예에서, 유전체 층의 두께는 유전체 층의 표면과 본 장치에 용량적으로 연결되고 본 장치에 속하지 않는 대상물 사이의 거리의 오십분의 일 (예를 들어, 1/50)과 같거나 이보다 적을 수 있다. 예를 들어, 대상물은 본 장치를 둘러싼 환경 내의 대상물일 수 있다. 대상물과 제1 전도부 (또는 제2 전도부)는 유효 커패시터를 형성할 수 있으면서, 대상물과 제1 전도부 (또는 제2 전도부)는 그의 2개의 터미널이고 그들 사이의 모든 재료 (예를 들어, 공기)는 유전체 재료이다. 이러한 경우에, 제1 커패시터 (또는 제2 커패시터)의 정전 용량은 유효 커패시터의 정전 용량을 초과할 수 있으며, 이는 제1 커패시터 (또는 제2 커패시터)의 터미널 대 터미널 거리가 유효 커패시터의 터미널 대 터미널 거리보다 훨씬 더 작기 (예를 들어, 최대 1/50) 때문이며, 이는 대상물과 본 장치 사이의 용량성 커플링을 완화하는 데 기여할 수 있다.
본 발명의 일부 실시예와 일치하여, 장치는 하우징을 더 포함할 수 있다. 하우징은 웨이퍼 검사 시스템의 스테이지를 둘러싸도록 구성될 수 있다. 고전압부는 하우징의 최상부 표면을 포함할 수 있다. 접지부는 하우징의 최하부 표면을 포함할 수 있다. 아이솔레이터는 하우징의 벽을 포함할 수 있다. 예를 들어, 하우징은 스테이지를 둘러싸는 것 외에 정전 홀더를 지지하기 위해 사용될 수 있다.
본 발명의 일부 실시예와 일치하여, 본 장치는 아이솔레이터 위의 유전체 층으로 덮인 다수의 전극을 포함할 수 있다. 예를 들어, 덮인 전극의 수는 고전압의 값에 따라 좌우될 수 있다. 일부 실시예에서, 덮인 전극의 수는 고전압의 값의 비율일 수 있다. 이렇게 함으로써, 아이솔레이터의 표면 전압은 고전압의 램프-업 또는 램프-다운 동안에 구성될 수 있다.
예로서, 도 21은 본 발명의 일부 실시예와 일치하는, 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 예시적인 장치(2100)의 도면이다. 본 장치(2100)는 최상부 표면(2006), 최하부 표면(2008), 및 격리 표면(2010)을 포함하는, 도 20의 시스템(2000)의 일부 구성 요소를 포함할 수 있다. 도 20에서, 장치(2100)의 고전압부는 최상부 표면(2006)을 포함할 수 있으며, 본 장치(2100)의 접지부는 최하부 표면(2008)을 포함할 수 있다. 최상부 표면(2006)은 최하부 표면(2008)에 평행할 수 있다. 본 장치(2100)의 아이솔레이터는 최상부 표면(2006)과 최하부 표면(2008)에 수직인 격리 표면(2010)을 포함할 수 있다. 도 21에 도시된 바와 같이, 격리 표면(2010)은 본 장치(2100)의 최상부 표면(2006)과 최하부 표면(2008) 사이에서 아이솔레이터의 역할을 할 수 있다. 본 장치(2100)는 최상부 표면(2006)에 전기적으로 연결된 제1 전극(2102) 및 최하부 표면(2008)에 전기적으로 연결되고 제1 전극(2102)에 대해 비전도성인 제2 전극(2104)을 더 포함하고 있다.
제1 전극(2102)과 제2 전극(2104)은 격리 표면(2010) 상에 고정될 수 있다. 격리 표면(2010) 상에 제1 전극(2102) 및 제2 전극(2104)을 도입함으로써, 최상부 표면(2006)과 최하부 표면(2008) 간의 전압 차이가 일정한 속도로 낮아질 수 있다. 예를 들어, 도 21에서 보여지는 바와 같이, 포인트 2106과 포인트 2108 사이의 전압 차이의 값은 x-방향을 따라 감소하며 전압 곡선 2110으로 표시되는 (제2 전극(2104)이 접지되기 때문에) 실질적으로 0 전위에 도달한다. 포인트 2106은 제1 전극(2102)의 종단에 있을 수 있으며, 포인트 2108은 제2 전극(2104)의 종단에 있을 수 있다. 도 21에서 보여지는 바와 같이, 전압 곡선(2110)은 급격한 변화 없이 일정한 기울기를 갖는다. 이러한 경우, 포인트 2106과 포인트 2108 사이의 전계는 일정한 세기를 가질 수 있으며, 전계의 기울기가 매끄러울 수 있고, 이는 전계 방출을 유발할 수 있는 집중된 전계의 형성을 방지할 수 있다.
도 21은 본 장치(2100)를 둘러싼 환경의 영향이 고려하지 않은 이상적인 경우를 보여주고 있다. 실제 시나리오에서, 환경적 대상물 또는 심지어 공기는, 예를 들어 용량성 커플링을 통해 본 장치(2100)와 상호작용할 수 있다. 예를 들어, 환경이 전도성 대상물 (예를 들어, 도 20의 시스템(2000)의 주변 구성 요소 또는 구성 구조체)을 포함한다면, 정전 용량은 이 대상물과 격리 표면(2010), 제1 전극(2102) 또는 제2 전극(2104) 사이에 존재할 수 있으며, 이는 하나 이상의 유효 커패시터로 나타날 수 있다.
예로서, 도 22는 본 발명의 일부 실시예와 일치하는, 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 예시적인 장치(2200)의 도면이다. 도 22는 본 장치(2200)에 대한 환경적 영향이 고려되는 실제 경우를 보여주고 있다. 본 장치(2200)는 장치(2100)와 유사할 수 있으며, 최상부 표면(2006), 최하부 표면(2008), 격리 표면(2010), 제1 전극(2102), 및 제2 전극(2104)을 포함할 수 있다. 포인트 2106과 포인트 2108 사이의 전압 차이의 값은 x-방향을 따라 감소하며 전압 곡선 2204로 표시되는 (제2 전극(2104)이 접지되기 때문에) 실질적으로 0 전위에 도달한다.
장치(2200)는 장치(2200)와 그 주변 환경 내의 대상물 사이의 형성된 정전 용량을 나타내는 하나 이상의 유효 커패시터를 포함하는 유효 커패시터 세트(2202)를 더 포함하고 있다. 유효 커패시터는 단지 용량성 커플링을 나타내며 실제 커패시터가 아니다. 유효 커패시터 세트(2202)의 영향 하에, 도 21의 전압 곡선 2110과 비교하여, 전압 곡선 2204는 상이한 형상으로 변경될 수 있으며 또한 포인트 2106 근처의 전계가 집중될 수 있고 높은 강도를 갖는다는 것을 나타내는 급변 포인트(abrupt-change point)(2206)를 포함하고 있다. 이러한 경우, 포인트 2106 근처에서 전계 방출이 발생할 위험이 있다.
예로서, 도 23은 본 발명의 일부 실시예와 일치하는, 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 예시적인 장치(2300)의 도면이다. 본 장치(2300)는 장치(2100)와 유사할 수 있으며, 또한 최상부 표면(2006), 최하부 표면(2008), 격리 표면(2010), 제1 전극(2102) 및, 제2 전극(2104)을 포함할 수 있다. (예를 들어, 도 22에 도시된 바와 같은) 환경으로부터 용량성 커플링의 영향을 완화하거나 제거하기 위해, 본 장치(2300)는 또한 격리 표면(2010) 위의 제1 전극(2102) 및 제2 전극(2104)을 덮는 (파선 영역으로서 표시된) 유전체 층(2306)을 포함하고 있다. 도 23에서, 유전체 층(2306)은 격리 표면(2010)에 (예를 들어, 음영 영역으로서 표시된 접착제(2308)를 통해) 접착될 수 있다. 도 23에서, 제1 전극(2102)과 제2 전극(2104)은 유전체 층(2306)과 격리 표면(2010) 사이에 덮여 있다. 예를 들어, 유전체 층(2306)은 글라스 또는 세라믹의 층일 수 있다.
도 22의 장치(2200)와 유사하게, 장치(2300)는 그 주변 환경의 대상물에 용량적으로 연결될 수 있다. 도 23은 환경과 제1 전도부(2302) 사이에 형성된 제1 유효 커패시터(2310), 환경과 제2 전도부(2304) 사이에 형성된 제2 유효 커패시터(2316), 및 환경과 유전체 층(2306) 사이에 형성된 제3 유효 커패시터(2318)를 포함하는, 이러한 용량성 커플링을 반영하는 3개의 유효 커패시터를 도시하고 있다.
본 장치(2300)는 제1 전도부(2302) 및 제2 전도부(2304)를 더 포함하고 있다. 제1 전도부(2302)는 최상부 표면(2006)에 전기적으로 연결될 수 있으며 제1 전극(2102)에 용량적으로 연결될 수 있다. 예를 들어, 도 23에 도시된 바와 같이, 제1 전도부(2302)는 최상부 표면(2006)으로부터 연장되고 제1 전극(2102)에 평행한 플레이트일 수 있다. 제1 전도부(2302), 제1 전극(2102), 및 이들 사이의 유전체 층(2306)의 재료는 제1 커패시터(2312)를 형성할 수 있다. 제2 전도부(2304)는 최하부 표면(2008)에 전기적으로 연결될 수 있으며 제2 전극(2104)에 용량적으로 연결될 수 있다. 예를 들어, 도 23에 도시된 바와 같이, 제2 전도부(2304)는 최하부 표면(2008)으로부터 연장되고 제2 전극(2104)에 평행한 플레이트일 수 있다. 제2 전도부(2304), 제2 전극(2104), 및 이들 사이의 유전체 층(2306)의 재료는 제2 커패시터(2314)를 형성할 수 있다. 제1 커패시터(2312)와 제2 커패시터(2314)는 전계 방출을 야기할 수 있는 집중된 전계의 형성을 방지하기 위하여 (예를 들어, 제1 유효 커패시터(2310), 제2 유효 커패시터(2316), 및 제3 유효 커패시터(2318)로 표시되는 바와 같은) 장치와 환경 사이에서의 용량성 커플링을 완화할 수 있다.
본 장치(2300)와 그 주변 환경 사이의 용량성 커플링을 효과적으로 완화시키기 위한 한 가지 방법은 제1 커패시터(2312)와 제2 커패시터(2314)의 정전 용량을 이 용량성 커플링의 정전 용량 (예를 들어, 제1 유효 커패시터(2310), 제2 유효 커패시터(2316), 및 제3 유효 커패시터(2318)의 정전 용량)보다 훨씬 높은 레벨로 증가시키는 것이다. 예를 들어, 제1 커패시터(2312)는 제1 전도부(2302)와 제1 전극(2102)을 그의 2개의 터미널로서 가질 수 있으며, 이들은 유전체 층(2306)의 두께만큼 떨어져 있고 제1 중첩 영역을 갖는다. 제2 커패시터(2314)는 제2 전도부(2304)와 제2 전극(2104)을 그의 2개의 터미널로서 가질 수 있으며, 이들은 유전체 층(2306)의 두께만큼 떨어져 있고 제2 중첩 영역을 갖는다. 커패시터의 정전 용량이 그의 중첩-대-거리 비율에 비례하기 때문에, 장치는 제1 커패시터(2312)와 제2 커패시터(2314)가 높은 오버랩-대-거리 비율을 갖도록 구성될 수 있다. 일부 실시예에서, 유전체 층(2306)의 두께는 제1 커패시터(2312)의 제1 중첩 영역과 제2 커패시터(2314)의 제2 중첩 영역 중 더 작은 것의 십분의 일 (즉, 1/10)과 같거나 이보다 적을 수 있다. 이러한 경우에, 제1 커패시터(2312)와 제2 커패시터(2314)는 둘 모두는 적어도 10의 중첩-대-거리 비율을 가질 수 있으며, 이는 그들의 정전 용량을 증가시키는 데 기여할 수 있다.
제1 커패시터(2312)와 제2 커패시터(2314)의 정전 용량을 그들의 용량성 커플링의 정전 용량보다 훨씬 더 높은 레벨로 증가시키기 위해, 본 장치(2300)는 또한 제1 커패시터(2312)와 제2 커패시터(2314)의 중첩-대-거리 비율 둘 모두가 제1 유효 커패시터(2310), 제2 유효 커패시터(2316), 및 제3 유효 커패시터(2318)의 중첩-대-거리 비율보다 높도록 구성될 수 있다. 일부 실시예에서, 유전체 층(2306)의 두께는 유전체 층(2306)의 표면과 본 장치(2300)에 용량적으로 연결되고 본 장치(2300)에 속하지 않는 대상물 사이의 거리의 오십분의 일 (예를 들어, 1/50)과 같거나 이보다 적을 수 있다. 예를 들어, 대상물은 본 장치(2300)를 둘러싼 환경에서 가장 가까운 대상물일 수 있다. 이러한 경우에, 제1 커패시터(2312)와 제2 커패시터(2314) 모두의 정전 용량은 제1 유효 커패시터(2310), 제2 유효 커패시터(2316), 및 제3 유효 커패시터(2318)의 정전 용량을 초과할 수 있으며, 이는 제1 커패시터(2312)와 제2 커패시터(2314) 모두의 터미널 대 터미널 거리가 제1 유효 커패시터(2310), 제2 유효 커패시터(2316) 및 제3 유효 커패시터(2316)의 터미널 대 터미널 거리보다 훨씬 더 작기 (예를 들어, 최대 1/50) 때문이며, 이는 본 장치(2300)와 그의 환경 사이의 용량성 커플링을 완화하는 데 기여할 수 있다.
도 23에서 보여지는 바와 같이, 포인트 2106과 포인트 2108 사이의 전압 차이의 값은 x-방향을 따라 감소하며 전압 곡선 2320으로 표시된 (제2 전극(2104)이 접지되기 때문에) 실질적으로 0 전위에 도달한다. 도 23의 전압 곡선 2204와 비교하여, 전압 곡선 2320은 급격한 변화 없이 매끄러운 기울기를 갖는다. 이러한 경우, 포인트 2106과 포인트 2108 사이에 집중된 전계가 형성되지 않을 수 있으며, 따라서 전계 방출이 방지될 수 있다.
일부 실시예에서, 유전체 층은 내부적으로 제1 전극(2102)과 제2 전극(2104)을 내장할 수 있다. 예로서, 도 24는 본 발명의 일부 실시예와 일치하는, 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 예시적인 장치(2400)의 도면이다. 본 장치(2400)는 도 23의 장치(2300)와 유사할 수 있으며 또한 최상부 표면(2006), 최하부 표면(2008), 제1 전극(2102), 제2 전극(2104), 제1 전도부(2302), 제2 전도부(2304), 제1 커패시터(2312), 제2 커패시터(2314), 제1 유효 커패시터(2310), 제2 유효 커패시터(2316), 및 제3 유효 커패시터(2318)를 포함할 수 있다. 장치(2300)와 대조적으로, 본 장치(2400)는 격리 표면(2010), 유전체 층(2306), 또는 접착제(2308)를 포함하지 않는다. 대신에, 본 장치(2400)는 도 24에서 점선 영역으로 나타낸 바와 같이, 단일 유전체 몸체 (예를 들어, 세라믹 몸체 또는 글라스 몸체)인 아이솔레이터(2402)를 포함하고 있다.
아이솔레이터(2402)는 표면 층(2404)을 포함할 수 있으며, 이 표면 층은 장치(2300)에서의 유전체 층(2306)과 동등한 것으로 간주될 수 있다. 도 24에 도시된 바와 같이, 제1 전극(2102)과 제2 전극(2104)은 아이솔레이터(2402) 내부에 매립될 수 있다. 예로서, 아이솔레이터(2402)를 제조하기 위해, 제1 전극(2102)과 제2 전극(2104)은 클레이(clay) 내로 매립될 수 있으며, 전극을 매립하는 클레이는 단일 세라믹 몸체로 동시 소성될 수 있다.
도 24에서 보여지는 바와 같이, 포인트 2106과 포인트 2108 사이의 전압 차이의 값은 x-방향을 따라 감소하며 또한 급격한 변화 없이 매끄러운 기울기를 갖는 전압 곡선 2406으로 표시된 (제2 전극(2104)이 접지되기 때문에) 실질적으로 0 전위에 도달한다. 전압 곡선 2406은 도 23의 전압 곡선 2204과 유사할 수 있다. 이러한 경우, 집중 전계가 포인트 2106과 포인트 2108 사이에 형성되지 않을 수 있으며, 따라서 전계 방출이 방지될 수 있다.
예로서, 도 25는 본 발명의 일부 실시예와 일치하는, 방전을 야기하지 않고 고전압의 빠른 램핑을 위한 예시적인 웨이퍼 검사 시스템(2500)의 횡단면도이다. 시스템(2500)은 도 20의 시스템(2000), 도 23의 장치(2300), 및 도 24의 장치(2400)와 유사할 수 있다. 시스템(2500)은 정전 홀더(306), 웨이퍼(304), 하우징(1902), 일차 전자 빔(220), 차폐 플레이트(2002), 캐비티(2004), 최상부 표면(2006), 최하부 표면(2008), 및 격리 표면(2010)을 포함하고 있다. 시스템(2000)과 대조적으로, 시스템(2500)은 제1 전극(2102), 제2 전극(2104), 제1 전도부(2302), 제2 전도부(2304), 및 아이솔레이터(2502)를 더 포함하고 있다. 일부 실시예에서, 아이솔레이터(2502)는 도 23의 유전체 층(2306)과 유사하게 구현될 수 있다. 일부 실시예에서, 아이솔레이터(2502)는 도 24의 아이솔레이터(2402)와 유사하게 구현될 수 있다. 도 25에서, 아이솔레이터(2502)는 제1 전극(2102)과 제2 전극(2104) 사이의 부호 세트로 도시되어 있으며, 이는 아이솔레이터(2502)의 유전 재료에 의해 발생되는 효과적인 용량성 커플링과 저항성 커플링을 나타낸다.
도 20 내지 도 25와 관련하여 도시되고 설명된 바와 같이, 본 발명에서 제공되는 장치 및 시스템은 강한 전계의 형성을 방지할 수 있다. 본 장치 및 시스템은 전극들 사이에 부드럽게 가라앉는 전계를 형성하기 위한 전극을 도입하며, 이는 전계 방출을 유발할 수 있는 전계의 급격한 변화를 방지할 수 있다. 또한, 격리 표면 위의 제1 및 제2 전극을 덮음으로써, 장치 또는 시스템과 주변 환경 간의 용량성 결합이 완화될 수 있다. 전반적으로, 본 장치 및 시스템은 바람직하지 않은 방전을 야기하지 않으면서 고전압의 빠른 램핑, 웨이퍼 고착 문제의 더 낮은 가능성, 웨이퍼 검사의 크게 증가된 처리량, 및 바람직하지 않은 방전으로 인한 감소된 환경 피해를 제공할 수 있다.
덮인 전극을 갖는 아이솔레이터를 사용하는 것 외에도 방전을 야기하지 않고 고전압의 빠른 램핑을 구현하기 위해 다른 방식이 사용될 수도 있다는 점이 주목되어야 한다. 예를 들어, 아이솔레이터는 저항성 표면으로 대체될 수 있으며, 여기서 덮인 전극들은 저항성 표면에 의해 제공되는 그들 사이의 저항성 커플링을 통해 전압 차이를 강하시킬 수 있다. 그러나 저항 표면에서 열 방산이 발생할 수 있으며, 이는 주변 부품의 변형을 야기할 수 있다.
도 20 내지 도 25와 관련하여 설명된 바와 같은 장치 및 시스템이 웨이퍼 접지 또는 웨이퍼 검사에 사용되는 것으로 제한되지 않는다는 점이 또한 주목되어야 한다. 대신, 이들은 고전압부와 접지부를 포함하고 빠른 램핑 또는 램프-다운을 요구하는 임의의 시스템 또는 장치를 위하여 사용될 수 있다. 예를 들어, 이러한 시스템 또는 장치는 SEM, 투과 전자 현미경(TEM) 또는 X-선 기계를 포함할 수 있지만 이에 제한되지 않는다.
추가 실시예가 다음의 조항에서 설명될 수 있다:
1. 웨이퍼를 접지시키기 위한 방법은,
전기 신호에 의하여 접지되고 있는 웨이퍼에 연관된 전기적 특성의 제1 값을 수신하는 것
적어도 제1 값을 이용하여 제1 제어 매개변수를 결정하는 것; 및
제1 제어 매개변수 및 제1 값을 이용하여 전기 신호의 특성을 제어하는 것을 포함하고 있다.
2. 조항 1의 방법에서, 전기적 특성은 웨이퍼 및 웨이퍼를 지지하는 웨이퍼 마운트를 포함하는 전기 경로와 연관된다.
3. 조항 2의 방법은
전기 경로의 저항이 예정된 임계값보다 크거나 이와 같다는 결정을 기반으로 적어도 제1 값을 이용하여 제2 제어 매개변수-제2 제어 매개변수와 제1 제어 매개변수는 상이한 값을 갖는다-를 결정하는 것; 및
제2 제어 매개변수와 제1 값을 이용하여 전기 신호의 특성을 제어하는 것을 더 포함하고 있다.
4. 조항 3의 방법에서, 예정된 임계값은 100,00 옴(ohms)이다.
5. 조항 1 내지 4 중 어느 한 조항의 방법에서, 제1 제어 매개변수를 결정하는 것은,
제1 값과 전기적 특성의 목표값의 비교의 비교를 기반으로 제1 제어 매개변수를 결정하는 것을 포함하고 있다.
6. 조항 1 내지 5 중 어느 한 조항의 방법에서, 제1 제어 매개변수를 결정하는 것은,
제1 값과 목표값 간의 차이가 임계 조건을 만족하는지 여부를 결정하는 것; 및
차이가 임계 조건을 만족한다는 결정을 기반으로 제1 제어 매개변수를 결정하는 것을 포함하고 있다.
7. 조항 1 내지 6 중 어느 한 조항의 방법에서, 전기적 특성은 임피던스, 저항, 용량성 리액턴스, 어드미턴스, 컨덕턴스, 또는 용량성 서셉턴스 중 적어도 하나를 포함하고 있다.
8. 조항 7의 방법에서, 전기적 특성은 웨이퍼와 웨이퍼 마운트 사이의 저항 또는 웨이퍼와 웨이퍼 마운트 사이의 용량성 리액턴스 중 하나를 포함하고 있다.
9. 조항 8의 방법에서, 임계 조건은 목표값보다 작거나 이와 동일한 제1 값을 포함하고 있다.
10. 조항 1 내지 조항 9 중 어느 한 조항의 방법에서, 제1 제어 매개변수를 결정하는 것은,
적어도 제1 값을 이용하여 초기 매개변수를 결정하는 것; 및
적어도 초기 매개변수, 제1 값, 목표값, 및 웨이퍼의 유형을 기반으로 제1 제어 매개변수를 결정하는 것을 포함하고 있다.
11. 조항 10의 방법에서, 제1 제어 매개변수를 결정하는 것은 기계 학습 기술을 사용하여 제1 제어 매개변수를 결정하는 것을 포함하며, 기계 학습 기술에 대한 입력은 초기 매개변수, 제1 값, 목표값, 및 웨이퍼의 유형을 포함하고 있다.
12. 조항 1 내지 11 중 어느 한 조항의 방법에서, 전기 신호의 특성은 전압, 전류, 전압 또는 전류의 프로파일, 프로파일의 주파수, 프로파일의 주기, 프로파일의 위상, 프로파일의 진폭 또는 전압 또는 전류의 지속 시간 중 적어도 하나를 포함하고 있다.
13. 조항 1 내지 12 중 어느 한 항의 방법에서, 프로파일은 정현파 형상을 포함하고 있다.
14. 조항 1 내지 조항 13 중 어느 한 조항의 방법에서, 제1 제어 매개변수와 제1 값을 이용하여 전기 신호의 특성을 제어하는 것은 제1 제어 매개변수와 제1 값을 이용하여 전기 신호의 특성의 값을 변경시키는 것을 포함하고 있다.
15. 조항 1 내지 14 중 어느 한 조항의 방법에서, 전기 신호의 특성을 제어하는 것은 전기 신호의 전압을 낮추는 것을 포함하고 있다.
16. 조항 1 내지 15 중 어느 한 조항의 방법은,
제1 제어 매개변수 및 제1 값을 이용하여 전기 신호의 특성을 제어한 후 전기적 특성의 제2 값을 수신하는 것;
적어도 제2 값을 이용하여 제3 제어 매개변수를 결정하는 것-제3 제어 매개변수와 제1 제어 매개변수는 상이한 값을 가짐-; 및
제3 제어 매개변수와 제2 값을 이용하여 전기 신호의 특성을 제어하는 것을 더 포함하고 있다.
17. 조항 16의 방법에서, 제3 제어 매개변수와 제1 제어 매개변수는 상이한 유형이다.
18. 웨이퍼를 접지시키기 위한 시스템은,
전기 신호에 의해 접지되고 있는 웨이퍼와 연관된 전기적 특성의 제1 값을 생성하도록 구성된 센서;
전기 신호를 생성하도록 구성된 전기 신호 생성기; 및
전기적 특성의 제1 값을 수신하고, 적어도 제1 값을 이용하여 제1 제어 매개변수를 결정하며, 제1 제어 매개변수 및 제1 값을 이용하여 전기 신호의 특성을 제어하기 위한 회로를 포함하는 컨트롤러를 포함하고 있다.
19. 조항 18의 시스템은 전기 신호 생성기와 웨이퍼 사이에 전기적으로 연결된 접지 핀을 더 포함하고 있다.
20. 조항 18과 19 중 어느 한 조항의 시스템에서, 전기적 특성은 웨이퍼, 웨이퍼를 지지하는 웨이퍼 마운트, 및 접지 핀을 포함하는 전기 경로와 연관된다.
21. 조항 20의 시스템에서, 컨트롤러는,
전기 경로의 저항이 예정된 임계값보다 크거나 이와 같다는 결정을 기반으로 적어도 제1 값을 이용하여 제2 제어 매개변수-제2 제어 매개변수와 제1 제어 매개변수는 상이한 값을 갖는다-를 결정하기 위한; 그리고
제2 제어 매개변수와 제1 값을 이용하여 전기 신호의 특성을 제어하기 위한 회로를 포함하고 있다.
22. 조항 21의 시스템에서, 예정된 임계값은 100,00 옴(ohms)이다.
23. 조항 18 내지 22 중 어느 한 조항의 시스템에서, 제1 제어 매개변수를 결정하는 것은 전기적 특성의 제1 값과 목표값의 비교를 기반으로 제1 제어 매개변수를 결정하는 것을 포함하고 있다.
24. 조항 18 내지 23 중 어느 한 조항의 시스템에서, 제1 제어 매개변수를 결정하는 것은,
제1 값과 목표값 간의 차이가 임계 조건을 만족하는지 여부를 판단하는 것; 및
차이가 임계 조건을 만족한다는 결정을 기반으로 제1 제어 매개변수를 결정하는 것을 포함하고 있다.
25. 조항 18 내지 24 중 어느 한 조항의 시스템에서, 전기적 특성은 임피던스, 저항, 용량성 리액턴스, 어드미턴스, 컨덕턴스, 또는 용량성 서셉턴스 중 적어도 하나를 포함하고 있다.
26. 조항 25의 시스템에서, 전기적 특성은 웨이퍼와 웨이퍼 마운트 사이의 저항 또는 웨이퍼와 웨이퍼 마운트 사이의 용량성 리액턴스 중 하나를 포함하고 있다.
27. 조항 26의 시스템에서, 임계 조건은 목표값보다 작거나 이와 같은 제1 값을 포함하고 있다.
28. 조항 18 내지 27 중 어느 한 조항의 시스템에서, 제1 제어 매개변수를 결정하는 것은,
적어도 제1 값을 이용하여 초기 매개변수를 결정하는 것; 및
적어도 초기 매개변수, 제1 값, 목표값, 및 웨이퍼의 유형을 기반으로 제1 제어 매개변수를 결정하는 것을 포함하고 있다.
29. 조항 28의 시스템에서, 제1 제어 매개변수를 결정하는 것은 기계 학습 기술을 이용하여 제1 제어 매개변수를 결정하는 것을 포함하며, 기계 학습 기술에 대한 입력은 초기 매개변수, 제1 값, 목표값 및 웨이퍼의 유형을 포함하고 있다.
30. 조항 18 내지 29 중 어느 한 조항의 시스템에서, 전기 신호의 특성은 전압, 전류, 전압 또는 전류의 프로파일, 프로파일의 주파수, 프로파일의 주기, 프로파일의 위상, 프로파일의 진폭, 또는 전압 또는 전류의 지속 시간 중 적어도 하나를 포함하고 있다.
31. 조항 18 내지 30 중 어느 한 조항의 시스템에서, 프로파일은 정현파 형상을 포함하고 있다.
32. 조항 18 내지 31 중 어느 한 조항의 시스템에서, 전기 신호의 특성을 제어하는 것은 전기 신호의 전압을 낮추는 것을 포함하고 있다.
33. 조항 18 내지 32 중 어느 한 조항의 시스템에서, 컨트롤러는:
제1 제어 매개변수와 제1 값을 이용하여 전기 신호의 특성을 제어한 후, 센서로부터 전기적 특성의 제2 값을 수신하기 위한;
적어도 제2 값을 이용하여 제3 제어 매개변수-제3 제어 매개변수와 제1 제어 매개변수는 상이한 값을 갖는다-를 결정하기 위한; 그리고
제2 제어 매개변수와 제2 값을 이용하여 전기 신호의 특성을 제어하기 위한 회로를 포함하고 있다.
34. 조항 33의 시스템에서, 제3 제어 매개변수와 제1 제어 매개변수는 상이한 유형이다.
35. 웨이퍼에 대한 접지 위치를 조정하기 위한 방법은,
웨이퍼와 접촉하는 상태의 접지 핀 간의 전기적 연결을 종료하는 것;
웨이퍼와 접지 핀 사이의 상대 위치를 조정하는 것; 및
접지 핀과 웨이퍼 간의 전기적 연결을 복원하는 것을 포함하고 있다.
36. 조항 35의 방법에서, 웨이퍼와 접지 핀 간의 전기적 연결을 종료하는 것은 웨이퍼의 최하부 표면과 접촉 상태에 있고 웨이퍼 마운트의 최하부 부분에 고정된 리프터를 이용하여, 웨이퍼를 지지하는 웨이퍼 마운트로부터 웨이퍼를 멀리 들어올리는 것을 포함하며, 접지 핀은 웨이퍼 마운트의 최상부 부분에 고정되고, 접지 핀은 웨이퍼가 최상부 부분에 받쳐질 때 웨이퍼의 최하부 표면과 접촉한다.
37. 조항 36의 방법에서, 접지 핀과 웨이퍼 간의 전기적 연결을 복원하는 것은 리프터를 이용하여 웨이퍼를 웨이퍼 마운트의 최상부 부분 상으로 낮추는 것을 포함하고 있다.
38. 조항 35의 방법에서, 웨이퍼와 접지 핀 간의 전기적 연결을 종료하는 것은 웨이퍼 마운트 상에 놓여 있는 웨이퍼의 최하부 표면으로부터 접지 핀을 낮추는 것을 포함하며, 접지 핀은 웨이퍼 마운트에서 수직적으로 이동 가능하다.
39. 조항 38의 방법에서, 접지 핀과 웨이퍼 간의 전기적 연결을 복원하는 것은 접지 핀을 상승시켜 웨이퍼의 최하부 표면과 접촉시키는 것을 포함하고 있다.
40. 조항 35의 방법에서, 웨이퍼와 접지 핀 간의 전기적 연결을 종료하는 것은 웨이퍼를 지지하는 웨이퍼 마운트의 최상부 부분을 낮추는 것을 포함하며, 접지 핀은 웨이퍼 마운트의 최상부 부분에 고정되고, 웨이퍼의 최하부 표면은 웨이퍼 마운트의 최하부 부분에 고정된 이동 가능하지 않은 지지체와 접촉하며, 접지 핀은 최상부 부분이 낮춰지지 않을 때 웨이퍼의 최하부 표면과 접촉한다.
41. 조항 40의 방법에서, 접지 핀과 웨이퍼 간의 전기적 연결을 복원하는 것은 웨이퍼 마운트의 최상부 부분을 들어올리는 것을 포함하고 있다.
42. 조항 35에 있어서, 웨이퍼와 접지 핀 간의 전기적 연결을 종료하는 것은 웨이퍼를 웨이퍼 마운트로부터 플랫폼으로 제거하는 것을 포함하며, 접지 핀은 웨이퍼 마운트에 고정되고 웨이퍼가 웨이퍼 마운트에 받쳐질 때 웨이퍼와 접촉한다.
43. 조항 42에 있어서, 접지 핀과 웨이퍼 간의 전기적 연결을 복원하는 것은 웨이퍼를 플랫폼으로부터 웨이퍼 마운트 상으로 이동시키는 것을 포함하고 있다.
44. 조항 35 내지 43 중 어느 한 조항의 방법에서, 접지 핀은 웨이퍼와 접지 핀 간의 전기적 연결을 종료하기 전에 접지 핀과 최하부 표면 사이의 압력과 상이한 압력으로 웨이퍼의 최하부 표면과 접촉한다.
45. 조항 35 내지 44 중 어느 한 조항의 방법에서, 접지 핀은 동일한 상대 위치에서 웨이퍼의 최하부 표면과 접촉한다.
46. 조항 35 내지 45 중 어느 한 조항의 방법에서, 웨이퍼와 접지 핀 사이의 상대 위치를 조정하는 것은 접지 핀과 웨이퍼 사이의 상대 방위각을 예정된 각도만큼 조정하는 것을 포함하고 있다.
47. 조항 46의 방법에서, 예정된 각도는 웨이퍼와 접지 핀 사이의 현재 상대 방위각과 웨이퍼와 접지 핀 사이의 목표 상대 방위각 간의 차이다.
48. 조항 46과 47 중 어느 한 조항의 방법에서, 상대 방위각을 조정하는 것은 웨이퍼 마운트의 최하부 부분에 대해 웨이퍼 마운트의 최상부 부분을 예정된 각도만큼 회전시키는 것을 포함하고 있다.
49. 조항 46과 47 중 어느 한 조항의 방법에서, 상대 방위각을 조정하는 것은 웨이퍼 마운트에 대해 접지 핀을 예정된 결정된 각도로 회전시키는 것을 포함하며, 접지 핀은 웨이퍼 마운트에 대해 회전적으로 이동 가능하다.
50. 조항 46과 47 중 어느 한 조항의 방법에서, 상대 방위각을 조정하는 것은 플랫폼을 예정된 각도만큼 웨이퍼를 회전하게 하는 것을 포함하고 있다.
51. 조항 35 내지 45 중 어느 한 조항의 방법에서, 웨이퍼와 접지 핀 사이의 상대 위치를 조정하는 것은 웨이퍼와 접지 핀 사이의 상대 병진 거리를 예정된 거리만큼 조정하는 것을 포함하고 있다.
52. 조항 35 내지 51 중 어느 한 조항의 방법은,
웨이퍼를 접지하기 위한 전기 경로가 형성되는지 여부를 결정하는 것;
전기 경로가 형성되지 않았다는 결정을 기반으로, 웨이퍼와 접지 핀 간의 전기적 연결을 종료하는 것을 포함하고 있다.
53. 웨이퍼에 대한 접지 위치를 조정하기 위한 시스템은,
웨이퍼와 접촉 상태에 있도록 구성된 접지 핀; 및
웨이퍼와 접지 핀 간의 전기적 연결을 종료하도록, 웨이퍼와 접지 핀 사이의 상대 위치를 조정하도록, 그리고 접지 핀과 웨이퍼 간의 전기적 연결을 복원하도록 구성된 액추에이터를 포함하고 있다.
54. 조항 53의 시스템은 최하부 부분과 최상부 부분을 포함하는 웨이퍼 마운트를 더 포함하며, 여기서 최상부 부분은 웨이퍼를 지지하도록 그리고 접지 핀을 고정하도록 구성되고, 액추에이터는 최상부 부분을 이동시키도록 추가로 구성되며, 접지 핀은 웨이퍼가 최상부 부분에 받쳐질 때 웨이퍼의 최하부 표면과 접촉한다.
55. 조항 54의 시스템에서, 액추에이터는 최하부 표면과 접촉 상태에 있고 최하부 부분에 고정된 리프터를 포함하며, 접지 핀은 최상부 부분에 고정되고, 리프터는 웨이퍼를 최상부 부분으로부터 멀리 들어 올리도록 구성된다.
56. 조항 55의 시스템에서, 리프터는 웨이퍼를 최상부 부분 상으로 낮추도록 구성된다.
57. 조항 55의 시스템에서, 액추에이터는 최하부 표면과 접촉 상태에 있고 최하부 부분에 고정된 이동 가능하지 않은 지지체를 더 포함하며, 리프터는 최상부 부분을 낮추도록 구성된다.
58. 조항 57의 시스템에서, 리프터는 최상부 부분을 들어올리도록 구성된다.
59. 조항 54의 시스템에서, 접지 핀은 웨이퍼 마운트에서 수직적으로 이동 가능하며, 최하부 표면은 최상부 표면에 받쳐지고, 웨이퍼와 접지 핀을 분리하도록 구성된 액추에이터는 최하부 표면으로부터 접지 핀을 낮추도록 추가로 구성된다.
60. 조항 59의 시스템에서, 웨이퍼와 접지 핀을 분리하도록 구성된 액추에이터는 최하부 표면과 접촉시키기 위해 접지 핀을 상승시키도록 추가로 구성된다.
61. 조항 54의 시스템은 웨이퍼를 회전시키도록 구성된 플랫폼을 더 포함하며, 여기서 웨이퍼와 접지 핀을 분리하도록 구성된 액추에이터는 웨이퍼를 웨이퍼 마운트로부터 플랫폼으로 제거하도록 추가로 구성된다.
62. 조항 61의 시스템에서, 접지 핀과 웨이퍼를 재부착하도록 구성된 액추에이터는 웨이퍼를 플랫폼으로부터 웨이퍼 마운트 상으로 이동시키도록 추가로 구성된다.
63. 조항 53 내지 62 중 어느 한 조항의 시스템에서, 접지 핀은 웨이퍼와 접지 핀 간의 전기적 연결을 종료하기 전에 접지 핀과 최하부 표면 사이의 압력과 상이한 압력으로 최하부 표면과 접촉한다.
64. 조항 53 내지 63 중 어느 한 조항의 시스템에서, 접지 핀들은 동일한 상대 위치에서 최하부 표면과 접촉한다.
65. 조항 54 내지 64중 어느 한 조항의 시스템에서, 웨이퍼와 접지 핀 사이의 상대 위치를 조정하도록 구성된 액추에이터는 접지 핀과 웨이퍼 사이의 상대 방위각을 예정된 각도만큼 조정하도록 추가로 구성된다.
66. 조항 65의 시스템에서, 예정된 각도는 웨이퍼와 접지 핀 간의 현재 상대 방위각과 웨이퍼와 접지 핀 간의 목표 상대 방위각 사이의 차이이다.
67. 조항 65와 66 중 어느 한 조항의 시스템에서, 상대 방위각을 조정하도록 구성된 액추에이터는 최상부 부분을 최하부 부분에 대해 예정된 각도만큼 회전시키도록 추가로 구성된다.
68. 조항 65와 66 중 어느 한 조항의 시스템에서, 접지 핀은 최상부 부분에 대해 회전적으로 이동 가능하며, 상대 방위각을 조정하도록 구성된 액추에이터는 접지 핀을 최상부 부분에 대해 예정된 각도로 회전시키도록 추가로 구성된다.
69. 조항 65와 66 중 어느 한 조항의 시스템에서, 플랫폼은 웨이퍼를 예정된 각도만큼 회전시키도록 추가로 구성된다.
70. 조항 53 내지 69 중 어느 한 조항의 시스템에서, 웨이퍼와 접지 핀 사이의 상대 위치를 조정하도록 구성된 액추에이터는 웨이퍼와 접지 핀 사이의 상대 병진 거리를 예정된 거리만큼 조정하도록 추가로 구성된다.
71. 조항 53 내지 70 중 어느 한 조항의 시스템에서, 액추에이터는 웨이퍼를 접지하기 위한 전기 경로가 형성되지 않는다는 결정을 기반으로 웨이퍼와 접지 핀 간의 전기적 연결을 종료하도록 추가로 구성된다.
72. 웨이퍼를 접지시키는 방법은,
다수의 프로브와 웨이퍼 사이에 접촉 포인트 세트를 구축하는 것;
웨이퍼의 코팅부의 파괴를 용이하게 하기 위해 다수의 프로브를 통해 접촉 포인트 세트에 제1 전기 신호 세트를 인가하는 것; 및
웨이퍼를 통한 다수의 프로브 간의 전기 경로의 저항이 예정된 임계값보다 크거나 이와 동일하다는 결정을 기반으로, 다수의 프로브를 통해 접촉 포인트 세트에 제2 전기 신호 세트를 인가하는 것을 포함하며,
여기서 제2 전기 신호 세트는 제1 전기 신호 세트를 인가할 때 웨이퍼와 연관된 전기적 특성의 값을 기반으로 결정된다.
73. 조항 72의 방법에서, 프로브는 접지 핀을 포함하고 있다.
74. 조항 72와 73 중 어느 한 조항의 방법에서, 제1 전기 신호 세트는 신호는 전압 펄스 세트를 포함하고 있다.
75. 조항 72 내지 74 중 어느 한 조항의 방법에서, 파괴는 절연 파괴를 포함하고 있다.
76. 조항 72 내지 75 중 어느 한 조항의 방법에서, 예정된 임계값은 100,000옴이다.
77. 웨이퍼를 접지시키는 방법은,
다수의 프로브와 웨이퍼 사이에 제1 접촉 포인트 세트를 구축하는 것;
웨이퍼의 코팅부의 파괴를 용이하게 하기 위해 다수의 프로브를 통해 제1 전기 신호 세트를 제1 접촉 포인트 세트에 인가하는 것;
웨이퍼를 통한 다수의 프로브 사이의 전기 경로의 저항이 예정된 임계값보다 크거나 이와 같을 때, 다수의 프로브와 웨이퍼 사이에 제2 접촉 포인트 세트를 구축하는 것; 및
다수의 프로브를 통해 제2 전기 신호 세트를 제2 접촉 포인트 세트에 인가하는 것을 포함하며,
제2 전기 신호 세트는 제1 전기 신호 세트를 인가할 때 웨이퍼와 연관된 전기적 특성의 값을 기반으로 결정된다.
78. 조항 77의 방법에서, 프로브는 접지 핀을 포함하고 있다.
79. 조항 77과 78 중 어느 한 조항의 방법에서, 제1 전기 신호 세트는 전압 펄스 세트를 포함하고 있다.
80. 조항 77 내지 79 중 어느 한 조항의 방법에서, 파괴는 절연 파괴를 포함하고 있다.
81. 조항 77 내지 80 중 어느 한 조항의 방법에서, 예정된 임계값은 100,000옴이다.
82. 웨이퍼를 접지시키는 방법은,
접지 핀을 웨이퍼와 접촉하게 하는 것;
접지 핀 또는 웨이퍼 중 적어도 하나를 진동시키는 것; 및
접지 핀과 웨이퍼 사이에 전기적 연결을 구축하는 것을 포함하고 있다.
83. 조항 82의 방법에서, 접지 핀 또는 웨이퍼 중 적어도 하나를 진동시키는 것은 웨이퍼를 지지하는 웨이퍼 마운트의 최상부 부분과 접지 핀에 연결된 바이브레이터를 진동시키는 것을 포함하고 있다.
84. 조항 83의 방법에서, 바이브레이터는 최상부 부분이다.
85. 조항 82 내지 84 중 어느 조항의 방법에서, 접지 핀 또는 웨이퍼 중 적어도 하나를 진동시키는 것은 웨이퍼를 지지하는 웨이퍼 마운트 상에 웨이퍼를 고정하는 웨이퍼 홀더를 진동시키는 것을 포함하고 있다.
86. 조항 82 내지 조항 85 중 어느 한 조항의 방법에서, 접지 핀 또는 웨이퍼 중 적어도 하나를 진동시키는 것은 접지 핀 또는 웨이퍼 중 적어도 하나를 웨이퍼의 표면에 수직인 방향 또는 표면에 평행한 방향 중 적어도 하나를 따라 진동시키는 것을 포함하고 있다.
87. 조항 82 내지 86 중 어느 한 조항의 방법에서, 접지 핀과 웨이퍼 간의 전기적 연결을 구축하는 것은 웨이퍼와 직접 접촉하도록 표면의 코팅부를 통해 접지 핀을 웨이퍼의 표면에 대해 가압하는 것을 포함하고 있다.
88. 조항 82 내지 87 중 어느 한 조항의 방법에서, 접지 핀과 웨이퍼 간의 전기적 연결을 구축하는 것은 표면의 코팅부를 통해 접지 핀을 웨이퍼의 표면에 대해 가압하는 것을 포함하며, 접지 핀은 코팅부를 완전히 관통하지 않는다.
89. 시스템은,
웨이퍼와 접촉 상태에 있도록 구성된 접지 핀; 및
접지 핀 또는 웨이퍼 중 적어도 하나를 진동시키기 위한; 그리고
접지 핀과 웨이퍼 간에 전기적 연결을 구축하기 위한 회로를 갖는 컨트롤러를 포함하고 있다.
90. 조항 89의 시스템은 접지 핀 및 웨이퍼를 지지하는 웨이퍼 마운트의 최상부 부분에 연결되고 접지 핀 또는 웨이퍼 중 적어도 하나를 진동시키도록 구성된 바이브레이터를 더 포함하고 있다.
91. 조항 90의 시스템에서, 바이브레이터는 최상부 부분이다.
92. 조항 89 내지 91 중 어느 한 조항의 시스템에서, 접지 핀 또는 웨이퍼 중 적어도 하나를 진동시키는 것은 웨이퍼를 지지하는 웨이퍼 마운트 상에 웨이퍼를 고정하는 웨이퍼 홀더를 진동시키는 것을 포함하고 있다.
93. 조항 89 내지 92 중 어느 한 조항의 시스템에서, 접지 핀 또는 웨이퍼 중 적어도 하나를 진동시키는 것은 접지 핀 또는 웨이퍼 중 적어도 하나를 웨이퍼의 표면에 수직인 방향 또는 표면에 평행한 방향 중 적어도 하나를 따라 진동시키는 것을 포함하고 있다.
94. 조항 89 내지 93중 어느 한 조항의 시스템에서, 접지 핀과 웨이퍼 간의 전기적 연결을 구축하는 것은 웨이퍼와 직접 접촉하도록 표면의 코팅부를 통해 접지 핀을 웨이퍼의 표면에 대해 가압하는 것을 포함하고 있다.
95. 조항 89 내지 94중 어느 한 조항의 시스템에서, 접지 핀과 웨이퍼 간의 전기적 연결을 구축하는 것은 표면의 코팅부를 통해 접지 핀을 웨이퍼의 표면에 대해 가압하는 것을 포함하며, 접지 핀은 코팅부를 완전히 관통하지 않는다.
96. 웨이퍼를 접지시키기 위한 방법은,
충격에 의해 접지 핀을 웨이퍼 상의 코팅부를 관통하게 하는 것; 및
접지 핀과 웨이퍼 사이에 전기적 연결을 구축하는 것을 포함하고 있다.
97. 조항 0의 방법에서, 코팅부는 0.3 미크론을 초과하는 두께를 갖는다.
98. 조항 96 또는 0의 방법에서, 충격에 의해 접지 핀을 웨이퍼 상의 코팅부를 관통하게 하는 것은 웨이퍼와 직접 접촉하도록 접지 핀을 충격에 의하여 코팅부를 관통하게 하는 것을 포함하고 있다.
99. 조항 96 또는 0의 방법에서, 충격에 의하여 접지 핀을 웨이퍼 상의 코팅부를 관통하게 하는 것은 접지 핀을 충격에 의하여 코팅부를 관통하게 하는 것을 포함하며, 접지 핀은 코팅부를 완전히 관통하지 않는다.
100. 조항 0의 방법에서, 접지 핀이 충격에 의해 코팅부를 관통한 후 접지 핀의 팁과 웨이퍼의 표면 사이의 거리는 0.3 미크론보다 작거나 이와 동일하다.
101. 조항 0 내지 0 중 어느 한 조항의 방법에서, 충격에 의하여 접지 핀을 웨이퍼 상의 코팅부를 관통하게 하는 것은 접지 핀을 웨이퍼를 향하여 작동시키는 것을 포함하고 있다.
102. 조항 0의 방법에서, 접지 핀을 웨이퍼를 향하여 작동시키는 것은:
접지 핀을 웨이퍼로부터 일정 거리 멀리 이동시키는 것; 및
접지 핀을 웨이퍼를 향하여 가속시키는 것을 포함하고 있다.
103. 조항 101 또는 0의 방법에서, 접지 핀을 웨이퍼를 향하여 작동시키는 것은 접지 핀의 운동 에너지를 0.0002줄을 초과하게 하도록 구성된다.
104. 조항 0 또는 103의 방법에서, 거리는 100미크론 또는 1밀리미터를 초과한다.
105. 조항 0 내지 0 중 어느 한 조항의 방법은 웨이퍼의 유형, 웨이퍼의 코팅부의 유형, 또는 코팅부의 두께 중 적어도 하나를 기반으로 거리를 결정하는 것을 더 포함하고 있다.
106. 조항 0 내지 0 중 어느 한 조항의 방법은 접지 핀을 웨이퍼로부터 멀리 이동시키기 전에 접지 핀을 웨이퍼와 접촉하게 하는 것을 더 포함하고 있다.
107. 조항 0 내지 0 중 어느 한 조항의 방법에서, 접지 핀을 충격에 의해 웨이퍼 상의 코팅부를 관통하게 하는 것은 질량체 블록이 웨이퍼를 향하여 접지 핀에 충격을 주게 하는 것을 포함하고 있다.
108. 조항 0의 방법에서, 질량체 블록이 웨이퍼를 향하여 접지 핀에 충격을 주게 하는 것은 질량체 블록을 접지 핀을 향해 작동시키는 것을 포함하고 있다.
109. 조항 0의 방법에서, 질량체 블록을 접지 핀을 향하여 작동시키는 것은:
질량체 블록을 접지 핀으로부터 일정 거리 멀리 이동시키는 것; 및
질량체 블록을 접지 핀을 향하여 가속시키는 것을 포함하고 있다.
110. 조항 108 또는 0의 방법에서, 접지 핀을 향하여 질량체 블록을 작동시키는 것은 질량체 블록의 운동 에너지가 0.0002줄을 초과하게 하도록 구성된다.
111. 조항 0 또는 110의 방법에서, 거리는 100미크론 또는 1밀리미터를 초과한다.
112. 조항 0 내지 0 중 어느 한 조항의 방법은 웨이퍼의 유형, 웨이퍼의 코팅부의 유형, 또는 코팅부의 두께 중 적어도 하나를 기반으로 거리를 결정하는 것을 더 포함하고 있다.
113. 조항 0 내지 0 중 어느 한 조항의 방법은 질량체 블록을 접지 핀으로부터 멀리 이동시키기 전에 질량체 블록을 접지 핀과 접촉하게 하는 것을 더 포함하고 있다.
114. 조항 0 내지 0 중 어느 한 조항의 방법은 질량체 블록을 접지 핀으로부터 멀리 이동시키기 전에 접지 핀을 웨이퍼와 접촉하게 하는 것을 더 포함하고 있다.
115. 시스템은,
접지 핀; 및
충격에 의해 웨이퍼 상의 코팅부를 관통하도록 접지 핀을 제어하기 위한, 그리고 접지 핀과 웨이퍼 간의 전기적 연결을 구축하기 위한 회로를 갖는 컨트롤러를 포함하고 있다.
116. 조항 0의 시스템은 웨이퍼를 지지하는 웨이퍼 스테이지에 연결된 액추에이터를 더 포함하고 있다.
117. 조항 0의 시스템에서, 액추에이터는 전기 액추에이터, 자기 액추에이터, 전자기 액추에이터, 스프링, 공압 액추에이터, 또는 유압 액추에이터 중 하나를 포함하고 있다.
118. 조항 0 내지 0 중 어느 한 조항의 시스템에서, 액추에이터는 접지 핀을 작동시키도록 구성된다.
119. 조항 0의 시스템에서, 코팅부는 0.3 미크론을 초과하는 두께를 갖는다.
120. 조항 0 또는 119의 시스템에서, 접지 핀을 충격에 의해 웨이퍼 상의 코팅부를 관통하게 하기 위한 회로를 갖는 컨트롤러는 접지 핀을 충격에 의해 코팅부를 관통하게 하기 위한 회로를 포함하며, 접지 핀은 웨이퍼와 직접 접촉하거나 접지 핀은 코팅부를 완전히 관통하지 않는다.
121. 조항 0의 시스템에서, 접지 핀이 충격에 의해 코팅부를 관통한 후 접지 핀의 팁과 웨이퍼의 표면 간의 거리는 0.3 마이크론보다 작거나 이와 동일하다.
122. 조항 0 내지 0 중 어느 한 조항의 시스템에서, 충격에 의해 웨이퍼 상의 코팅부를 관통하도록 접지 핀을 제어하기 위한 회로를 갖는 컨트롤러는 접지 핀을 웨이퍼를 향하여 작동시키기 위한 회로를 포함하고 있다.
123. 조항 0의 시스템에서, 접지 핀을 웨이퍼를 향하여 작동시키기 위한 회로를 갖는 컨트롤러는:
접지 핀을 웨이퍼로부터 일정 거리 멀리 이동시키기 위한; 그리고
접지 핀을 웨이퍼를 향하여 가속시키기 위한 회로를 포함하고 있다.
124. 조항 122 또는 0의 시스템에서, 접지 핀을 향하여 접지 핀을 작동시키기 위한 회로는 접지 핀의 운동 에너지를 0.0002줄을 초과하게 하도록 구성된다.
125. 조항 0 또는 124의 시스템에서, 거리는 100미크론 또는 1밀리미터를 초과한다.
126. 조항 0 내지 조항 0 중 어느 하나의 시스템에서, 회로를 갖는 컨트롤러는 추가로 웨이퍼의 유형, 웨이퍼의 코팅부의 유형, 또는 코팅부의 두께 중 적어도 하나를 기반으로 거리를 결정하기 위한 것이다.
127. 조항 0 내지 조항 0 중 어느 하나의 시스템에서, 회로를 갖는 컨트롤러는 추가로, 접지 핀을 웨이퍼로부터 멀리 이동시키기 전에 웨이퍼와 접촉하도록 접지 핀을 제어하기 위한 것이다.
128. 조항 0 내지 0 중 어느 한 조항의 시스템은 질량체 블록을 더 포함하며, 액추에이터는 질량체 블록을 작동시키도록 구성된다.
129. 조항 0의 시스템에서, 충격에 의해 웨이퍼 상의 코팅부를 관통하도록 접지 핀을 제어하기 위한 회로를 갖는 컨트롤러는 웨이퍼를 향하여 접지 핀에 충격을 주기 위해 질량체 블록을 작동시키기 위한 회로를 포함하고 있다.
130. 조항 0의 시스템에서, 웨이퍼를 향하여 접지 핀에 충격을 주기 위해 질량체 블록을 작동시키기 위한 회로를 갖는 컨트롤러는 접지 핀을 향하여 질량체 블록을 작동시키기 위한 회로를 포함하고 있다.
131. 조항 0의 시스템에서, 질량체 블록을 접지 핀을 향하여 작동시키기 위한 회로를 갖는 컨트롤러는 질량체 블록을 접지 핀으로부터 일정 거리 멀리 이동시키기 위한; 그리고 질량체 블록을 접지 핀을 향하여 가속하기 위한 회로를 포함하고 있다.
132. 조항 130 또는 0의 시스템에서, 질량체 블록을 접지 핀을 향하여 작동시키기 위한 회로는 질량체 블록의 운동 에너지를 0.0002줄을 초과하게 한다.
133. 조항 0 또는 132의 시스템에서, 거리는 100미크론 또는 1밀리미터를 초과한다.
134. 조항 0 내지 0 중 어느 한 조항의 시스템에서, 회로를 갖는 컨트롤러는 추가로 웨이퍼의 유형, 웨이퍼의 코팅부의 유형, 또는 코팅부의 두께 중 적어도 하나를 기반으로 거리를 결정하기 위한 것이다.
135. 조항 0 내지 0 중 어느 한 조항의 시스템에서, 회로를 갖는 컨트롤러는 추가로 질량체 블록을 접지 핀으로부터 멀리 이동시키기 전에 접지 핀과 접촉하도록 질량체 블록을 제어하기 위한 것이다.
136. 조항 0 내지 0 중 어느 한 조항의 시스템에서, 회로를 갖는 컨트롤러는 추가로 질량체 블록을 접지 핀으로부터 멀리 이동시키기 전에 웨이퍼와 접촉하도록 접지 핀을 제어하기 위한 것이다.
137. 비-일시적 컴퓨터 판독 가능한 매체는,
접지 핀을 충격에 의해 웨이퍼 상의 코팅부를 관통하게 하는 것; 및
접지 핀과 웨이퍼 간의 전기적 연결을 구축하는 것을 포함하는 방법을 장치가 수행하도록 장치의 적어도 하나의 프로세서에 의하여 실행 가능한 명령어 세트를 저장한다.
138. 웨이퍼 접지를 위한 시스템은,
제1 접지 핀;
내부에 있는 제1 전극을 포함하는 정전 홀더; 및
제1 접지 핀과 제1 전극 사이에 전기적으로 연결된 제1 과전압 보호 디바이스를 더 포함하며,
여기서, 제1 과전압 보호 디바이스는:
제1 과전압 보호 디바이스에 인가된 제1 전압이 임계 전압을 초과할 때 전기를 전도하도록; 그리고
제1 전압이 임계 전압을 초과하지 않을 때 전기 전도를 중지하도록 구성된다.
139. 조항 0의 시스템에서, 제1 과전압 보호 디바이스는 복수의 방향으로 전기를 전도시키는 것을 허용하도록 구성된다.
140. 조항 0 내지 0 중 어느 한 조항의 시스템에서, 임계 전압은 제1 과전압 보호 디바이스의 전기적 특성이다.
141. 조항 0 내지 0 중 어느 한 조항의 시스템에서, 임계 전압은 조정 가능하다.
142. 조항 0 내지 0 중 어느 한 조항의 시스템에서, 과전압 보호 디바이스는 과도 전압 억제(TVS) 다이오드, 제너 다이오드, 배리스터, 또는 교류를 위한 실리콘 다이오드(SIDAC) 중 적어도 하나를 포함할 수 있다.
143. 조항 0 내지 0 중 어느 한 조항의 시스템은 하우징을 더 포함하며, 하우징은,
정전 홀더와 제1 접지 핀을 둘러싸도록 구성된 오목한 표면, 및
오목한 표면 상에 있는 제1 인터페이스 -제1 인터페이스는 제1 전극의 배선과 정합하도록 구성됨-와 오목한 표면 상에 있는 제2 인터페이스 -제2 인터페이스는 제1 접지 핀의 배선과 정합하도록 구성됨-중 적어도 하나를 포함하고 있다.
144. 조항 0의 시스템에서, 제1 과전압 보호 디바이스는 하우징 내부에 있다.
145. 조항 0의 시스템에서, 제1 과전압 보호 디바이스는 하우징 외부에 있다.
146. 조항 0 내지 0 중 어느 한 조항의 시스템에서, 정전 홀더는 정전 홀더 내부에 있는 제2 전극을 포함하고 있다.
147. 조항 0의 시스템은, 제1 접지 핀과 제2 전극 사이에 전기적으로 연결된 제2 과전압 보호 디바이스를 더 포함하며,
여기서, 제2 과전압 보호 디바이스는:
제2 과전압 보호 디바이스에 인가된 제2 전압이 임계 전압을 초과할 때 전기를 전도하도록; 그리고
제2 전압이 임계 전압을 초과하지 않을 때 전기 전도를 중지하도록 구성된다.
148. 조항 0 내지 0 중 어느 한 조항의 시스템은,
제2 접지 핀;
제2 접지 핀과 제1 전극 사이에 전기적으로 연결된 제3 과전압 보호 디바이스를 더 포함하며,
여기서, 제3 과전압 보호 디바이스는:
제3 과전압 보호 디바이스에 인가된 제3 전압이 임계 전압을 초과할 때 전기를 전도하도록; 그리고
제3 전압이 임계 전압을 초과하지 않을 때 전기 전도를 중지하도록 구성된다.
149. 고전압의 빠른 램핑(ramping)을 위한 장치는,
장치의 고전압부와 장치의 접지부 사이에 배열된 아이솔레이터;
고전압부에 전기적으로 연결된 제1 전극;
접지부에 전기적으로 연결되며 제1 전극에 대해 비전도성인 제2 전극; 및
아이솔레이터 위의 제1 전극 및 제2 전극을 덮는 유전체 층을 포함하고 있다.
150. 조항 0의 장치에서, 유전체 층은 아이솔레이터의 표면에 부착되며, 제1 전극 및 제2 전극은 유전체 층과 아이솔레이터의 표면 사이에서 덮여 있다.
151. 조항 0의 장치에서, 아이솔레이터는 유전체 층을 포함하며, 제1 전극과 제2 전극은 아이솔레이터 내부에 매립된다.
152. 조항 0 내지 0 중 어느 한 조항의 장치는,
장치의 고전압부에 전기적으로 연결되며 제1 전극에 용량적으로 연결된 제1 전도부; 및
장치의 접지부에 전기적으로 연결되며 제2 전극에 용량적으로 연결된 제2 전도부를 더 포함하고 있다.
153. 조항 0의 장치에서, 유전체 층의 두께는 제1 전도부와 제1 전극 간의 제1 중첩 영역 그리고 제2 전도부와 제2 전극 사이의 제2 중첩 영역 중 더 작은 것의 1/10과 같거나 이보다 작다.
154. 조항 0 내지 조항 0 중 어느 하나의 장치에서, 유전체 층의 두께는 장치에 용량적으로 연결되고 장치에 속하지 않는 대상물과 유전체 층의 표면 간의 거리의 1/50과 같거나 이보다 작다.
155. 조항 0 내지 0 중 어느 한 조항의 장치에서, 유전체 층의 재료는 3×10-11 패럿(farads)/미터보다 크거나 이와 동일한 유전 상수를 갖는다.
156. 조항 0의 장치에서, 재료는 글라스 또는 세라믹을 포함하고 있다.
157. 조항 0-0 중 어느 한 조항의 장치에서, 고전압부는 제1 표면을 포함하며, 접지부는 제1 표면에 평행한 제2 표면을 포함하고, 아이솔레이터는 제1 표면 및 제2 표면에 수직인 표면을 포함하고 있다.
158. 조항 0 내지 0 중 어느 한 조항의 장치는 웨이퍼를 지지하는 스테이지를 둘러싸도록 구성된 하우징을 더 포함하며, 여기서 고전압부는 하우징의 최상부 표면을 포함하고, 접지부는 하우징의 최하부 표면을 포함하며, 아이솔레이터는 하우징의 벽을 포함하고 있다.
프로세서 (예를 들어, 도 1의 컨트롤러(109)의 프로세서)에 대한 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체가 제공되어 이미지 처리, 데이터 처리, 데이터베이스 관리, 그래픽 디스플레이, 하전 입자 빔 장치 또는 또 다른 이미징 디바이스의 작동, 웨이퍼 접지 제어, 웨이퍼 접지 위치 조정 제어, 핀-임팩트 방법 구현 등을 수행할 수 있다. 비일시적 매체의 일반적인 형태는, 예를 들어 플로피 디스크, 플렉서블 디스크, 하드 디스크, 솔리드 스테이트 드라이브, 자기 테이프 또는 임의의 다른 자기 데이터 저장 매체, CD-ROM, 임의의 다른 광학 데이터 저장 매체, 구멍의 패턴을 갖는 임의의 물리적 매체, RAM, PROM 및 EPROM, FLASH-EPROM 또는 임의의 다른 플래시 메모리, NVRAM, 캐시, 레지스터, 임의의 다른 메모리 칩 또는 카트리지, 그리고 이들의 네트워크화된 버전을 포함하고 있다.
도면의 블록도는 본 발명의 다양한 예시적인 실시예에 따른 시스템, 방법, 및 컴퓨터 하드웨어 또는 소프트웨어 제품의 가능한 구현의 아키텍처, 기능 및 동작을 도시하고 있다. 이와 관련하여, 흐름도 또는 블록도의 각 블록은 모듈, 세그먼트 또는 코드의 일부를 나타낼 수 있으며, 이는 지정된 논리 기능을 구현하기 위한 하나 이상의 실행 가능한 명령어를 포함하고 있다. 일부 대안적인 구현 형태에서 블록에 표시된 기능은 도면에서 언급된 순서에서 벗어나서 발생할 수 있다는 점이 이해되어야 한다. 예를 들어, 연속적으로 보여지는 2개의 블록은 실질적으로 동시에 실행 또는 구현될 수 있거나, 2개의 블록은 때로는 관련된 기능에 따라 역순으로 실행될 수 있다. 일부 블록은 생략될 수도 있다. 블록 다이어그램의 각 블록 및 블록의 조합은 지정된 기능 또는 동작을 수행하는 특수 목적 하드웨어 기반 시스템에 의하여 또는 특수 목적 하드웨어와 컴퓨터 명령의 조합에 의해 구현될 수 있다는 점 또한 이해되어야 한다.
본 발명의 실시예는 위에서 설명되고 첨부된 도면에 도시된 정확한 구성에 제한되지 않는다는 점 그리고 본 발명의 범위를 벗어남이 없이 다양한 수정 및 변경이 이루어질 수 있다는 점이 인식될 것이다.

Claims (15)

  1. 접지 핀; 및
    컨트롤러를 포함하며,
    상기 컨트롤러는
    충격에 의해 웨이퍼 상의 코팅부를 관통하도록 상기 접지 핀을 제어하기 위한; 및
    상기 접지 핀과 상기 웨이퍼 간의 전기적 연결을 구축하기 위한 회로를 갖는 시스템.
  2. 제1항에 있어서, 상기 웨이퍼를 지지하는 웨이퍼 스테이지에 연결된 액추에이터를 더 포함하는 시스템.
  3. 제2항에 있어서, 상기 액추에이터는 상기 접지 핀을 작동시키도록 구성된 시스템.
  4. 제3항에 있어서, 상기 접지 핀을 충격에 의해 상기 웨이퍼 상의 상기 코팅부를 관통하게 하기 위한 회로를 갖는 상기 컨트롤러는 상기 접지 핀을 충격에 의해 상기 코팅부를 관통하게 하기 위한 회로를 포함하며, 상기 접지 핀은 상기 웨이퍼와 직접 접촉하거나 상기 접지 핀은 상기 코팅부를 완전히 관통하지 않는 시스템.
  5. 제3항에 있어서, 충격에 의해 상기 웨이퍼 상의 상기 코팅부를 관통하도록 상기 접지 핀을 제어하기 위한 회로를 갖는 상기 컨트롤러는 상기 접지 핀을 상기 웨이퍼를 향하여 작동시키기 위한 회로를 포함하는 시스템.
  6. 제5항에 있어서, 상기 접지 핀을 상기 웨이퍼를 향하여 작동시키기 위한 회로를 갖는 상기 컨트롤러는,
    상기 접지 핀을 상기 웨이퍼로부터 일정 거리 멀리 이동시키기 위한; 그리고
    상기 접지 핀을 상기 웨이퍼를 향하여 가속시키기 위한 회로를 포함하는 시스템.
  7. 제6항에 있어서, 상기 회로를 갖는 상기 컨트롤러는 추가로 상기 웨이퍼의 유형, 상기 웨이퍼의 상기 코팅부의 유형, 또는 상기 코팅부의 두께 중 적어도 하나를 기반으로 상기 거리를 결정하기 위한 것인 시스템.
  8. 제6항에 있어서, 상기 회로를 갖는 상기 컨트롤러는 추가로 상기 접지 핀을 상기 웨이퍼로부터 멀리 이동시키기 전에 상기 웨이퍼와 접촉하도록 접지 핀을 제어하기 위한 것인 시스템.
  9. 제3항에 있어서, 상기 시스템은 질량체 블록을 더 포함하며, 상기 액추에이터는 상기 질량체 블록을 작동시키도록 구성된 시스템.
  10. 제9항에 있어서, 충격에 의해 상기 웨이퍼 상의 상기 코팅부를 관통하도록 상기 접지 핀을 제어하기 위한 회로를 갖는 상기 컨트롤러는 상기 웨이퍼를 향하여 상기 접지 핀에 충격을 주기 위해 상기 질량체 블록을 작동시키기 위한 회로를 포함하는 시스템.
  11. 제10항에 있어서, 상기 웨이퍼를 향하여 상기 접지 핀에 충격을 주기 위해 질량체 블록을 작동시키기 위한 회로를 갖는 상기 컨트롤러는 상기 접지 핀을 향하여 상기 질량체 블록을 작동시키기 위한 회로를 포함하는 시스템.
  12. 제11항에 있어서, 상기 질량체 블록을 상기 접지 핀을 향하여 작동시키기 위한 회로를 포함하는 컨트롤러는,
    상기 질량체 블록을 상기 접지 핀으로부터 일정 거리 멀리 이동시키기 위한; 그리고
    상기 질량체 블록을 상기 접지 핀을 향하여 가속시키기 위한 회로를 포함하는 시스템.
  13. 제12항에 있어서, 상기 회로를 갖는 상기 컨트롤러는 추가로 상기 웨이퍼의 유형, 상기 웨이퍼의 상기 코팅부의 유형, 또는 상기 코팅부의 두께 중 적어도 하나를 기반으로 상기 거리를 결정하기 위한 것인 시스템.
  14. 제12항에 있어서, 상기 회로를 갖는 상기 컨트롤러는 추가로 상기 질량체 블록을 상기 접지 핀로부터 멀리 이동시키기 전에 상기 접지 핀과 접촉하도록 질량체 블록을 제어하기 위한 것인 시스템.
  15. 접지 핀을 충격에 의해 웨이퍼 상의 코팅부를 관통하게 하는 것; 및
    접지 핀과 웨이퍼 간의 전기적 연결을 구축하는 것을 포함하는 방법을 장치가 수행하도록 장치의 적어도 하나의 프로세서에 의하여 실행 가능한 명령어 세트를 저장하는 비-일시적 컴퓨터 판독 가능한 매체.
KR1020227006334A 2019-08-28 2020-08-25 웨이퍼 접지를 위한 방법, 장치 및 시스템 KR20220038473A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201962893135P 2019-08-28 2019-08-28
US62/893,135 2019-08-28
US202063022374P 2020-05-08 2020-05-08
US63/022,374 2020-05-08
US202063068839P 2020-08-21 2020-08-21
US63/068,839 2020-08-21
PCT/EP2020/073704 WO2021037827A1 (en) 2019-08-28 2020-08-25 Method, apparatus, and system for wafer grounding

Publications (1)

Publication Number Publication Date
KR20220038473A true KR20220038473A (ko) 2022-03-28

Family

ID=72243111

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227006334A KR20220038473A (ko) 2019-08-28 2020-08-25 웨이퍼 접지를 위한 방법, 장치 및 시스템

Country Status (7)

Country Link
US (1) US20220277926A1 (ko)
JP (1) JP7325606B2 (ko)
KR (1) KR20220038473A (ko)
CN (1) CN114287051A (ko)
IL (1) IL290344A (ko)
TW (2) TWI776216B (ko)
WO (1) WO2021037827A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11922368B1 (en) * 2020-12-11 2024-03-05 Amazon Technologies, Inc. Object classification exception handling via machine learning
WO2023180168A1 (en) 2022-03-25 2023-09-28 Asml Netherlands B.V. Method of wafer grounding utilizing wafer edge backside coating exclusion area

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5179498A (en) * 1990-05-17 1993-01-12 Tokyo Electron Limited Electrostatic chuck device
US5459632A (en) * 1994-03-07 1995-10-17 Applied Materials, Inc. Releasing a workpiece from an electrostatic chuck
US5491603A (en) * 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
JP2004146654A (ja) 2002-10-25 2004-05-20 Tokyo Seimitsu Co Ltd 電子線装置
US7244125B2 (en) * 2003-12-08 2007-07-17 Neoconix, Inc. Connector for making electrical contact at semiconductor scales
WO2005057652A2 (en) * 2003-12-08 2005-06-23 Neoconix, Inc. Connector for making electrical contact at semiconductor scales and method for forming same
US7476877B2 (en) * 2006-02-14 2009-01-13 Varian Semiconductor Equipment Associates, Inc. Wafer charge monitoring
US8094428B2 (en) * 2008-10-27 2012-01-10 Hermes-Microvision, Inc. Wafer grounding methodology
US7952851B2 (en) * 2008-10-31 2011-05-31 Axcelis Technologies, Inc. Wafer grounding method for electrostatic clamps
US8902560B2 (en) * 2008-10-31 2014-12-02 Axcelis Technologies, Inc. Electrostatic chuck ground punch
US8908348B2 (en) * 2009-09-01 2014-12-09 Hermes Microvision, Inc. Wafer grounding and biasing method, apparatus, and application
JP5089721B2 (ja) 2010-03-24 2012-12-05 株式会社荏原製作所 ウェハのチャッキング装置およびチャッキング方法
US9624574B2 (en) * 2014-05-12 2017-04-18 Varian Semiconductor Equipment Associates, Inc. Platen with multiple shaped grounding structures

Also Published As

Publication number Publication date
TWI776216B (zh) 2022-09-01
IL290344A (en) 2022-04-01
JP7325606B2 (ja) 2023-08-14
WO2021037827A1 (en) 2021-03-04
TW202246785A (zh) 2022-12-01
CN114287051A (zh) 2022-04-05
JP2022546219A (ja) 2022-11-04
US20220277926A1 (en) 2022-09-01
TW202123287A (zh) 2021-06-16

Similar Documents

Publication Publication Date Title
KR100707542B1 (ko) 마이크로구조 결함 검출방법 및 장치
JPH0729639Y2 (ja) テスト装置
JP4828162B2 (ja) 電子顕微鏡応用装置および試料検査方法
KR20160066028A (ko) 검사, 시험, 디버그 및 표면 개질을 위한 전자빔 유도성 플라스마 프로브의 적용
US20230178406A1 (en) Method, apparatus, and system for dynamically controlling an electrostatic chuck during an inspection of wafer
US11637512B2 (en) Object table comprising an electrostatic clamp
US8094428B2 (en) Wafer grounding methodology
JP7325606B2 (ja) ウェーハ接地のための方法、装置、及びシステム
KR102516018B1 (ko) 고속-충전 디바이스에서 시간-의존적 결함들을 검출하는 장치 및 방법
US11056311B2 (en) Time-dependent defect inspection apparatus
US20080296496A1 (en) Method and apparatus of wafer surface potential regulation
JP6411799B2 (ja) 荷電粒子線装置
JP2010272586A (ja) 荷電粒子線装置
JP7220646B2 (ja) 荷電粒子線装置およびステージ
US20230112447A1 (en) Systems and methods for signal electron detection
WO2023180168A1 (en) Method of wafer grounding utilizing wafer edge backside coating exclusion area

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal