KR20210145258A - 포토리소그래피 이미징을 위한 장치 및 방법 - Google Patents

포토리소그래피 이미징을 위한 장치 및 방법 Download PDF

Info

Publication number
KR20210145258A
KR20210145258A KR1020217035632A KR20217035632A KR20210145258A KR 20210145258 A KR20210145258 A KR 20210145258A KR 1020217035632 A KR1020217035632 A KR 1020217035632A KR 20217035632 A KR20217035632 A KR 20217035632A KR 20210145258 A KR20210145258 A KR 20210145258A
Authority
KR
South Korea
Prior art keywords
source
pattern
mask
radiation
imaging
Prior art date
Application number
KR1020217035632A
Other languages
English (en)
Other versions
KR102700458B1 (ko
Inventor
조에른-홀거 프랑게
에릭 헨리 잔 헨드릭스
구이도 콘스탄트 시몬 스치프펠레르스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP19171770.1A external-priority patent/EP3734365A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210145258A publication Critical patent/KR20210145258A/ko
Application granted granted Critical
Publication of KR102700458B1 publication Critical patent/KR102700458B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/70116Off-axis setting using a programmable means, e.g. liquid crystal display [LCD], digital micromirror device [DMD] or pupil facets
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70133Measurement of illumination distribution, in pupil plane or field plane
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

이미징에 대한 M3D 효과들을 감소시키는 방법이 설명된다. 상기 방법은 방사선의 이미징 빔과 마스크 법선 사이의 입사각 하에서의 포토마스크에서의 빛의 회절로부터 발생하는 패턴 시프트들과 연계되는 포토리소그래피 시스템의 소스 평면 내의 지점들을 식별하는 단계, 식별된 소스 평면 지점들과 연계된 패턴 시프트들을 결정하는 단계, 및 결정된 패턴 시프트들을 감소시키도록 소스를 수정하는 단계를 포함한다.

Description

포토리소그래피 이미징을 위한 장치 및 방법
본 출원은 2019년 4월 30일에 출원된 EP 출원 19171770.1 및 2020년 3월 20일에 출원된 EP 출원 20164386.3의 우선권을 주장하며, 이들은 본 명세서에서 그 전문이 인용참조된다.
본 기재내용은 일반적으로 포토리소그래피 이미징에 관한 것이다. 특히, 3차원 마스크(M3D) 효과들로 인한 이미징 오차들을 감소시키는 장치, 방법들, 및 컴퓨터 프로그램들이 설명된다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별층에 대응하는 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있다. 패터닝 디바이스 상의 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 패터닝 디바이스 상의 패턴이 한 타겟부 상으로 한 번에 전사된다. 이러한 장치는 통상적으로 스테퍼(stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게 또는 역-평행하게(anti-parallel) 기판이 이동된다. 패터닝 디바이스 상의 패턴의 상이한 부분들이 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 축소율(M)(예를 들어, 4)을 갖고, 축소율은 x 및 y 방향에서 상이할 수 있기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 1/M 배가 될 것이다. 본 명세서에 서술된 바와 같은 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792로부터 얻을 수 있다.
패터닝 디바이스로부터 기판으로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 패턴의 측정/검사와 같은 다른 절차들("노광-후 절차들")을 거칠 수 있다. 이러한 일련의 절차들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 핀에 연결되는 캐리어 등에 장착될 수 있다.
따라서, 반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처들 및 다수 층들을 형성하기 위해 다수의 제작 공정들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 이용하여 제조되고 처리된다. 다수 디바이스들은 기판의 복수의 다이들 상에 제작된 후, 개별적인 디바이스들로 분리될 수 있다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 기판에 패터닝 디바이스 상의 패턴을 전사하기 위해 리소그래피 장치에서 패터닝 디바이스를 이용하는 광학 및/또는 나노임프린트(nanoimprint) 리소그래피와 같은 패터닝 단계를 수반하며, 통상적이지만 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치를 이용한 패턴의 에칭 등과 같은 1 이상의 관련 패턴 처리 단계를 수반한다.
유의되는 바와 같이, 리소그래피는 IC와 같은 디바이스의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 디바이스의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 공정이 계속해서 진보함에 따라, 통상적으로 "무어의 법칙"이라 칭하는 추세를 따라 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 심(deep)-자외선 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되어, 100 nm보다 훨씬 낮은 치수들, 즉 조명 소스(예를 들어, 193 nm 조명 소스)로부터의 방사선의 파장의 절반보다 작은 치수들을 갖는 개별적인 기능 요소들을 생성한다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 이 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택되는 방사선의 파장(현재, 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세-조정 단계들이 리소그래피 투영 장치, 디자인 레이아웃, 또는 패터닝 디바이스에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤 조명 방식(customized illumination schemes), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 함), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다. 본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처(aperture) 및 카타디옵트릭(catadioptric) 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 투영 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
일 실시예에 따르면, 포토리소그래피 시스템을 사용하여 기판 상에 피처(feature)를 이미징하기 위한 패턴을 최적화하는 방법이 제공되며, 상기 방법은 회절 차수들 사이의 비대칭 위상 효과들로 인한 포토마스크에서의 빛의 회절로부터 발생하는 패턴 시프트들과 연계되는 포토리소그래피 시스템의 소스 평면 내의 지점들을 식별하는 단계, 식별된 소스 평면 지점들과 연계된 패턴 시프트들을 결정하는 단계, 및 결정된 패턴 시프트들을 감소시키도록 소스를 수정하는 단계를 포함한다.
일 실시예에서, 상기 방법은 식별된 지점들에 대해 복수의 회절 차수들 각각에 관련되는 구역들을 결정하는 단계, 결정된 구역들의 오버랩을 감소시키도록 소스를 분리하는 단계, 소스에 적용되는 경우에 결정된 패턴 시프트들을 감소시킬 파면 조정을 결정하는 단계, 및 결정된 파면 조정을 사용하여 소스 마스크 최적화를 수행하는 단계를 포함한다.
또 다른 실시예에 따르면, 상기 방법은 복수의 극(pole)들을 갖는 소스를 각각 하나의 극을 갖는 복수의 소스들로 분해하는 단계, 및 복수의 소스들 각각에 대한 패턴 시프트 값을 선택하는 단계를 포함한다.
본 명세서에서 설명되는 바와 같은 개시된 요소들의 조합 및 하위 조합들은 별개의 실시예들을 구성한다. 예를 들어, 결정된 패턴 시프트에 대비되는 비대칭 패턴 시프트들을 생성하는 수차를 선택하는 단계가 소스 평면에서 지점들을 제거하는 단계, 및/또는 회전된 다이폴을 포함하는 수정된 소스를 사용하는 단계 및 회전된 비점수차를 사용하는 단계와 함께 사용될 수 있다. 각각은 함께 또는 개별적으로 사용될 수 있다. 마찬가지로, 상기 방법은 기판 상에 이미징하는 단계를 포함할 수 있거나, 최적화된 패턴의 생성으로 종료될 수 있다. 설명된 각각의 접근법은 선택적으로 이미징 단계를 포함할 수 있다.
또 다른 실시예에 따르면, 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품이 제공되고, 명령어들은 컴퓨터에 의해 실행될 때 앞서 설명된 방법을 구현한다.
도 1은 리소그래피 시스템의 다양한 서브시스템들의 블록 다이어그램을 나타낸다.
도 2는 이미징 성능에 대한 입사각의 효과를 나타낸다.
도 3은 일 실시예에 따른 기판 상에 프린트될 패터닝 디바이스 패턴 또는 타겟 패턴을 결정하는 방법의 흐름도이다.
도 4는 M3D 효과들로 인한 이미지의 중심 위치의 시프트를 나타낸다.
도 5는 상이한 피치들에 대한 M3D 효과들로 인한 위치 시프트를 나타낸다.
도 6은 0차와 회절 차수들 사이의 위상 오프셋(phase offset)을 나타낸다.
도 7은 본 발명의 일 실시예에 따른 수차가 추가된 2극 이미지 및 4극 이미지에 대한 이미징 성능을 비교한다.
도 8은 본 발명의 일 실시예에 따른 디커플링(decouple)된 회절 패턴에 대한 주입된 위상차의 일 예시를 나타낸다.
도 9a 및 도 9b는 본 발명의 일 실시예에 따른 생성되는 감소된 중심 위치 시프트를 나타낸다.
도 10은 본 발명의 일 실시예에 따른 작업 흐름을 나타내는 흐름도이다.
도 11은 본 발명의 일 실시예에 따른 작업 흐름을 나타내는 흐름도이다.
도 12는 보트 테이킹 방식(vote taking scheme)을 이용한 이미징의 효과를 나타내는 도면이다.
도 13은 본 발명의 다양한 실시예들에 따른 방법, 작업 흐름들 또는 장치의 작동에 사용하기 위한 컴퓨팅 시스템의 개략적인 다이어그램이다.
도 14는 일 실시예에 따른 리소그래피 투영 장치의 개략적인 다이어그램이다.
도 15는 일 실시예에 따른 도 14의 장치의 더 상세한 도면이다.
동적 랜덤 액세스 메모리 스토리지 노드 하프 피치(DRAM SN HP)의 계속적인 스케일링이 리소그래피 작업 동안 더 작은 회절 패턴 오버랩을 초래하였다. 이러한 더 작은 회절 패턴 오버랩 및/또는 기타 인자들로 인해, 통상적인 회절 패턴 형상의 퓨필들 및 렌더링 후 퓨필(post render pupil)들이 낮은 조명 효율을 나타내고, 이는 스캐너 스루풋을 둔화시킨다.
본 명세서에서는 IC의 제조에 대하여 특히 언급되지만, 본 명세서의 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 함을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 EUV(예를 들어, 약 3 내지 100 nm 범위 내의 파장을 갖는 극자외 방사선)를 포괄하는 데 사용된다. 하지만, (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선을 포함하는 다른 타입들의 전자기 방사선을 이용한 본 방법의 다른 적용예들이 고려된다. 이러한 방사선은 일반적으로 어느 정도의 편광을 가질 수 있다.
패터닝 디바이스는 1 이상의 디자인 레이아웃을 포함하거나 형성할 수 있다. 디자인 레이아웃은 CAD(computer-aided design) 프로그램을 사용하여 생성될 수 있다. 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 기초하여 설정된다. 예를 들어, 디자인 규칙들은 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들 중 1 이상은 "임계 치수"(CD)라고 칭해질 수 있다. 디바이스의 임계 치수는 라인 또는 홀의 최소 폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 디바이스의 전체 크기 및 밀도를 조절한다. 디바이스 제작의 목표들 중 하나는 원래 디자인 의도를 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현하는 것이다.
본 명세서에서 채택된 "마스크", "레티클" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다. 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리, 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 다른 이러한 패터닝 디바이스의 예시들로 프로그램가능한 거울 어레이를 포함한다. 이러한 디바이스의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여, 회절 방사선만이 남게 할 수 있다; 이러한 방식으로, 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단들을 이용하여 수행될 수 있다. 또한, 다른 이러한 패터닝 디바이스의 예시들로 프로그램가능한 LCD 어레이를 포함한다. 이러한 구성의 일 예시는 미국 특허 제 5,229,872호에서 주어지며, 이는 본 명세서에서 인용참조된다.
간략한 도입부로서, 도 1은 예시적인 리소그래피 투영 장치(10A)를 나타낸다. 주요 구성요소들은 극자외선(EUV) 소스 또는 또 다른 타입의 소스일 수 있는 방사선 소스(12A)(앞서 논의된 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없음); 예를 들어, 소스(12A)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있고, (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하는 조명 광학기; 패터닝 디바이스(또는 마스크)(18A); 및 기판 평면(22A) 상으로 패터닝 디바이스 패턴의 이미지를 투영하는 투과 광학기(16Ac)이다.
퓨필(20A)이 투과 광학기(16Ac)와 포함될 수 있다. 일부 실시예들에서, 마스크(18A) 전 및/또는 후에 1 이상의 퓨필이 존재할 수 있다. 본 명세서에서 더 상세히 설명되는 바와 같이, 퓨필(20A)은 궁극적으로 기판 평면(22A)에 도달하는 광의 패터닝을 제공할 수 있다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처가 기판 평면(22A) 상에 부딪치는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수 NA = n sin(Θmax)를 정의하고, 여기서 n은 투영 광학기의 최종 요소와 기판 사이의 매질의 굴절률이며, Θmax는 기판 평면(22A) 상에 여전히 충돌할 수 있는 투영 광학기로부터 나오는 빔의 최대 각도이다.
리소그래피 투영 장치에서, 소스는 패터닝 디바이스에 조명(즉, 방사선)을 제공하고, 투영 광학기는 패터닝 디바이스를 통해 기판 상으로 조명을 지향하고 성형한다. 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2009-0157630호에서 찾아볼 수 있다. 레지스트 모델은 레지스트 층의 속성들[예를 들어, 노광, 노광-후 베이크(PEB) 및 현상 시 일어나는 화학 공정들의 효과들]에만 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 조명, 패터닝 디바이스 및 투영 광학기의 속성들)이 에어리얼 이미지를 좌우하고, 광학 모델에서 정의될 수 있다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다. 디자인 레이아웃을 다양한 리소그래피 이미지들(예를 들어, 에어리얼 이미지, 레지스트 이미지 등)로 변환하는 데 사용되는 기술들 및 모델들, 이 기술들 및 모델들을 이용한 OPC의 적용, 및 (예를 들어, 공정 윈도우에 관한) 성능의 평가의 세부사항들은 미국 특허 출원 공개공보 US 2008-0301620호, 2007-0050749호, 2007-0031745호, 2008-0309897호, 2010-0162197호, 및 2010-0180251호에 설명되어 있으며, 이들은 각각 본 명세서에서 그 전문이 인용참조된다.
리소그래피 장치의 다양한 광학 구성요소들은 일반적으로 수차를 나타낸다. 수차를 갖는 파면(Aberrated wavefront)이 제르니케 다항식에 관하여 설명될 수 있다. 제르니케 다항식들은 직교 다항식들의 세트이다. 이들은 원형 퓨필을 갖는 광학 시스템에 대한 파면 함수의 전개식(expansion)이다. 이들이 직교이고, 여하한의 임의 파면 함수가 제르니케 다항식들의 조합으로서 설명될 수 있기 때문에(즉, 이들은 직교이고 완전함), 이들은 파면 함수를 설명하기 위한 기저 세트(basis set)를 구성한다. 제르니케 다항식들 중에서, Z2/Z3이 프린트될 피처와 상관없이 엄격한 패턴 시프트들을 유도하는 위상 기울기(phase tilt)들을 설명하는 한편, 고차 수차들은 예를 들어 Z5/Z6을 포함하고, 이는 당업자에 의해 이해되는 바와 같은 회전된 비점수차를 설명한다. 또한, 다른 기저 세트들에 관하여 수차들을 설명하는 것도 가능하며, 렌즈 모델들이 제르니케 설명어 대신에 또는 이에 추가하여 이러한 기저 세트들을 이용할 수 있다. 특히, 중심 암흑화(central obscuration)를 포함하는 소정 광학 디자인들에 대해, 제르니케 다항식들은 비-직교가 되므로, 광학 수차들을 설명하는 데 적합하지 않고, 다른 기저 세트들이 필요하다.
전형적인 포토리소그래피 기계에서, 조정 노브들(실제 또는 가상 제어 노브들일 수 있음)이 다양한 기계 파라미터들을 수정하는 데 이용가능하다. 다른 파라미터들 중에서, 제르니케 다항식들에 관한 수차가 노브들을 사용하여 수정될 수 있다. 노브들은 리소그래피 장치의 제어 시스템의 일부를 형성하고, 예를 들어 컴퓨터 소프트웨어로 구현될 수 있다.
노브들을 사용하여, 파면이 수정될 수 있고, 일 실시예에서 특정 제르니케 다항식 또는 다항식들이 수정되도록 선택되어 이미징 성능을 개선할 수 있다. 각각의 제어 노브가 1 이상의 제르니케 다항식의 값에 영향을 줄 수 있으며, 이에 따라 특정 제르니케 다항식의 조정은 1 이상의 노브의 조작을 필요로 할 수 있다. 원칙적으로, 노브는 1 이상의 광학 요소[카톱트릭(catoptric) 시스템의 거울들, 또는 디옵트릭(dioptric) 시스템의 렌즈들, 또는 카타디옵트릭 시스템의 조합]의 위치 및/또는 방위(orientation)를 변경할 수 있다. 예를 들어, Z5 및 Z6을 제어하기 위한 노브들을 제공하는 것이 알려져 있다. 조정들은 이미징 광의 파장의 일부에 관하여 특징지어질 수 있다. 예를 들어, Z6에 대한 조정은 -70 mλ일 수 있으며, 이는 유도된 위상 시프트가 이미징 파장의 0.070 배라는 것을 의미한다.
리소그래피 공정을 이해하는 한 가지 측면은 방사선과 패터닝 디바이스의 상호작용을 이해하는 것이다. 방사선이 패터닝 디바이스를 통과한 후의 방사선의 전자기장은, 방사선이 패터닝 디바이스에 도달하기 전의 방사선의 전자기장 및 상호작용을 특성화하는 함수로부터 결정될 수 있다. 이 함수는 마스크 투과 함수(이는 투과 패터닝 디바이스 및/또는 반사 패터닝 디바이스에 의한 상호작용을 설명하는 데 사용될 수 있음)라고 칭해질 수 있다.
마스크 투과 함수는 여러 가지 상이한 형태들을 가질 수 있다. 일 형태는 바이너리이다. 바이너리 마스크 투과 함수는 패터닝 디바이스 상의 여하한의 주어진 위치에서 두 값들(예를 들어, 0 및 양의 상수) 중 어느 하나를 갖는다. 바이너리 형태의 마스크 투과 함수는 바이너리 마스크라고 칭해질 수 있다. 또 다른 형태는 연속적이다. 즉, 패터닝 디바이스의 투과율(또는 반사율)의 모듈러스(modulus)는 패터닝 디바이스 상의 위치의 연속 함수이다. 또한, 투과율(또는 반사율)의 위상이 패터닝 디바이스 상의 위치의 연속 함수일 수 있다. 연속적인 형태의 마스크 투과 함수는 연속톤 마스크(continuous tone mask) 또는 연속 투과 마스크(CTM)라고 칭해질 수 있다. 예를 들어, CTM은 픽셀화된 이미지(pixelated image)로서 표현될 수 있으며, 여기서 각각의 픽셀에는 0 또는 1 중 어느 하나의 이진 값 대신에 0과 1 사이의 값(예를 들어, 0.1, 0.2, 0.3 등)이 할당될 수 있다. 일 실시예에서, CTM은 각각의 픽셀이 값들(예를 들어, 범위 [-255, 255] 내의 값들, 범위 [0, 1] 또는 [-1, 1] 내의 정규화된 값들, 또는 다른 적절한 범위들 내의 값들)을 갖는 픽셀화된 그레이 스케일 이미지일 수 있다.
키르히호프 경계 조건이라고도 불리는 얇은-마스크 근사가 방사선과 패터닝 디바이스의 상호작용의 결정을 단순화하기 위해 널리 사용된다. 얇은-마스크 근사는 패터닝 디바이스 상의 구조체들의 두께가 파장에 비해 매우 작고 마스크 상의 구조체들의 폭들이 파장에 비해 매우 크다고 가정한다. 그러므로, 얇은-마스크 근사는 패터닝 디바이스 이후 전자기장이 마스크 투과 함수와 입사 전자기장의 곱이라고 가정한다. 하지만, 리소그래피 공정들이 점점 더 짧은 파장들의 방사선을 사용하고, 패터닝 디바이스 상의 구조체들이 점점 더 작아짐에 따라, 얇은-마스크 근사의 가정은 무너질 수 있다. 예를 들어, 그들의 유한한 두께들로 인한 구조체들(예를 들어, 최상부 표면과 측벽 사이의 에지들)과 방사선의 상호작용("마스크 3D 효과" 또는 "M3D")이 중요해질 수 있다. 추가적으로, 이미징 방사선이 부분적으로 또는 완전히 편광되는 정도까지, 특히 높은 NA(예를 들어, NA > 0.33)에서 편광 효과들이 관련될 수 있다. 이러한 산란을 마스크 투과 함수에 포함하는 것은 마스크 투과 함수가 패터닝 디바이스와 방사선의 상호작용을 더 잘 포착가능하게 할 수 있다. 얇은-마스크 근사 하에서의 마스크 투과 함수는 얇은-마스크 투과 함수라고 칭해질 수 있다. M3D를 포함하는 마스크 투과 함수는 M3D 마스크 투과 함수라고 칭해질 수 있다.
특히 EUV 이미징에서, 회절된 차수들의 대체로 원하지 않고 제어되지 않는 위상 변조가 발생한다. 이 변조는 입사각과 강한 상관관계를 나타내며, EUV 이미징에 내재하는 주 광선의 오프액시스(off-axis) 입사각으로 인해 더 심해진다. 이는 서로에 대해 소스의 상이한 구역들에서 오는(즉, 마스크 상의 EUV 광의 상이한 입사각들에 의해 생성되는) 이미지들의 상대적인 패턴 시프트들로 이어진다. 이러한 이미지들이 일관성 없이 중첩되기 때문에, 결과로서 이미지 콘트라스트(또는 NILS)가 저하된다. NILS를 증가시키는 것은 공정 윈도우를 넓히고 라인 폭 거칠기(LWR) 및 확률적 결함들을 감소시키는 경향이 있다. 이는 도 2에 예시되며, 이는 상이한 회절 차수들의 포착으로 인한 패턴 시프트의 일 예시를 나타낸다.
일반적으로, 높은 입사각의 광(상부 극으로부터의 에어리얼 이미지)이 조밀한 L/S 격자에 대해 이미지의 하향 시프트를 유도한다는 것이 관찰된다. 하부 극(낮은 입사각의 광)은 상향 시프트를 야기한다. 앞서 설명된 바와 같이, 레지스트 내의 이미지가 모든 소스에 걸친 합산(summation)이기 때문에, 이 효과로 인해 전체 콘트라스트가 낮아진다. 상이한 지오메트리의 조밀한 접촉홀 어레이들에 대해 유사한 극-특정적 시프트들이 관찰된다. 원칙적으로, 상부 극으로부터의 에어리얼 이미지가 위쪽으로 시프트되는 한편, 하부 극으로부터의 이미지는 아래쪽으로 시프트되도록 반대 효과들을 나타내는 상이한 패턴들이 존재할 수 있다. 아래에서 더 상세히 설명되는 바와 같이, 소스를 최적화함으로써, 이 효과가 감소되어 콘트라스트를 개선하도록 도울 수 있다.
도 3은 리소그래피 투영 장치에서의 리소그래피를 시뮬레이션하는 예시적인 흐름도이다. 소스 모델(31)이 소스의 광학적 특성들(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기 모델(32)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 디자인 레이아웃 모델(35)이 패터닝 디바이스에 의해 형성되는, 또는 패터닝 디바이스 상의 피처들의 일 구성을 나타내는 디자인 레이아웃의 광학적 특성들[주어진 디자인 레이아웃(33)에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함]을 나타낸다. 조명 모델(31), 투영 광학기 모델(32) 및 디자인 레이아웃 모델(35)로부터 에어리얼 이미지(36)가 시뮬레이션될 수 있다. 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 레지스트 이미지(38)가 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 윤곽들 및/또는 CD들을 예측할 수 있다.
더 명확하게는, 소스 모델(31)은 NA-시그마(σ) 세팅들 및 여하한의 특정 조명 소스 형상[예를 들어, 환형, 쿼드러폴(quadrupole), 다이폴(dipole) 등과 같은 오프-액시스 방사선 소스들]을 포함 -이에 제한되지는 않음- 하는 소스의 광학적 특성들을 나타낼 수 있다는 것을 유의한다. 투영 광학기 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다. 또한, 디자인 레이아웃 모델(35)은, 예를 들어 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 물리적 패터닝 디바이스의 물리적 속성들을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치, 에어리얼 이미지 세기 기울기 및 CD를 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 OPC-전 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
이 디자인 레이아웃으로부터, 1 이상의 부분이 식별될 수 있으며, 이는 "클립(clip)"이라고 칭해진다. 일 실시예에서, 클립들의 일 세트가 추출되고, 이는 디자인 레이아웃 내의 복잡한 패턴들을 나타낸다(전형적으로, 약 50 내지 1000 개의 클립들이 사용되지만, 여하한 수의 클립들이 사용될 수 있음). 당업자라면 이해하는 바와 같이, 이 패턴들 또는 클립들은 디자인의 작은 부분들(즉, 회로들, 셀들 또는 패턴들)을 나타내며, 특히 클립들은 특정 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다. 다시 말하면, 클립들은 경험에 의해(고객에 의해 제공된 클립들을 포함함), 시행착오에 의해, 또는 풀-칩 시뮬레이션 실행에 의해 임계 피처들이 식별되는 디자인 레이아웃의 부분들일 수 있거나, 또는 디자인 레이아웃의 부분들과 유사하거나 유사한 거동을 가질 수 있다. 클립들은 일반적으로 1 이상의 테스트 패턴 또는 게이지 패턴을 포함한다.
클립들의 더 큰 초기 세트는 특정 이미지 최적화를 필요로 하는 디자인 레이아웃 내의 알려진 임계 피처 영역들에 기초하여 고객에 의해 선험적으로(a priori) 제공될 수 있다. 대안적으로, 또 다른 실시예에서, 클립들의 더 큰 초기 세트는 임계 피처 영역들을 식별하는 어떤 종류의 자동화[예를 들어, 머신 비전(machine vision)] 또는 수동 알고리즘을 이용함으로써 전체 디자인 레이아웃으로부터 추출될 수 있다.
패터닝 공정(예를 들어, 레지스트 공정)의 확률적 변동들이, 예를 들어 피처들의 수축 가능성 및 노광-도즈 사양의 측면에서, 잠재적으로 리소그래피(예를 들어, EUV 리소그래피)를 제한하며, 이는 차례로 패터닝 공정의 웨이퍼 스루풋에 영향을 미친다. 일 실시예에서, 레지스트 층의 확률적 변동들이 폐쇄된 홀 또는 트렌치, 또는 파선과 같은 확률적 실패로 나타날 수 있다. 이러한 레지스트 관련 확률적 변동들은, 예를 들어 패터닝 공정의 성능을 측정하고 조정하기 위한 전통적인 관심 메트릭인 확률적 CD 변동에 비해 성공적인 대량 제조(HVM)에 더 영향을 미치고 제한한다.
패터닝 공정들(예를 들어, 포토리소그래피, 전자빔 리소그래피 등)에서, 기판 상에 증착된 에너지 감응성 재료(예를 들어, 포토레지스트)가 패턴 전사 단계(예를 들어, 노광)를 거친다. 패턴 전사 단계 후, 레지스트 베이킹 및 서브트랙티브 공정(subtractive process)들, 예컨대 레지스트 현상, 에칭 등과 같은 다양한 사후 단계(post step)들이 적용된다. 이러한 노광-후 단계들 또는 공정들은 다양한 효과들을 발휘하여, 패터닝된 층 또는 에칭된 기판이 타겟 치수들과 상이한 치수들을 갖는 구조체를 형성하도록 한다.
전산 리소그래피(computational lithography)에서, 마스크 모델, 광학 모델, 레지스트 모델, 노광-후 모델들 등과 같은 패터닝 공정의 상이한 측면들과 관련된 패터닝 공정 모델들(예를 들어, 도 2에서 논의됨)이 채택되어 기판 상에 프린트될 패턴을 예측할 수 있다. (예를 들어, 프린트된 웨이퍼와 연계된 측정 데이터를 사용하여) 적절하게 캘리브레이션된 경우, 패터닝 공정 모델들은 패터닝 공정들로부터 출력되는 패턴 치수들의 정확한 예측을 생성할 수 있다. 예를 들어, 노광-후 공정들의 패터닝 공정 모델이 경험적 측정들에 기초하여 캘리브레이션된다. 캘리브레이션 프로세스는 상이한 공정 파라미터들(예를 들어, 도즈, 포커스 등)을 변동시킴으로써 테스트 기판들을 노광하는 단계, 노광-후 공정들 이후에 프린트된 패턴들의 결과적인 임계 치수들을 측정하는 단계, 및 측정된 결과들로 패터닝 공정 모델을 캘리브레이션하는 단계를 수반한다. 실제로, 빠르고 정확한 모델들이 디바이스 성능(예를 들어, 수율)을 개선하고, 공정 윈도우, 패터닝 레시피를 향상시키고, 및/또는 디자인 패턴의 복잡함을 증가시키는 역할을 한다.
일 실시예에서, 프로세스는 초기 이미지(예를 들어, CTM 이미지 또는 최적화된 CTM 이미지, 또는 바이너리 마스크 이미지)를 얻는 단계를 수반할 수 있다. 일 실시예에서, 초기 이미지는 기판 상에 프린트될 타겟 패턴에 기초하여 CTM 생성 프로세스에 의해 생성되는 CTM 이미지일 수 있다. 그 후, CTM 이미지는 프로세스에 의해 수신될 수 있다. 일 실시예에서, 프로세스는 CTM 이미지를 생성하도록 구성될 수 있다. 예를 들어, CTM 생성 기술에서, 역 리소그래피 문제가 최적화 문제로서 공식화된다. 변수들은 마스크 이미지 내의 픽셀들의 값들과 관련될 수 있고, EPE 또는 사이드로브 프린팅과 같은 리소그래피 메트릭이 비용 함수로서 사용된다. 최적화의 반복에서, 마스크 이미지는 변수들로부터 구성되고, 그 후 공정 모델(예를 들어, Tachyon 모델)이 적용되어 광학 또는 레지스트 이미지들을 얻으며, 비용 함수들이 연산된다. 비용 연산은 변수(예를 들어, 픽셀 세기)들을 업데이트하기 위해 최적화 솔버에서 사용되는 기울기 값들을 제공한다. 최적화 동안 여러 번의 반복들 후에, 최종 마스크 이미지가 생성되며, 이는 (예를 들어, Tachyon SMO 소프트웨어에서 구현되는 바와 같이) 패턴 추출을 위한 안내 맵(guidance map)으로서 더 사용된다. 이러한 초기 이미지(예를 들어, CTM 이미지)는 패터닝 공정을 통해 기판 상에 프린트될 타겟 패턴에 대응하는 1 이상의 피처(예를 들어, 타겟 패턴의 피처, SRAF, SRIF 등)를 포함할 수 있다.
통상적인 소스 마스크 최적화 프로세스의 일 예시가 "Optimization Flows of Source, Mask and Projection Optics"라는 제목의 미국 특허 9,588,438호에 설명되어 있으며, 이는 그 전문이 인용참조된다. 소스 마스크 최적화는 마스크 디자인 레이아웃의 다수 위치들에 걸쳐 이미징 변동을 설명할 수 있다. 디자인 레이아웃은 전체 디자인 레이아웃, 클립, 또는 디자인 레이아웃의 1 이상의 임계 피처, 및/또는 다른 레이아웃들 중 1 이상을 포함할 수 있다. 예를 들어, 디자인 레이아웃은 회절 시그니처 분석에 기초한 패턴 선택 방법 또는 여하한의 다른 방법에 의해 선택되는 클립들의 세트일 수 있다. 대안적으로, 풀칩 시뮬레이션이 수행될 수 있고, 풀칩 시뮬레이션으로부터 '핫스폿(hot spots)' 및/또는 '웜스폿(warm spots)'이 식별될 수 있으며, 그 후 패턴 선택 단계가 수행된다.
리소그래피 투영 장치에 대한 리소그래피를 시뮬레이션하는 것은 소스의 광학적 특성들(광 세기 분포 및/또는 위상 분포를 포함함)을 나타내는 소스 모델, 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기되는 광 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타내는 투영 광학기 모델[일부 실시예들에서, 소스 모델 및 투영 광학기 모델은 투과 교차 계수(transmission cross coefficient: TCC) 모델로 조합될 수 있음], 마스크 상의 피처들의 일 구성을 나타내는 디자인 레이아웃의 광학적 특성들(주어진 디자인 레이아웃에 의해 야기되는 광 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타내는 디자인 레이아웃 모델, 및/또는 다른 모델들을 이용할 수 있다. 투과 교차 계수 및 디자인 레이아웃 모델로부터 에어리얼 이미지가 시뮬레이션될 수 있다. 레지스트 모델을 이용하여 에어리얼 이미지로부터 레지스트 이미지가 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 윤곽들 및 CD들을 예측할 수 있다.
일 실시예에서, 소스 모델은 NA-시그마(σ) 세팅들 및 여하한의 특정 조명 소스 형상(예를 들어, 환형, 쿼드러폴 및 다이폴 등과 같은 오프-액시스 광 소스들)을 포함 -이에 제한되지는 않음- 하는 소스의 광학적 특성들을 나타낼 수 있다. 투영 광학기 모델은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다. 또한, 디자인 레이아웃 모델은 예를 들어 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 물리적 마스크의 물리적 속성들을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치 및 CD를 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 OPC-전 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 또 다른 파일 포맷으로 제공될 수 있다.
도 4는 도 2에 나타낸 것과 동일한 개념을 나타내며, 높은 입사각의 광이 아래쪽으로 시프트되고 낮은 입사각의 광이 위쪽으로 시프트되어 중심 위치에서 미스매칭을 초래한다. 상기 예시에서, 높은 입사각의 광 및 낮은 입사각의 광의 중심 위치는 초점면에서 약 5 nm만큼 오프셋된다.
유사하게, 도 5는 피치를 통한 중심 오프셋들을 예시한다. 관계는 32, 64, 96 및 128 nm의 피치들에 대해 플롯이 구성된다. 각각의 피치에 대해, 오프셋 방향의 동일한 반전이 관찰된다. 즉, 다시 한 번 (그래프의 왼쪽에 나타낸) 더 낮은 입사각의 중심 위치들은 위쪽으로 시프트되는 한편, (그래프의 오른쪽에 나타낸) 높은 입사각 소스 지점들의 중심 위치들은 아래쪽으로 시프트된다. 그러므로, 필요한 보정들은 반대 방향들이다.
이 관찰을 감안하면, 위상 델타들이 반대 부호로 이루어짐이 추론될 수 있으며, 이는 이미징에 영향을 미치는 주된 위상 오프셋인 0차 오프셋에 대응한다. 이는 도 6에 도시되어 있으며, 여기서 위상 곡선은 0차 오프셋에 의해 좌우된다.
오프셋을 보정하는 한 가지 접근법은 상이한 소스 지점들에 상이한 선형 위상 시프트들을 도입하는 것이다. 예를 들어, 하부 극으로부터의 에어리얼 이미지에 대한 Z3 조정이 중심 위치를 아래쪽으로 이동시킬 수 있는 한편, 유사하지만 부호가 반대인 상부 극으로부터의 에어리얼 이미지의 중심 위치를 위쪽으로 이동시키는 조정이 적절한 보정을 제공할 것이다. 그러므로, 소스는 상이한 극들에 대해 이러한 반대 보정들을 수행하는 방식으로 수정되어야 한다.
도 7은 Z6에 대한 조정의 추가와 함께 소스에 비대칭을 도입하는 효과를 예시한다. 상기 예시에서, 수평 라인들 및 공간들이 이미징되어야 한다. 수평 라인들의 회절 차수들은 도 8에 나타낸 바와 같이 수직 커트라인에 떨어지므로, 선형 위상 기울기를 갖는다. 상부 우측 극 및 하부 좌측 극(도 8의 원)은 반대 부호의 기울기를 경험하여 패턴을 반대 방향으로 시프트한다. 도 7의 더 어두운 라인(40)은 조정된 소스에 대한 시뮬레이션된 NILS를 나타내는 한편, 더 밝은 라인(42)은 초기 NILS를 나타낸다. 분명히 알 수 있는 바와 같이, Z6 수차 조정들의 적용에 추가하여 하부 우측 극 및 상부 좌측 극의 제거에 의한 소스 지오메트리의 변화의 결과로서 NILS가 크게 개선된다.
도 9a 및 도 9b는 중심 오프셋과 관련하여 측정된 것과 동일한 조정을 나타낸다. 도 9b로부터 분명한 바와 같이, -70 mλ의 동일한 Z6 조정의 적용에 의해 M3D로 인한 시프트들이 크게 상쇄된다.
도 10은 일 실시예에 따른 M3D 유도 패턴 시프트들을 보상하는 방법을 나타내는 흐름도이다. 단계 100은 이미징에 중요한 포토리소그래피 시스템의 소스 평면 내의 지점들을 식별하는 단계를 포함한다. 이는, 예를 들어 NILS, MEEF(Mask Error Enhancement Factor) 또는 초점 심도 정보를 봄으로써 행해질 수 있다. 당업자는 마스크 오차 향상 인자가 에어리얼 이미지에서 마스크 상의 오차가 증폭되는 양의 측정이라는 것을 이해한다. 즉, 마스크 상의 오차들이 이미징 축소로 인해 1/4 크기로 이미징될 것으로 예상되지만, 에어리얼 이미지의 오차는 마스크 오차의 크기의 1/4보다 큰 경우가 흔하다. 일반적으로, MEEF는 1을 초과하며, 피처 크기가 줄어듦에 따라 더 커지는 경향이 있다. 이는 MEEF가 이미지 스케일이 감소함에 따라 지배적인 회절 효과들에 의존하기 때문이다.
이해하는 바와 같이, 소스 평면의 특정 부분들이 예를 들어 이미징되는 패턴, 이미지의 다양한 부분들의 분해능, 및 다른 인자들에 의존하여 전체 이미징에 더 중요하거나 덜 중요할 수 있다. 일 실시예에서, 이 결정은 극들 사이의 패턴 시프트를 최소화하는 선택된 디포커스 값에서 이루어진다.
다음으로, 단계 102는 식별된 지점들에 대한 패턴 시프트들을 식별하는 단계를 포함한다. 특히, 앞서 설명된 바와 같이, 이러한 패턴 시프트들은 방사선의 이미징 빔과 마스크 법선 사이의 입사각(M3D 효과들) 하에서의 포토마스크에서의 빛의 회절로부터 발생한다.
단계 104는 식별된 지점들에 대해, 복수의 회절 차수들 각각에 관련되는 구역들을 결정하고 결정된 구역들의 오버랩을 감소시키도록 소스를 분리하는 단계이다. 이는, 예를 들어 퓨필 평면의 시뮬레이션에서 행해질 수 있다. 원칙적으로, 오버랩을 완전히 제거하는 것이 바람직하지만, 이는 흔히 실행가능하지 않으므로 감소가 달성가능한 최상의 결과이다.
일 예시에서, 수평 라인/공간 패턴에 대해 상부 좌측 사분면 및 하부 우측 사분면은 제거되어 (도 7에 나타낸 바와 같이) 상부 우측 및 하부 좌측에서 2 개의 극들을 남길 수 있다. 유사한 접근법이 조밀한 접촉홀들에 적용될 수 있으며, 이에 대해 시뮬레이션들은 유사하게 Z6 수차들의 적용에 의해 개선된 NILS를 나타낸다. 하지만, 조밀한 접촉홀들은 오버랩되는 상당한 회절 차수들을 갖기 때문에, 소스 지점들 사이에서 완전한 분리를 얻는 것이 더 어렵다. 실제로, 상기 방법은 오버랩들을 완전히 제거할 수 없음에도 불구하고 여전히 적용될 수 있다. 예를 들어, 조밀한 라인 이미징에서, 패턴의 대부분이 1차원으로서 처리될 수 있더라도, 라인 단부들은 2D 효과들을 생성하여 일부 회절 차수 오버랩을 제거하기 어렵거나 불가능하게 만든다. 그럼에도 불구하고, 이 효과들은 작은 경향이 있으며, 패턴의 규칙적인 부분들에 적용할 때 여전히 잠재적인 이점들이 존재한다.
선택적으로, 제거할 소스의 부분들을 결정할 때, 가장 편광-의존적이고 이에 따라 편광 관련 오차들에 크게 기여하는 경향이 있는 픽셀들이 유사하게 잘릴 수 있다.
단계 106은 소스에 적용될 때 결정된 패턴 시프트들을 감소시킬 파면을 결정하는 단계이다. 각각의 퓨필 영역에 대해(원칙적으로는, 각각의 픽셀에 대해), 특히 앞선 예시들에서와 같이 시프트들이 선형인 경우에 적절한 시프트를 생성하는 위상이 결정될 수 있다. 이러한 방식으로, 패턴 시프트들을 보정하는 원하는 파면이 얻어질 수 있다. 이 파면 조정은 앞선 예시들에서와 같이 제르니케 다항식에 관하여 설명될 수 있지만, 더 복잡할 수도 있다. 일단 결정되면, 실제로 그 파면을 생성하는 스캐너의 능력들이 예를 들어 렌즈 모델을 사용하여 검사되어야 한다.
스캐너가 소스의 부분들에 대해 결정된 파면 조정을 생성할 수 있고 다른 부분들에 대해서는 그렇지 않은 정도까지, 선택적으로, 단계 108은 위상을 보상하기 어려운 소스 부분들을 제거하는 단계이다. 더 선택적으로, 위상을 보상하는 것이 충분히 어렵고 그 작업을 단순화하도록 소스 부분들이 제거될 수 없는 경우, 포커스의 변화가 설정될 수 있고, 상기 방법은 단계 102로 되돌아가 각각의 소스 지점에 대한 패턴 시프트들을 다시 결정한다.
특정 파면이 생성될 수 있는지 여부를 결정하는 한 가지 접근법에서, 제 1 단계는 복수의 제르니케들(예를 들어, Z5 내지 Z20)의 각각의 제르니케가 소스 분해 후 남은 소스 지점들에 대한 위상 시프트들의 관점에서 생성할 수 있는 것을 계산하는 단계이다. 그 후, 소스 지점들은 원하는 위상 시프트와 얻어진 위상 시프트 간의 차이에 의해 순위가 매겨질 수 있다. 그 후, 임계치 메트릭이 달성될 때까지 가장 낮은 순위의 지점들이 빠진다. 예를 들어, 임계치로서 퓨필 충전율(pupil fill ratio), 즉 밝음 대 어두움인 퓨필의 비율을 사용하면, 최소 소스 픽셀 수에 도달한다. 즉, 허용가능한 최소 퓨필 충전율이 지정되고, 그 비율에 도달할 때까지 소스 지점들이 빠진다. 임계 퓨필 충전율에 도달하기 전에 낮은 순위의 지점들이 모두 제거되는 경우, 선택적으로 추가 지점들이 빠질 필요는 없다.
대안적으로, 임계치는 남은 순 패턴 시프트의 측면에서 설정될 수 있고, 이 위에서 소스 지점들이 빠진다. 그 후, 모든 제르니케들에 대해 결과들이 비교되고, 그 중에서 가장 잘 보상된 파면이 선택된다. 대안적인 접근법에서는, 제 1 단계에서 제르니케들을 사용하기보다, 렌즈 모델이 사용되어 남은 소스 지점들의 순위 지정을 진행하기에 앞서 요구되는 것과 가장 가까운 동위상면(phase front)에 대해 풀려고 할 수 있다(즉, 조정들을 수행하기 위해 노브가 이용가능한 수차들 - 유도가능한 수차들의 최상의 조합을 사용함).
마지막으로, 단계 110은 결정된 파면을 사용하여 소스 마스크 최적화를 수행하는 단계이다.
본 발명자들은 상기 방법이 예를 들어 오버레이 마커들을 포함하는 주로 H/V인 패턴들에 대해 피치를 통해 강건하다고 판단하였다. 즉, 피치가 변함에 따라, 상기 방법은 이 타입의 피처에 대해 계속해서 우수한 성능을 나타낸다.
도 11은 M3D 유도 패턴 시프트들을 보상하는 방법의 제 2 실시예를 나타내는 흐름도이다. 도 10에서와 같이, 제 1 단계 130은 이미징에 중요한 소스 평면 내의 지점들을 식별하는 단계이다. 마찬가지로, 제 2 단계 132는 식별된 지점들에 대한 패턴 시프트들을 식별하는 단계이다.
단계 134에서, 상기 방법은 분기되고, 패턴 시프트들은 예를 들어 극마다 "비닝(bin)"되어 소스가 단일 노광 소스들로 분해될 수 있도록 한다. 따라서, 오버랩을 생성하는 극들을 제거하기보다는, 오버랩되는 극들이 단순히 상이한 노광들로 분리된다.
단계 136에서, 소스 및 마스크가 이전 단계에서 생성된 상이한 부분 소스들 각각에 대해 최적화된다. 마스크들 및 소스들이 분리되기 때문에, SMO 작업은 개별 이미징 작업들 각각에 대한 편향(bias)을 최적화하도록 실행될 수 있으며, 이는 이전 실시예에서 만들어진 상부 및 하부 극들에 대한 동일한 타입의 반대 편향을 허용한다.
단계 138에서, 최종 이미지에 대한 각각의 극의 기여에 적절한 편향들이 적용되도록 각각의 최적화된 마스크 및 소스 조합으로 다중 노광 기술을 사용하여 이미징 작업이 수행된다. 이 접근법의 스루풋에 대해 약간의 비용이 있지만, NILS의 개선은 특히 정확한 이미징 작업들에 가치가 있을 수 있다.
일 실시예에서, 단계 136 및 단계 138은 단일 마스크만을 사용하여 수행될 수 있다. 즉, 최적화 동안, 2 개의 상이한 소스들이 생성되지만 공통 마스크가 최적화된다. 그 후, 보정 효과를 얻기 위해 노광들 사이에 웨이퍼를 시프트함으로써 시프트가 설명된다. 즉, 웨이퍼는 이미지 시프트로 인한 오버레이 차이들을 설명하기 위해 X 및 Y로 이동될 수 있다. 마찬가지로, 이는 포커스 변화들을 설명하기 위해 선택적으로 Z로 이동될 수 있다. 이러한 방식으로, 서브 이미지들이 최적의 방식으로 오버랩되도록 구성된다.
이 실시예는 다수 이미징 단계들을 사용하기 때문에, 이미징에 대한 "보트 테이킹" 접근법을 통합할 수 있다. 보트 테이킹에서, N 개의 마스크 이미지들이 각각 부분(1/N) 도즈로 노광된다. 즉, 별개의 마스크 패턴들을 이용하여 복수의 이미지들이 서로 쌓인다. 각각의 마스크가 자신의 랜덤화된 결함들을 갖기 때문에, 이미지들의 스태킹(stacking)은 각각의 효과들을 감소시키고, 그 결과 마스크 결함 효과들이 감소하는 경향이 있다.
보트 테이킹 방식에서, 작업 흐름은 간단하게 다음과 같다: 도즈 1/N에서 제 1 레티클로 웨이퍼들의 하나의 로트(lot)를 노광한다; 레티클을 교환하고 제 2 레티클로 로트를 노광한다; N 개의 레티클들에 대해 반복한 다음 노광-후 베이킹을 진행한다. 보트 테이킹에 대한 대안적인 접근법은 오버랩되는 방식으로 이미징되는 반복 패턴과 단일 레티클을 수반하지만, 극마다 개별적으로 최적화된 마스크들을 사용하는 보트 테이킹 이미징은 일반적으로 단일 레티클 보트 테이킹에 적합하지 않다는 것이 명백할 것이다. 반면에, 극마다 최적화되는 별개의 다이들을 갖는 단일 레티클이 이론상 보트 테이킹 이미징 프로세스에서 사용될 수 있다.
도 12는 결함(150)을 완화함에 있어서 보트 테이킹의 효과를 예시한다. 이 경우, 결함은 어두운 결함이다(즉, 조명되어야 하는 이미지의 부분이 그렇지 않음). 이미지(152)는 전체 도즈에서 결함이 있는 레티클을 사용한 결과 이미지이다. 대조적으로, 이미지(154)는 각각 ¼ 도즈에서의 3 개의 다른(아마도 결함이 없는) 레티클들과 함께, ¼ 도즈에서 결함 있는 레티클에 의해 생성된 이미지이다. 따라서, 이미지 152와 달리, 어두운 결함의 위치에서의 접촉홀이 완벽하게 렌더링되지는 않지만 여전히 존재한다.
일 실시예에서, 상기 방법은 방법들을 수행하는 데 사용될 수 있는 기계 판독가능한 명령어들을 생성하는 단계를 더 포함한다. 이는 사용자에 의해 작성된 프로그래밍 코드에 기초하여 기계 판독가능한 명령어들을 생성하는 것, 저장 디바이스(예를 들어, 비-일시적 저장 디바이스)에 명령어들을 기록하는 것, 서버에 명령어들을 저장하는 것, 및/또는 다른 작업들을 포함할 수 있다. 기계 판독가능한 명령어들은 코드의 라인들, 데이터베이스들, 및/또는 파일들 및/또는 다른 전자적 포맷들에 저장된 정보의 다른 배열들을 포함할 수 있다. 이 예시들은 제한하려는 것이 아니다.
도 13은 본 명세서에 개시된 방법들, 흐름들 또는 장치를 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되고 버스(102)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 본 명세서에 설명된 1 이상의 방법의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터(예를 들어, 서버 및/또는 다른 컴퓨팅 디바이스들)의 자기 디스크, 솔리드-스테이트 저장 디바이스, 및/또는 다른 위치들에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 무선 통신 네트워크(예를 들어, 인터넷, 셀룰러 통신 네트워크 등)에 걸쳐, 모뎀을 이용하여 전화선을 통해, 및/또는 다른 방법들에 의해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀 및/또는 다른 데이터 수신 구성요소들이 무선 통신 네트워크를 통해, 전화선 상에서 등으로 데이터를 수신하고, 적외선 송신기를 사용하여 상기 데이터를 적외선 신호로 전환할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 보편적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 둘 다 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 명세서에 설명된 방법의 일부 또는 전부를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 14는 본 명세서에 설명된 기술들과 함께 이용될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다.
리소그래피 투영 장치는:
- 소스 컬렉터 모듈(SO);
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
도 14에 도시된 바와 같이, 상기 장치는 (예를 들어, 반사 패터닝 디바이스를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 14에 도시되지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체들일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울들 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다. 일 실시예에서, DUV 레이저 소스가 사용될 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
스텝 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴이 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
스캔 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
또 다른 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 15는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스(및/또는 앞서 설명된 바와 같은 다른 소스들)에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 더 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치되는 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 15에 나타낸 것보다 1 내지 10 개 또는 그 이상의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 15에 더 예시된 바와 같은 컬렉터 광학기(CO)는 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
대안적으로, 소스 컬렉터 모듈(SO)은 LPP 방사선 시스템(도시되지 않음)의 일부분일 수 있다. 이 접근법에서, 레이저가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma)를 생성한다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic)에 의해 수집되고, 포위 구조체의 개구부 상에 포커스된다.
본 실시예들은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 포토리소그래피 시스템을 사용하여 기판 상에 피처를 이미징하기 위한 패턴을 최적화하는 방법으로서,
회절 차수들 사이의 비대칭 위상 효과들로 인한 포토마스크에서의 빛의 회절로부터 발생하는 패턴 시프트들과 연계되는 포토리소그래피 시스템의 소스 평면 내의 지점들을 식별하는 단계;
식별된 소스 평면 지점들과 연계된 패턴 시프트들을 결정하는 단계; 및
결정된 패턴 시프트들을 감소시키도록 소스를 수정하는 단계를 포함하는 방법.
2. 1 항에 있어서, 수정하는 단계는:
식별된 지점들에 대해, 복수의 회절 차수들 각각에 관련되는 구역들을 결정하는 단계;
결정된 구역들의 오버랩을 감소시키도록 소스를 분리하는 단계;
소스에 적용되는 경우에 결정된 패턴 시프트들을 감소시킬 파면 조정을 결정하는 단계; 및
결정된 파면 조정을 사용하여 소스 마스크 최적화를 수행하는 단계를 포함하는 방법.
3. 2 항에 있어서, 소스를 분리하는 단계는 2D 회절 차수들이 오버랩되게 하면서 1D 회절 차수들을 분리하는 단계를 포함하는 방법.
4. 2 항에 있어서, 수차를 결정하는 단계는 마스크의 회절에 의해 주어진 결정된 패턴 시프트에 대비되는 비대칭 패턴 시프트들을 생성하는 수차를 선택하는 단계를 포함하는 방법.
5. 2 항에 있어서, 결정된 수차가 선택된 공차 임계치 내에서 생성될 수 없는 소스 평면 내의 지점들을 제거하는 단계를 더 포함하는 방법.
6. 2 항에 있어서, 수정된 소스는 회전된 다이폴을 포함하고, 결정된 수차는 적절히 회전된 비점수차인 방법.
7. 2 항에 있어서, 수정된 소스는 트리밍된 리프-형(trimmed leaf-shaped) 다이폴이고, 결정된 수차는 회전된 비점수차인 방법.
8. 7 항에 있어서, 회전된 비점수차는 Z6에 의해 설명되는 방법.
9. 1 항에 있어서, 수정하는 단계는:
복수의 극들을 갖는 소스를 각각 하나의 극을 갖는 복수의 소스들로 분해하는 단계; 및
복수의 소스들 각각에 대한 패턴 시프트 값을 선택하는 단계를 포함하는 방법.
10. 9 항에 있어서, 복수의 소스들 각각에 할당될 전체 이미징 도즈의 비율을 선택하는 단계를 더 포함하는 방법.
11. 9 항에 있어서, 복수의 소스들은 한 쌍의 반대 극들을 포함하고, 한 쌍의 반대 극들로부터의 하나의 극에 대한 선택된 패턴 시프트는 한 쌍의 반대 극들로부터의 다른 극에 대한 선택된 패턴 시프트와 반대 방향인 방법.
12. 9 항에 있어서, 복수의 소스들은 2 이상의 극들을 포함하고, 극들은 2 이상의 세트들로 그룹화될 수 있으며, 각각의 세트에 대해 선택된 패턴 시프트는 각각 다른 세트와 상이한 방법.
13. 9 항에 있어서, 보트 테이킹 이미징 절차를 이용하여 이미징하는 단계를 더 포함하는 방법.
14. 13 항에 있어서, 보트 테이킹 이미징은: 단일 마스크의 동일한 구역, 단일 마스크로부터의 시프트된 영역들, 또는 상이한 마스크들로부터의 시프트된 이미지들 중 1 이상으로 각각의 노광을 수행하는 단계를 포함하는 방법.
15. 1 항에 있어서, 식별하는 단계는 단일 소스 지점 스캐닝 및 시뮬레이션된 이미지 품질의 성능 지수의 비교를 포함하는 방법.
16. 15 항에 있어서, 성능 지수는: 정규화된 이미지 로그 기울기, 초점 심도, 및 마스크 오차 향상 함수 중 1 이상을 포함하는 방법.
17. 1 항에 있어서, 포토리소그래피 시스템은 EUV 시스템인 방법.
18. 1 항에 있어서, 패턴 시프트들은 마스크 3D 효과들로부터 발생하는 방법.
19. 1 항에 있어서, 패턴 시프트들은 소스 평면 내의 식별된 지점들에 대해 비대칭인 방법.
20. 1 항에 있어서, 선택된 회절 차수들의 진폭을 감소시키기 위해 유도된 아포다이제이션(apodization)을 이용하는 단계를 더 포함하는 방법.
21. 1 항에 있어서, 기판 상에 피처를 이미징하는 단계를 더 포함하는 방법.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 포토리소그래피 시스템을 사용하여 기판 상에 피처(feature)를 이미징하기 위한 패턴을 최적화하는 방법으로서,
    회절 차수들 사이의 비대칭 위상 효과들로 인한 포토마스크에서의 빛의 회절로부터 발생하는 패턴 시프트(pattern shift)들과 연계되는 상기 포토리소그래피 시스템의 소스 평면 내의 지점들을 식별하는 단계;
    식별된 소스 평면 지점들과 연계된 패턴 시프트들을 결정하는 단계; 및
    결정된 패턴 시프트들을 감소시키도록 상기 소스를 수정하는 단계
    를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 수정하는 단계는:
    상기 식별된 지점들에 대해, 복수의 회절 차수들 각각에 관련되는 구역들을 결정하는 단계;
    결정된 구역들의 오버랩(overlap)을 감소시키도록 상기 소스를 분리하는 단계;
    상기 소스에 적용되는 경우에 상기 결정된 패턴 시프트들을 감소시킬 파면 조정을 결정하는 단계; 및
    결정된 파면 조정을 사용하여 소스 마스크 최적화를 수행하는 단계를 포함하는, 방법.
  3. 제 2 항에 있어서,
    상기 소스를 분리하는 단계는 2D 회절 차수들이 오버랩되게 하면서 1D 회절 차수들을 분리하는 단계를 포함하는, 방법.
  4. 제 2 항에 있어서,
    수차를 결정하는 단계는 마스크의 회절에 의해 주어진 상기 결정된 패턴 시프트에 대비되는 비대칭 패턴 시프트들을 생성하는 수차를 선택하는 단계를 포함하는, 방법.
  5. 제 2 항에 있어서,
    결정된 수차가 선택된 공차 임계치 내에서 생성될 수 없는 상기 소스 평면 내의 지점들을 제거하는 단계를 더 포함하는, 방법.
  6. 제 2 항에 있어서,
    수정된 소스는 회전된 다이폴(dipole)을 포함하고, 결정된 수차는 적절히 회전된 비점수차인, 방법.
  7. 제 2 항에 있어서,
    수정된 소스는 트리밍된 리프-형(trimmed leaf-shaped) 다이폴이고, 결정된 수차는 회전된 비점수차이며, 및/또는
    상기 회전된 비점수차는 Z6에 의해 설명되는, 방법.
  8. 제 1 항에 있어서,
    상기 수정하는 단계는:
    복수의 극(pole)들을 갖는 소스를 각각 하나의 극을 갖는 복수의 소스들로 분해하는 단계; 및
    상기 복수의 소스들 각각에 대한 패턴 시프트 값을 선택하는 단계를 포함하는, 방법.
  9. 제 8 항에 있어서,
    상기 복수의 소스들 각각에 할당될 전체 이미징 도즈의 비율을 선택하는 단계를 더 포함하는, 방법.
  10. 제 8 항에 있어서,
    상기 복수의 소스들은 한 쌍의 반대 극들을 포함하고,
    상기 한 쌍의 반대 극들로부터의 하나의 극에 대한 선택된 패턴 시프트는 상기 한 쌍의 반대 극들로부터의 다른 극에 대한 선택된 패턴 시프트와 반대 방향인, 방법.
  11. 제 8 항에 있어서,
    상기 복수의 소스들은 2 이상의 극들을 포함하고,
    상기 극들은 2 이상의 세트들로 그룹화될 수 있으며, 각각의 세트에 대해 선택된 패턴 시프트는 각각 다른 세트와 상이한, 방법.
  12. 제 8 항에 있어서,
    보트 테이킹(vote taking) 이미징 절차를 이용하여 이미징하는 단계를 더 포함하고, 및/또는
    상기 보트 테이킹 이미징은: 단일 마스크의 동일한 구역, 단일 마스크로부터의 시프트된 영역들, 또는 상이한 마스크들로부터의 시프트된 이미지들 중 1 이상으로 각각의 노광을 수행하는 단계를 포함하는, 방법.
  13. 제 1 항에 있어서,
    상기 식별하는 단계는 단일 소스 지점 스캐닝 및 시뮬레이션된 이미지 품질의 성능 지수(figure of merit)의 비교를 포함하고, 및/또는
    상기 성능 지수는: 정규화된 이미지 로그 기울기(normalized image log slope), 초점 심도, 및 마스크 오차 향상 함수(mask error enhancement function) 중 1 이상을 포함하는, 방법.
  14. 제 1 항에 있어서,
    상기 패턴 시프트들은 마스크 3D 효과들로부터 발생하고, 및/또는
    상기 패턴 시프트들은 상기 소스 평면 내의 식별된 지점들에 대해 비대칭인, 방법.
  15. 제 1 항에 있어서,
    선택된 회절 차수들의 진폭을 감소시키기 위해 유도된 아포다이제이션(apodization)을 이용하는 단계를 더 포함하는, 방법.
KR1020217035632A 2019-04-30 2020-04-07 포토리소그래피 이미징을 위한 장치 및 방법 KR102700458B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP19171770.1A EP3734365A1 (en) 2019-04-30 2019-04-30 Method and apparatus for photolithographic imaging
EP19171770.1 2019-04-30
EP20164386 2020-03-20
EP20164386.3 2020-03-20
PCT/EP2020/059826 WO2020221556A1 (en) 2019-04-30 2020-04-07 Method and apparatus for photolithographic imaging

Publications (2)

Publication Number Publication Date
KR20210145258A true KR20210145258A (ko) 2021-12-01
KR102700458B1 KR102700458B1 (ko) 2024-08-30

Family

ID=70295095

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217035632A KR102700458B1 (ko) 2019-04-30 2020-04-07 포토리소그래피 이미징을 위한 장치 및 방법

Country Status (6)

Country Link
US (1) US20220236645A1 (ko)
EP (1) EP3963404B1 (ko)
JP (1) JP7305792B2 (ko)
KR (1) KR102700458B1 (ko)
CN (2) CN113767337B (ko)
WO (1) WO2020221556A1 (ko)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004179663A (ja) * 2002-11-28 2004-06-24 Asml Netherlands Bv デバイス製造方法およびコンピュータプログラム
US20120077130A1 (en) * 2010-09-27 2012-03-29 International Business Machines Corporation Method for generating a plurality of optimized wavefronts for a multiple exposure lithographic process
KR20130019384A (ko) * 2010-03-30 2013-02-26 칼 짜이스 에스엠티 게엠베하 마스크에 의해 유발되는 이미징 수차의 교정이 있는 투영 노광 장치 작동 방법
KR20170097133A (ko) * 2014-12-17 2017-08-25 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 토포그래피 유도 위상을 이용하는 장치 및 방법

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
JP2001267197A (ja) 2000-03-14 2001-09-28 Matsushita Electric Ind Co Ltd 微細パターンの形成方法およびフォトマスク
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
JP2007520892A (ja) 2004-02-03 2007-07-26 メンター・グラフィクス・コーポレーション イメージの忠実度およびスループットに対する光源の最適化
EP1920369A2 (en) 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
JP2009164296A (ja) * 2007-12-28 2009-07-23 Canon Inc 露光装置およびデバイス製造方法
US8248617B2 (en) * 2008-04-22 2012-08-21 Zygo Corporation Interferometer for overlay measurements
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
NL2007303A (en) 2010-09-23 2012-03-26 Asml Netherlands Bv Process tuning with polarization.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
WO2016008711A1 (en) * 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
WO2016096346A1 (en) * 2014-12-17 2016-06-23 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004179663A (ja) * 2002-11-28 2004-06-24 Asml Netherlands Bv デバイス製造方法およびコンピュータプログラム
KR20130019384A (ko) * 2010-03-30 2013-02-26 칼 짜이스 에스엠티 게엠베하 마스크에 의해 유발되는 이미징 수차의 교정이 있는 투영 노광 장치 작동 방법
JP2013524497A (ja) * 2010-03-30 2013-06-17 カール・ツァイス・エスエムティー・ゲーエムベーハー マスクによって生じる結像収差の補正を用いて投影露光装置を作動させる方法
US20120077130A1 (en) * 2010-09-27 2012-03-29 International Business Machines Corporation Method for generating a plurality of optimized wavefronts for a multiple exposure lithographic process
KR20170097133A (ko) * 2014-12-17 2017-08-25 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 토포그래피 유도 위상을 이용하는 장치 및 방법

Also Published As

Publication number Publication date
EP3963404A1 (en) 2022-03-09
EP3963404B1 (en) 2023-01-25
CN113767337B (zh) 2024-04-16
KR102700458B1 (ko) 2024-08-30
WO2020221556A1 (en) 2020-11-05
CN118068659A (zh) 2024-05-24
JP7305792B2 (ja) 2023-07-10
JP2022531551A (ja) 2022-07-07
CN113767337A (zh) 2021-12-07
US20220236645A1 (en) 2022-07-28

Similar Documents

Publication Publication Date Title
TWI590006B (zh) 用於改良微影製程的方法及電腦程式產品
KR102516045B1 (ko) 패터닝 공정을 위한 최적화의 흐름
EP3877812A1 (en) A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
KR102063229B1 (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
CN111512236A (zh) 涉及光学像差的图案化过程改进
KR102189871B1 (ko) 변위 기반 오버레이 또는 정렬
TWI783185B (zh) 藉由源及遮罩最佳化以建立理想源光譜的方法
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
US11474436B2 (en) Tuning patterning apparatus based on optical characteristic
WO2021069153A1 (en) Method for determining a field-of-view setting
EP3734365A1 (en) Method and apparatus for photolithographic imaging
KR102700458B1 (ko) 포토리소그래피 이미징을 위한 장치 및 방법
TWI842639B (zh) 用於增強成像至基板上之圖案的目標特徵之方法和系統
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
US20240319581A1 (en) Match the aberration sensitivity of the metrology mark and the device pattern
KR20220042450A (ko) 포토리소그래피 이미징을 위한 장치 및 방법
WO2024094385A1 (en) Source optimization for mitigating mask error impact
WO2023131570A1 (en) Software, methods, and systems for determination of a local focus point
WO2024017807A1 (en) Systems and methods for optimizing metrology marks
TW202409714A (zh) 用於最佳化微影程序之基於繞射的光瞳判定
CN118265950A (zh) 模拟模型稳定性确定方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant