KR20210093337A - Semiconductor device manufacturing method, substrate processing apparatus and recording medium - Google Patents

Semiconductor device manufacturing method, substrate processing apparatus and recording medium Download PDF

Info

Publication number
KR20210093337A
KR20210093337A KR1020217019468A KR20217019468A KR20210093337A KR 20210093337 A KR20210093337 A KR 20210093337A KR 1020217019468 A KR1020217019468 A KR 1020217019468A KR 20217019468 A KR20217019468 A KR 20217019468A KR 20210093337 A KR20210093337 A KR 20210093337A
Authority
KR
South Korea
Prior art keywords
containing gas
processing chamber
substrate
oxygen
gas
Prior art date
Application number
KR1020217019468A
Other languages
Korean (ko)
Inventor
겐 리
히로히사 야마자키
켄이치 스자키
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20210093337A publication Critical patent/KR20210093337A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition

Abstract

기판 상에 형성되는 금속산화막의 막 두께 균일성을 향상시키는 기술을 제공한다.
(a) 처리실 내의 기판에 대하여 금속 함유 가스를 공급하는 공정; 및 (b) 처리실 내의 기판에 대하여 산소 함유 가스의 유속을 7.0m/s 이상 8.5m/s 이하로 하고 산소 함유 가스의 분압을 9.0Pa 이상 12.0Pa 이하로 하여 산소 함유 가스를 공급하는 공정을 포함하는 기술이 제공된다.
A technique for improving the film thickness uniformity of a metal oxide film formed on a substrate is provided.
(a) supplying a metal-containing gas to the substrate in the processing chamber; and (b) supplying the oxygen-containing gas with respect to the substrate in the processing chamber at a flow rate of 7.0 m/s or more and 8.5 m/s or less and a partial pressure of the oxygen-containing gas at 9.0 Pa or more and 12.0 Pa or less. technology is provided.

Description

반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램Semiconductor device manufacturing method, substrate processing apparatus and program

본 개시(開示)는 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램에 관한 것이다.The present disclosure relates to a method of manufacturing a semiconductor device, a substrate processing apparatus, and a program.

최근 반도체 디바이스의 미세화, 고밀도화에 따라 게이트 절연막으로서 금속산화막[고유전율(High-k) 절연막]이 이용되기 시작했다. 또한 DRAM 커패시터의 용량을 증대시키기 위해서 커패시터 절연막으로의 금속산화막의 적용도 진행되고 있다. 이들 금속산화막에는 저온에서의 성막이 요구되고, 또한 표면의 평탄성, 요부(凹部) 매입성, 스텝 커버리지성이 뛰어나고, 또한 이물(異物)이 적은 성막 방법이 요구되고 있다. 금속산화막을 형성하는 기법 중 하나로서 처리실 내에 공급하는 처리 가스의 흐름을 분산시켜서 기판 상에 지르코늄산화막 등의 박막을 형성하는 방법이 있다(예컨대 특허문헌 1).In recent years, with the miniaturization and high density of semiconductor devices, a metal oxide film (a high-k insulating film) has begun to be used as a gate insulating film. In addition, in order to increase the capacity of the DRAM capacitor, the application of a metal oxide film to the capacitor insulating film is progressing. These metal oxide films are required to form a film at a low temperature, and a film forming method is required that is excellent in surface flatness, recessed property, and step coverage, and contains few foreign substances. As one of the techniques for forming the metal oxide film, there is a method of forming a thin film such as a zirconium oxide film on a substrate by dispersing a flow of a processing gas supplied into a processing chamber (eg, Patent Document 1).

1. 일본 특개 2014-67783호 공보1. Japanese Patent Laid-Open No. 2014-67783

하지만 처리 가스의 흐름을 분산시키면 기판의 중심에 충분한 양의 처리 가스를 공급하지 못하는 경우가 있어 막 두께 균일성이 악화되는 경우가 있다. 본 개시의 목적은 기판 상에 형성되는 금속산화막의 막 두께 균일성을 향상시키는 기술을 제공하는 데 있다.However, if the flow of the processing gas is dispersed, it may not be possible to supply a sufficient amount of the processing gas to the center of the substrate, and thus the film thickness uniformity may be deteriorated. An object of the present disclosure is to provide a technique for improving the film thickness uniformity of a metal oxide film formed on a substrate.

본 개시의 일 형태에 따르면, (a) 처리실 내의 기판에 대하여 금속 함유 가스를 공급하는 공정; 및 (b) 상기 처리실 내의 상기 기판에 대하여 산소 함유 가스의 유속을 7.0m/s 이상 8.5m/s 이하로 하고 상기 산소 함유 가스의 분압을 9.0Pa 이상 12.0Pa 이하로 하여 상기 산소 함유 가스를 공급하는 공정을 포함하는 기술이 제공된다.According to one aspect of the present disclosure, there is provided a method comprising: (a) supplying a metal-containing gas to a substrate in a processing chamber; and (b) supplying the oxygen-containing gas with respect to the substrate in the processing chamber at a flow rate of 7.0 m/s or more and 8.5 m/s or less and a partial pressure of the oxygen-containing gas at 9.0 Pa or more and 12.0 Pa or less. There is provided a technique comprising the process of:

본 개시에 따르면, 기판 상에 형성되는 금속산화막의 막 두께 균일성을 향상시키는 기술을 제공하는 것이 가능해진다.According to the present disclosure, it becomes possible to provide a technique for improving the film thickness uniformity of a metal oxide film formed on a substrate.

도 1은 본 개시의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 처리로의 개략 구성도이며, 처리로 부분을 종단면도(縱斷面圖)로 도시하는 도면.
도 2는 도 1의 A-A선을 따른 개략적인 횡단면도(橫斷面圖).
도 3은 도 1에 도시하는 기판 처리 장치가 포함하는 컨트롤러의 구성을 도시하는 블록도.
도 4는 본 개시의 실시 형태에서의 성막 시퀀스를 도시하는 도면.
도 5는 종래와 본 개시의 실시 형태에서의 웨이퍼 면내(面內)와 평균 막 두께와의 관계를 도시하는 도면.
BRIEF DESCRIPTION OF THE DRAWINGS It is a schematic block diagram of the processing furnace of the substrate processing apparatus used suitably by embodiment of this indication, and is a figure which shows a processing furnace part in a longitudinal sectional view.
Fig. 2 is a schematic cross-sectional view taken along line AA of Fig. 1;
Fig. 3 is a block diagram showing the configuration of a controller included in the substrate processing apparatus shown in Fig. 1;
Fig. 4 is a diagram showing a film formation sequence in an embodiment of the present disclosure;
Fig. 5 is a diagram showing a relationship between a wafer in-plane surface and an average film thickness in the prior art and in the embodiment of the present disclosure;

<본 개시의 일 실시 형태><One embodiment of the present disclosure>

이하, 본 개시의 일 실시 형태에 대해서 도 1 내지 도 5를 참조하면서 설명한다. 기판 처리 장치(10)는 반도체 장치의 제조 공정에서 사용되는 장치의 일례로서 구성된다.Hereinafter, one embodiment of the present disclosure will be described with reference to FIGS. 1 to 5 . The substrate processing apparatus 10 is configured as an example of an apparatus used in a semiconductor device manufacturing process.

(1) 기판 처리 장치의 구성(1) Configuration of substrate processing apparatus

기판 처리 장치(10)는 가열 수단(가열 기구, 가열계)으로서의 히터(207)가 설치된 처리로(202)를 구비한다. 히터(207)는 원통 형상이며, 보지판(保持板)으로서의 히터 베이스(미도시)에 지지되는 것에 의해 수직으로 설치된다.The substrate processing apparatus 10 includes a processing furnace 202 in which a heater 207 as a heating means (heating mechanism, heating system) is provided. The heater 207 has a cylindrical shape, and is vertically installed by being supported by a heater base (not shown) as a retaining plate.

히터(207)의 내측에는 히터(207)와 동심원 형상으로 반응 용기(처리 용기)를 구성하는 아우터 튜브(203)가 배설(配設)된다. 아우터 튜브(203)는 예컨대 석영(SiO2), 탄화실리콘(SiC) 등의 내열성 재료에 의해 구성되고, 상단이 폐색(閉塞)되고 하단이 개구(開口)된 원통 형상으로 형성된다. 아우터 튜브(203)의 하방(下方)에는 아우터 튜브(203)와 동심원 형상으로, 매니폴드(인렛 플랜지)(209)가 배설된다. 매니폴드(209)는 예컨대 스텐레스(SUS) 등의 금속에 의해 구성되고, 상단 및 하단이 개구된 원통 형상으로 형성된다. 매니폴드(209)의 상단부와, 아우터 튜브(203) 사이에는 씰 부재로서의 O링(미도시)이 설치된다. 매니폴드(209)가 히터 베이스에 지지되는 것에 의해 아우터 튜브(203)는 수직으로 설치된 상태가 된다.An outer tube 203 constituting a reaction vessel (processing vessel) in the shape of a concentric circle with the heater 207 is disposed inside the heater 207 . The outer tube 203 is made of, for example , a heat-resistant material such as quartz (SiO 2 ) and silicon carbide (SiC), and is formed in a cylindrical shape with an upper end closed and an open lower end. A manifold (inlet flange) 209 is disposed below the outer tube 203 in a concentric shape with the outer tube 203 . The manifold 209 is made of, for example, a metal such as stainless steel (SUS), and is formed in a cylindrical shape with an open upper end and a lower lower end. An O-ring (not shown) as a seal member is installed between the upper end of the manifold 209 and the outer tube 203 . As the manifold 209 is supported by the heater base, the outer tube 203 is in a vertically installed state.

아우터 튜브(203)의 내측에는 반응 용기를 구성하는 이너 튜브(204)가 배설된다. 이너 튜브(204)는 예컨대 석영이나 SiC 등의 내열성 재료에 의해 구성되고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성된다. 주로 아우터 튜브(203)와 이너 튜브(204)와 매니폴드(209)에 의해 처리 용기(반응 용기)가 구성된다. 처리 용기의 통중공부(筒中空部)[이너 튜브(204)의 내측]에는 처리실(201)이 형성된다.An inner tube 204 constituting a reaction vessel is disposed inside the outer tube 203 . The inner tube 204 is made of, for example, a heat-resistant material such as quartz or SiC, and is formed in a cylindrical shape with an upper end closed and an open lower end. A processing vessel (reaction vessel) is mainly composed of the outer tube 203 , the inner tube 204 , and the manifold 209 . The processing chamber 201 is formed in the hollow part (inside of the inner tube 204) of a processing container.

처리실(201)은 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 연직 방향에 다단으로 배열된 상태로 수용 가능하도록 구성된다.The processing chamber 201 is configured such that wafers 200 as substrates can be accommodated in a state arranged in multiple stages in the vertical direction in a horizontal posture by a boat 217 to be described later.

처리실(201) 내에는 노즐(410, 420, 430, 440)이 매니폴드(209)의 측벽 및 이너 튜브(204)를 관통하도록 설치된다. 노즐(410, 420, 430, 440)에는 가스 공급관(310, 320, 330, 340)이 각각 접속된다. 단, 본 실시 형태의 처리로(202)는 전술한 형태에 한정되지 않는다. 노즐 등의 개수는 필요에 따라 적절히 변경된다.In the processing chamber 201 , nozzles 410 , 420 , 430 , and 440 are installed to penetrate the sidewall of the manifold 209 and the inner tube 204 . Gas supply pipes 310 , 320 , 330 and 340 are respectively connected to the nozzles 410 , 420 , 430 , and 440 . However, the processing furnace 202 of this embodiment is not limited to the above-mentioned form. The number of nozzles etc. is changed suitably as needed.

가스 공급관(310, 320, 330, 340)에는 상류측부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(312, 322, 332, 342),개폐 밸브인 밸브(314, 324, 334, 344)가 각각 설치된다. 가스 공급관(310, 320, 330, 340)의 밸브(314, 324, 334, 344)의 하류측에는 불활성 가스를 공급하는 가스 공급관(510, 520, 530, 540)이 각각 접속된다. 가스 공급관(510, 520, 530, 540)에는 상류측부터 순서대로 유량 제어기(유량 제어부)인 MFC(512, 522, 532, 542) 및 개폐 밸브인 밸브(514, 524, 534, 544)가 각각 설치된다.The gas supply pipes 310 , 320 , 330 , and 340 have mass flow controllers (MFCs) 312 , 322 , 332 , 342 that are flow controllers (flow controllers) in order from the upstream side, and valves 314, 324, 334 that are on-off valves. , 344) are installed respectively. Gas supply pipes 510 , 520 , 530 , 540 for supplying inert gas are connected to downstream sides of the valves 314 , 324 , 334 , 344 of the gas supply pipes 310 , 320 , 330 , and 340 , respectively. The gas supply pipes 510 , 520 , 530 , and 540 have MFCs 512 , 522 , 532 , 542 that are flow controllers (flow controllers) and valves 514 , 524 , 534 , and 544 that are on/off valves in order from the upstream side, respectively is installed

노즐(410, 420, 430, 440)은 L자형의 노즐로서 구성되고, 그 수평부는 매니폴드(209)의 측벽 및 이너 튜브(204)를 관통하도록 설치된다. 노즐(410, 420, 430, 440)의 수직부는 이너 튜브(204)의 지름 방향 외향으로 돌출되고, 또한 연직 방향으로 연재되도록 형성된 채널 형상(홈[溝] 형상)의 예비실(201a)의 내부에 설치되고, 예비실(201a) 내에서 이너 튜브(204)의 내벽을 따라 상방(上方)[웨이퍼(200)의 배열 방향 상방]을 향하여 설치된다.The nozzles 410 , 420 , 430 , and 440 are configured as L-shaped nozzles, and the horizontal portion thereof is installed to penetrate the side wall of the manifold 209 and the inner tube 204 . The vertical portions of the nozzles 410 , 420 , 430 , and 440 protrude outward in the radial direction of the inner tube 204 and extend in the vertical direction. is installed in the spare chamber 201a along the inner wall of the inner tube 204 and is provided upward (arranging direction of the wafers 200 upward).

노즐(410, 420, 430, 440)은 처리실(201)의 하부 영역으로부터 처리실(201)의 상부 영역까지 연재되도록 설치되고, 웨이퍼(200)와 대향되는 위치에 각각 복수의 가스 공급공(410a, 420a, 430a, 440a)이 설치된다. 이에 의해 노즐(410, 420, 430, 440)의 가스 공급공(410a, 420a, 430a, 440a)으로부터 각각 웨이퍼(200)에 처리 가스를 공급한다. 이 가스 공급공(410a, 420a, 430a, 440a)은 이너 튜브(204)의 하부로부터 상부에 걸쳐서 복수 설치되고, 각각 동일한 개구 면적을 가지고, 또한 동일한 개구 피치로 설치된다. 단, 가스 공급공(410a, 420a, 430a, 440a)은 전술한 형태에 한정되지 않는다. 예컨대 이너 튜브(204)의 하부로부터 상부를 향하서 개구 면적을 서서히 크게 해도 좋다. 이에 의해 가스 공급공(410a, 420a, 430a, 440a)으로부터 공급되는 가스의 유량을 보다 균일화하는 것이 가능해진다.The nozzles 410 , 420 , 430 , and 440 are installed to extend from the lower region of the processing chamber 201 to the upper region of the processing chamber 201 , and a plurality of gas supply holes 410a, 420a, 430a, 440a) are installed. Accordingly, the processing gas is supplied to the wafer 200 from the gas supply holes 410a, 420a, 430a, and 440a of the nozzles 410, 420, 430, and 440, respectively. A plurality of the gas supply holes 410a , 420a , 430a , and 440a are provided from the lower part to the upper part of the inner tube 204 , have the same opening area, and have the same opening pitch. However, the gas supply holes 410a, 420a, 430a, and 440a are not limited to the above-described form. For example, you may increase the opening area gradually from the lower part of the inner tube 204 toward the upper part. Thereby, it becomes possible to make the flow volume of the gas supplied from the gas supply holes 410a, 420a, 430a, 440a more uniform.

노즐(410, 420, 430, 440)의 가스 공급공(410a, 420a, 430a, 440a)은 후술하는 보트(217)의 하부로부터 상부까지의 높이의 위치에 복수 설치된다. 그렇기 때문에 노즐(410, 420, 430)의 가스 공급공(410a, 420a, 430a, 440a)으로부터 처리실(201) 내에 공급된 처리 가스는 보트(217)의 하부로부터 상부까지 수용된 웨이퍼(200), 즉 보트(217)에 수용된 웨이퍼(200)의 모든 영역에 공급된다. 노즐(410, 420, 430, 440)은 처리실(201)의 하부 영역으로부터 상부 영역까지 연재되도록 설치되면 좋지만, 보트(217)의 천장 부근까지 연재되도록 설치되는 것이 바람직하다.A plurality of gas supply holes 410a , 420a , 430a , and 440a of the nozzles 410 , 420 , 430 , and 440 are installed at a height from the bottom to the top of the boat 217 , which will be described later. Therefore, the processing gas supplied into the processing chamber 201 from the gas supply holes 410a , 420a , 430a , and 440a of the nozzles 410 , 420 , 430 is the wafer 200 accommodated from the bottom to the top of the boat 217 , that is, It is supplied to all areas of the wafer 200 accommodated in the boat 217 . The nozzles 410 , 420 , 430 , and 440 may be installed so as to extend from the lower region to the upper region of the processing chamber 201 , but are preferably installed to extend to the vicinity of the ceiling of the boat 217 .

가스 공급관(310)으로부터는 처리 가스로서 금속 함유 가스(금속 함유 원료 가스)가 MFC(312), 밸브(314), 노즐(410)을 개재하여 처리실(201) 내에 공급된다. 금속 함유 가스로서는 유기계 원료이며, 예컨대 지르코늄(Zr)을 포함하는 테트라키스에틸메틸아미노지르코늄{TEMAZ, Zr[N(CH3)C2H5]4}을 이용할 수 있다. TEMAZ는 상온상압에서 액체이며, 미도시의 기화기로 기화해서 기화 가스인 TEMAZ 가스로서 이용된다.From the gas supply pipe 310 , a metal-containing gas (metal-containing raw material gas) as a processing gas is supplied into the processing chamber 201 via the MFC 312 , the valve 314 , and the nozzle 410 . As the metal-containing gas, an organic raw material, for example, tetrakisethylmethylaminozirconium {TEMAZ, Zr[N(CH 3 )C 2 H 5 ] 4 } containing zirconium (Zr) can be used. TEMAZ is a liquid at room temperature and normal pressure, and is vaporized by a vaporizer (not shown) and used as a vaporized gas, TEMAZ gas.

가스 공급관(320 내지 340)으로부터는 산화 가스로서 산소 함유 가스(산소 함유 가스, O 함유 가스)가 MFC(322, 332, 342), 밸브(324, 334, 344), 노즐(420, 430, 440)을 개재하여 가스 공급공(410a, 420a, 430a, 440a)으로부터 처리실(201) 내에 공급된다. 산소 함유 가스로서는 예컨대 오존(O3) 등이 이용된다.From the gas supply pipes 320 to 340 , oxygen-containing gas (oxygen-containing gas, O-containing gas) as an oxidizing gas is supplied to MFCs 322 , 332 , 342 , valves 324 , 334 , 344 , nozzles 420 , 430 , 440 . ) through the gas supply holes 410a , 420a , 430a , and 440a are supplied into the processing chamber 201 . As the oxygen-containing gas, for example, ozone (O 3 ) or the like is used.

주로 가스 공급관(310, 320, 330, 340), MFC(312, 322, 332, 342), 밸브(314, 324, 334, 344), 노즐(410, 420, 430, 440)에 의해 처리 가스 공급계가 구성되지만, 노즐(410, 420, 430, 440)만을 처리 가스 공급계로 생각해도 좋다. 처리 가스 공급계를 단순히 가스 공급계라고도 부를 수 있다. 가스 공급관(310)으로부터 금속 함유 가스를 흘리는 경우, 주로 가스 공급관(310), MFC(312), 밸브(314)에 의해 금속 함유 가스 공급계가 구성되지만, 노즐(410)을 금속 함유 가스 공급계에 포함시켜서 생각해도 좋다. 가스 공급관(320, 330, 340)으로부터 산소 함유 가스를 흘리는 경우, 주로 가스 공급관(320), MFC(322), 밸브(324), 가스 공급관(330), MFC(332), 밸브(334), 가스 공급관(340), MFC(342), 밸브(344)에 의해 산소 함유 가스 공급계가 구성되지만, 노즐(420, 430, 440)을 산소 함유 가스 공급계에 포함시켜서 생각해도 좋다. 산소 함유 가스 공급계는 O3 가스 공급계라고도 부른다. 또한 주로 가스 공급관(510, 520, 530, 540), MFC(512, 522, 532, 542), 밸브(514, 524, 534, 544)에 의해 불활성 가스 공급계가 구성된다. 불활성 가스 공급계를 퍼지 가스 공급계, 희석 가스 공급계 또는 캐리어 가스 공급계라고도 부를 수 있다.Process gas is supplied mainly by gas supply pipes 310, 320, 330, 340, MFCs 312, 322, 332, 342, valves 314, 324, 334, 344, and nozzles 410, 420, 430, 440 Although the system is configured, only the nozzles 410 , 420 , 430 , and 440 may be considered as processing gas supply systems. The process gas supply system may simply be referred to as a gas supply system. When the metal-containing gas flows from the gas supply pipe 310 , the metal-containing gas supply system is mainly constituted by the gas supply pipe 310 , the MFC 312 , and the valve 314 , but the nozzle 410 is connected to the metal-containing gas supply system. You might consider including it. When the oxygen-containing gas flows from the gas supply pipes 320 , 330 , and 340 , mainly the gas supply pipe 320 , the MFC 322 , the valve 324 , the gas supply pipe 330 , the MFC 332 , the valve 334 , The oxygen-containing gas supply system is constituted by the gas supply pipe 340 , the MFC 342 , and the valve 344 , but the nozzles 420 , 430 , and 440 may be included in the oxygen-containing gas supply system. The oxygen-containing gas supply system is also called an O 3 gas supply system. In addition, the inert gas supply system is mainly composed of the gas supply pipes 510 , 520 , 530 , 540 , the MFCs 512 , 522 , 532 , 542 , and the valves 514 , 524 , 534 , 544 . The inert gas supply system may also be called a purge gas supply system, a dilution gas supply system, or a carrier gas supply system.

본 실시 형태에서의 가스 공급의 방법은 이너 튜브(204)의 내벽과 복수 매의 웨이퍼(200)의 단부에 의해 정의되는 원환(圓環) 형상의 세로로 긴 공간 내, 즉 원통 형상의 공간 내의 예비실(201a) 내에 배치한 노즐(410, 420, 430, 440)을 경유해서 가스를 반송한다. 그리고 노즐(410, 420, 430, 440)의 웨이퍼와 대향되는 위치에 설치된 복수의 가스 공급공(410a, 420a, 430a, 440a)으로부터 이너 튜브(204) 내에 가스를 분출시킨다.The gas supply method in the present embodiment is performed in a longitudinally elongated space of an annular shape defined by the inner wall of the inner tube 204 and the ends of the plurality of wafers 200 , that is, within a cylindrical space. Gas is conveyed via the nozzles 410, 420, 430, 440 arranged in the spare chamber 201a. Then, gas is ejected into the inner tube 204 from the plurality of gas supply holes 410a, 420a, 430a, and 440a installed at positions facing the wafer of the nozzles 410 , 420 , 430 , and 440 .

배기공(배기구)(204a)은 이너 튜브(204)의 측벽이며 노즐(410, 420, 430, 440)에 대향된 위치, 즉 예비실(201a)과는 180℃ 반대측의 위치에 형성된 관통공이며, 예컨대 연직 방향으로 가늘고 길게 개설(開設)된 슬릿 형상의 관통공이다. 그렇기 때문에 노즐(410, 420, 430, 440)의 가스 공급공(410a, 420a, 430a, 440a)으로부터 처리실(201) 내에 공급되어, 웨이퍼(200)의 표면상을 흐른 가스, 즉 잔류하는 가스(잔류 가스)는 배기공(204a)을 개재하여 이너 튜브(204)와 아우터 튜브(203) 사이에 형성된 극간으로 이루어지는 배기로(206) 내에 흐른다. 그리고 배기로(206) 내에 흐른 가스는 배기관(231) 내에 흐르고, 처리로(202) 외로 배출된다.The exhaust hole (exhaust port) 204a is a side wall of the inner tube 204 and is a through hole formed in a position opposite to the nozzles 410, 420, 430, 440, that is, 180° C. opposite to the spare chamber 201a. , for example, a slit-shaped through hole that is long and thin in the vertical direction. Therefore, the gas supplied into the processing chamber 201 from the gas supply holes 410a, 420a, 430a, 440a of the nozzles 410, 420, 430, 440 and flowing on the surface of the wafer 200, that is, the remaining gas ( Residual gas) flows into the exhaust passage 206 formed by the gap formed between the inner tube 204 and the outer tube 203 via the exhaust hole 204a. Then, the gas flowing in the exhaust passage 206 flows into the exhaust pipe 231 , and is discharged to the outside of the processing passage 202 .

배기공(204a)은 복수의 웨이퍼(200)와 대향되는 위치[바람직하게는 보트(217)의 상부로부터 하부와 대향되는 위치]에 설치되고, 가스 공급공(410a, 420a, 430a, 440a)으로부터 처리실(201) 내의 웨이퍼(200)의 근방에 공급된 가스는 수평 방향, 즉 웨이퍼(200)의 표면과 평행 방향을 향하여 흐른 뒤, 배기공(204a)을 개재하여 배기로(206) 내에 흐른다. 즉 처리실(201)에 잔류하는 가스는 배기공(204a)을 개재하여 웨이퍼(200)의 주면에 대하여 평행하게 배기된다. 또한 배기공(204a)은 슬릿 형상의 관통공으로서 구성되는 경우에 한정되지 않고, 복수 개의 공에 의해 구성되어도 좋다.The exhaust hole 204a is provided at a position opposite to the plurality of wafers 200 (preferably from the upper portion to the lower portion of the boat 217), and is provided from the gas supply holes 410a, 420a, 430a, and 440a. The gas supplied to the vicinity of the wafer 200 in the processing chamber 201 flows in the horizontal direction, that is, in a direction parallel to the surface of the wafer 200 , and then flows into the exhaust passage 206 through the exhaust hole 204a. That is, the gas remaining in the processing chamber 201 is exhausted parallel to the main surface of the wafer 200 through the exhaust hole 204a. In addition, the exhaust hole 204a is not limited to the case where it is comprised as a slit-shaped through-hole, You may be comprised with a some hole.

매니폴드(209)에는 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치된다. 배기관(231)에는 상류측부터 순서대로 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245), APC(Auto Pressure Controller) 밸브(231a), 진공 배기 장치로서의 진공 펌프(246)가 접속된다. APC 밸브(231a)는 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐하는 것에 의해 처리실(201) 내의 진공 배기 및 진공 배기 정지를 수행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서 밸브의 개도(開度)를 조절하는 것에 의해 처리실(201) 내의 압력을 조정할 수 있다. 주로 배기공(204a), 배기로(206), 배기관(231), APC 밸브(231a) 및 압력 센서(245)에 의해 배기계, 즉 배기 라인이 구성된다. 또한 진공 펌프(246)를 배기계에 포함시켜서 생각해도 좋다.An exhaust pipe 231 for exhausting an atmosphere in the processing chamber 201 is installed in the manifold 209 . The exhaust pipe 231 includes a pressure sensor 245 as a pressure detector (pressure detection unit) that detects the pressure in the processing chamber 201 in order from the upstream side, an Auto Pressure Controller (APC) valve 231a, and a vacuum pump as a vacuum exhaust device ( 246) is connected. The APC valve 231a can perform vacuum exhaust and vacuum exhaust stop in the processing chamber 201 by opening and closing the valve in a state in which the vacuum pump 246 is operated, and also in a state in which the vacuum pump 246 is operated. The pressure in the processing chamber 201 can be adjusted by adjusting the opening degree of the valve. An exhaust system, that is, an exhaust line, is mainly constituted by the exhaust hole 204a, the exhaust path 206, the exhaust pipe 231, the APC valve 231a, and the pressure sensor 245. Further, the vacuum pump 246 may be included in the exhaust system.

매니폴드(209)의 하방에는 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구(爐口) 개체(蓋體)로서의 씰 캡(219)이 설치된다. 씰 캡(219)은 매니폴드(209)의 하단에 연직 방향 하측으로부터 당접(當接)되도록 구성된다. 씰 캡(219)은 예컨대 SUS 등의 금속에 의해 구성되고, 원반 형상으로 형성된다. 씰 캡(219)의 상면에는 매니폴드(209)의 하단과 당접되는 씰 부재로서의 O링(미도시)이 설치된다. 씰 캡(219)에서의 처리실(201)의 반대측에는 웨이퍼(200)를 수용하는 보트(217)를 회전시키는 회전 기구(267)가 설치된다. 회전 기구(267)의 회전축(255)은 씰 캡(219)을 관통해서 보트(217)에 접속된다. 회전 기구(267)는 보트(217)를 회전시키는 것에 의해 웨이퍼(200)를 회전시키도록 구성된다. 씰 캡(219)은 아우터 튜브(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 연직 방향으로 승강되도록 구성된다. 보트 엘리베이터(115)는 씰 캡(219)을 승강시키는 것에 의해 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성된다. 보트 엘리베이터(115)는 보트(217) 및 보트(217)에 수용된 웨이퍼(200)를 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성된다.Below the manifold 209, the seal cap 219 as a furnace-mouth individual which can close|occlude the lower end opening of the manifold 209 is provided. The seal cap 219 is configured to be in contact with the lower end of the manifold 209 from the lower side in the vertical direction. The seal cap 219 is made of, for example, a metal such as SUS, and is formed in a disk shape. An O-ring (not shown) as a seal member in contact with the lower end of the manifold 209 is installed on the upper surface of the seal cap 219 . A rotation mechanism 267 for rotating the boat 217 accommodating the wafer 200 is installed on the opposite side of the processing chamber 201 in the seal cap 219 . The rotation shaft 255 of the rotation mechanism 267 passes through the seal cap 219 and is connected to the boat 217 . The rotation mechanism 267 is configured to rotate the wafer 200 by rotating the boat 217 . The seal cap 219 is configured to be lifted up and down in the vertical direction by the boat elevator 115 as a lift mechanism vertically installed on the outside of the outer tube 203 . The boat elevator 115 is configured to allow the boat 217 to be brought into and out of the processing chamber 201 by elevating the seal cap 219 . The boat elevator 115 is configured as a transfer device (transfer mechanism) that transfers the boat 217 and the wafers 200 accommodated in the boat 217 to and from the processing chamber 201 .

기판 지지구로서의 보트(217)는 복수 매, 예컨대 25매 내지 200매의 웨이퍼(200)를 수평 자세로, 또한 서로 중심을 맞춘 상태에서 연직 방향으로 정렬시켜서 다단으로 지지하도록, 즉 간격을 두고 배열시키도록 구성된다. 보트(217)는 예컨대 석영이나 SiC 등의 내열성 재료에 의해 구성된다. 보트(217)의 하부에는 예컨대 석영이나 SiC 등의 내열성 재료에 의해 구성되는 단열판(218)이 수평 자세로 다단(미도시)으로 지지된다. 이 구성에 의해 히터(207)로부터의 열이 씰 캡(219)측에 전달되기 어렵도록 이루어진다. 단, 본 실시 형태는 전술한 형태에 한정되지 않는다. 예컨대 보트(217)의 하부에 단열판(218)을 설치하지 않고, 석영이나 SiC 등의 내열성 재료에 의해 구성되는 통 형상의 부재로서 구성된 단열통을 설치해도 좋다.A boat 217 serving as a substrate support is arranged such that a plurality of, for example, 25 to 200 wafers 200 are aligned in a horizontal position and in a vertical direction in a state centered on each other to support in multiple stages, that is, spaced apart. configured to do The boat 217 is made of, for example, a heat-resistant material such as quartz or SiC. In the lower part of the boat 217, a heat insulating plate 218 made of, for example, a heat-resistant material such as quartz or SiC is supported in a horizontal position in multiple stages (not shown). This configuration makes it difficult for the heat from the heater 207 to be transmitted to the seal cap 219 side. However, this embodiment is not limited to the above-mentioned form. For example, instead of providing the heat insulating board 218 in the lower part of the boat 217, you may provide the heat insulating cylinder comprised as the cylindrical member comprised by heat-resistant material, such as quartz and SiC.

이너 튜브(204) 내에는 온도 검출기로서의 온도 센서(263)가 설치되고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 통전량을 조정하는 것에 의해 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성된다. 온도 센서(263)는 노즐(410, 420, 430, 440)과 마찬가지로 L자형으로 구성되고, 이너 튜브(204)의 내벽을 따라 설치된다.A temperature sensor 263 as a temperature detector is provided in the inner tube 204 , and the amount of energization to the heater 207 is adjusted based on the temperature information detected by the temperature sensor 263 . The temperature is configured such that the desired temperature distribution is achieved. The temperature sensor 263 has an L-shape like the nozzles 410 , 420 , 430 , and 440 , and is installed along the inner wall of the inner tube 204 .

제어부(제어 수단)인 컨트롤러(280)는 CPU(Central Processing Unit)(280a), RAM(Random Access Memory)(280b), 기억 장치(280c), I/O 포트(280d)를 구비한 컴퓨터로서 구성된다. RAM(280b), 기억 장치(280c), I/O 포트(280d)는 내부 버스를 개재하여 CPU(280a)과 데이터 교환 가능하도록 구성된다. 컨트롤러(280)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(282)가 접속된다.The controller 280, which is a control unit (control means), is configured as a computer having a CPU (Central Processing Unit) 280a, a RAM (Random Access Memory) 280b, a storage device 280c, and an I/O port 280d. do. The RAM 280b, the storage device 280c, and the I/O port 280d are configured to be capable of exchanging data with the CPU 280a via an internal bus. An input/output device 282 configured as a touch panel or the like is connected to the controller 280 .

기억 장치(280c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(280c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램, 후술하는 반도체 장치의 제조 방법의 순서나 조건 등이 기재된 프로세스 레시피등이 판독 가능하도록 격납된다. 프로세스 레시피는 후술하는 반도체 장치의 제조 방법에서의 각 공정(각 스텝)을 컨트롤러(280)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피, 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 본 명세서에서 프로그램이라는 단어를 사용한 경우는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우 또는 프로세스 레시피 및 제어 프로그램의 조합을 포함하는 경우가 있다. RAM(280b)은 CPU(280a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.The storage device 280c is composed of, for example, a flash memory, a hard disk drive (HDD), or the like. In the memory device 280c, a control program for controlling the operation of the substrate processing apparatus and a process recipe in which the procedure and conditions of a method for manufacturing a semiconductor device to be described later are described are stored so as to be readable. The process recipe is combined so that a predetermined result can be obtained by causing the controller 280 to execute each process (each step) in a method for manufacturing a semiconductor device to be described later, and functions as a program. Hereinafter, the process recipe, control program, and the like are collectively referred to as simply a program. When the word "program" is used in this specification, it may include only a process recipe alone, a control program alone, or a combination of a process recipe and a control program. The RAM 280b is configured as a memory area (work area) in which programs, data, etc. read by the CPU 280a are temporarily held.

I/O 포트(280d)는 전술한 MFC(312, 322, 332, 342, 512, 522, 532, 542), 밸브(314, 324, 334, 344, 514, 524, 534, 544), 압력 센서(245), APC 밸브(231a), 진공 펌프(246), 히터(207), 온도 센서(263), 회전 기구(267), 보트 엘리베이터(115) 등에 접속된다.I/O port 280d includes the aforementioned MFCs 312, 322, 332, 342, 512, 522, 532, 542, valves 314, 324, 334, 344, 514, 524, 534, 544, pressure sensors 245 , the APC valve 231a , the vacuum pump 246 , the heater 207 , the temperature sensor 263 , the rotation mechanism 267 , the boat elevator 115 , and the like.

CPU(280a)은 기억 장치(280c)로부터 제어 프로그램을 판독해서 실행하는 것과 함께, 입출력 장치(282)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(280c)로부터 레시피 등을 판독하도록 구성된다. CPU(280a)은 판독한 레시피의 내용을 따르도록 MFC(312, 322, 332, 342, 512, 522, 532, 542)에 의한 각종 가스의 유량 조정 동작, 밸브(314, 324, 334, 344, 514, 524, 534, 544)의 개폐 동작, APC 밸브(231a)의 개폐 동작 및 APC 밸브(231a)에 의한 압력 센서(245)에 기초하는 압력 조정 동작, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 진공 펌프(246)의 기동 및 정지, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작, 보트(217)로의 웨이퍼(200)의 수용 동작 등을 제어하도록 구성된다.The CPU 280a is configured to read and execute a control program from the storage device 280c and read recipes or the like from the storage device 280c according to input of an operation command from the input/output device 282 or the like. The CPU 280a controls the flow rate adjustment operation of various gases by the MFCs 312, 322, 332, 342, 512, 522, 532, 542, the valves 314, 324, 334, 344, Opening/closing operation of 514, 524, 534, 544, opening/closing operation of APC valve 231a and pressure adjusting operation based on pressure sensor 245 by APC valve 231a, heater based on temperature sensor 263 ( Temperature control operation of 207 , starting and stopping of vacuum pump 246 , rotation and rotation speed control operation of boat 217 by rotation mechanism 267 , and lifting operation of boat 217 by boat elevator 115 . , to control the operation of receiving the wafer 200 into the boat 217 , and the like.

컨트롤러(280)는 외부 기억 장치[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광(光) 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리](283)에 격납된 전술한 프로그램을 컴퓨터에 인스톨하는 것에 의해 구성할 수 있다. 기억 장치(280c)나 외부 기억 장치(283)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 본 명세서에서 기록 매체는 기억 장치(280c) 단체만을 포함하는 경우, 외부 기억 장치(283) 단체만을 포함하는 경우 또는 그 양방(兩方)을 포함하는 경우가 있다. 또한 컴퓨터로의 프로그램의 제공은 외부 기억 장치(283)를 이용하지 않고, 인터넷이나 전용 회선 등의 통신 수단을 이용하여 수행해도 좋다.The controller 280 is an external storage device (eg, a magnetic tape, a magnetic disk such as a flexible disk or a hard disk, an optical disk such as a CD or DVD, a magneto-optical disk such as an MO, a USB memory or a memory card) semiconductor memory such as, etc.] 283 can be configured by installing the above-described program stored in the computer in a computer. The storage device 280c or the external storage device 283 is configured as a computer-readable recording medium. Hereinafter, these are collectively referred to as simply a recording medium. In this specification, the recording medium may include only the storage device 280c alone, the external storage device 283 alone, or both. In addition, the provision of the program to the computer may be performed using communication means such as the Internet or a dedicated line, without using the external storage device 283 .

(2) 기판 처리 공정(2) substrate treatment process

반도체 장치(디바이스)의 제조 공정의 일 공정으로서 기판에 대하여 금속 함유 가스와 산소 함유 가스를 공급해서 기판 상에 금속산화막을 형성하는 성막 공정을 수행하는 시퀀스 예에 대해서 도 4를 이용하여 설명한다. 성막 공정은 전술한 기판 처리 장치(10)의 처리로(202)를 이용해서 실행된다. 이하의 설명에서 기판 처리 장치(10)를 구성하는 각(各) 부(部)의 동작은 컨트롤러(280)에 의해 제어된다.An example of a sequence in which a metal-containing gas and an oxygen-containing gas are supplied to the substrate to form a metal oxide film on the substrate as one step of the semiconductor device (device) manufacturing process will be described with reference to FIG. 4 . The film forming process is performed using the processing furnace 202 of the above-described substrate processing apparatus 10 . In the following description, the operation of each part constituting the substrate processing apparatus 10 is controlled by the controller 280 .

본 실시 형태에서는 기판으로서 복수의 웨이퍼(200)가 적재된 상태에서 수용된 처리실(201)을 소정 온도로 가열하면서 처리실(201)에, 노즐(410)에 개구되는 복수의 가스 공급공(410a)으로부터 원료 가스로서 TEMAZ 가스를 공급하는 공정과, 노즐(420, 430, 440)에 개구되는 가스 공급공(420a, 430a, 440a)으로부터 반응 가스를 공급하는 공정을 소정 횟수(n회) 수행하는 것에 의해 웨이퍼(200) 상에 Zr 및 O를 포함하는 지르코늄산화막(ZrO막)을 형성한다.In the present embodiment, the processing chamber 201 accommodated in a state in which a plurality of wafers 200 as a substrate are loaded is heated to a predetermined temperature, and the gas supply holes 410a open to the processing chamber 201 and the nozzles 410 are discharged from the plurality of gas supply holes 410a. By performing the process of supplying the TEMAZ gas as the source gas and the process of supplying the reaction gas from the gas supply holes 420a, 430a, and 440a opened to the nozzles 420, 430, and 440 a predetermined number of times (n times). A zirconium oxide film (ZrO film) containing Zr and O is formed on the wafer 200 .

또한 본 명세서에서 「웨이퍼」라는 단어를 사용한 경우는 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등과의 적층체(집합체)」를 의미하는 경우(즉 표면에 형성된 소정의 층이나 막 등을 포함시켜서 웨이퍼라고 부르는 경우)가 있다. 또한 본 명세서에서 「웨이퍼의 표면」이라는 단어를 사용한 경우는 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최표면(最表面)」을 의미하는 경우가 있다. 또한 본 명세서에서 「기판」이라는 단어를 사용한 경우도 「웨이퍼」라는 단어를 사용한 경우와 같은 의미이다.In addition, when the word "wafer" is used in this specification, it means "wafer itself" or "a laminate (aggregate) of a wafer and a predetermined layer or film formed on the surface" (i.e. There is a case where it is called a wafer by including a predetermined layer or film formed on the surface). In addition, when the word "surface of a wafer" is used in this specification, it means "the surface (exposed surface) of the wafer itself" or "the surface of a predetermined layer or film formed on the wafer, that is, as a laminated body" It may mean "the outermost surface of a wafer". In addition, the case where the word "substrate" is used in this specification has the same meaning as the case where the word "wafer" is used.

(웨이퍼 반입)(Wafer import)

복수 매의 웨이퍼(200)를 처리실(201) 내에 반입(보트 로드)한다. 구체적으로는 복수 매의 웨이퍼(200)가 보트(217)에 장전(裝塡)(웨이퍼 차지)되면, 도 1에 도시되는 바와 같이 복수 매의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201) 내에 반입된다. 이 상태에서 씰 캡(219)은 O링을 개재하여 반응관(203)의 하단 개구를 폐색한 상태가 된다.A plurality of wafers 200 are loaded into the processing chamber 201 (boat loading). Specifically, when a plurality of wafers 200 are loaded (wafer charged) on a boat 217, the boat 217 supporting the plurality of wafers 200 is a boat as shown in FIG. It is lifted by the elevator 115 and carried into the processing chamber 201 . In this state, the seal cap 219 is in a state in which the lower opening of the reaction tube 203 is closed with an O-ring interposed therebetween.

(압력 조정 및 온도 조정)(pressure adjustment and temperature adjustment)

처리실(201) 내가 원하는 압력(진공도)이 되도록 진공 펌프(246)에 의해 진공 배기된다. 이때 처리실(201) 내의 압력은 압력 센서(245)로 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(231a)가 피드백 제어된다(압력 조정). 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안은 상시 작동시킨 상태를 유지한다. 또한 처리실(201) 내가 원하는 온도가 되도록 히터(207)에 의해 가열된다. 이때 처리실(201) 내가 원하는 온도 분포가 되도록 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)로의 통전량이 피드백 제어된다(온도 조정). 히터(207)에 의한 처리실(201) 내의 가열은 적어도 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안은 계속해서 수행된다.The inside of the processing chamber 201 is evacuated by the vacuum pump 246 to a desired pressure (vacuum degree). At this time, the pressure in the processing chamber 201 is measured by the pressure sensor 245 , and the APC valve 231a is feedback-controlled based on the measured pressure information (pressure adjustment). The vacuum pump 246 maintains an operating state at least until the processing of the wafer 200 is completed. Further, the inside of the processing chamber 201 is heated by the heater 207 to a desired temperature. At this time, the amount of electricity supplied to the heater 207 is feedback-controlled based on the temperature information detected by the temperature sensor 263 so that the inside of the processing chamber 201 may have a desired temperature distribution (temperature adjustment). Heating in the processing chamber 201 by the heater 207 is continuously performed at least until the processing of the wafer 200 is completed.

[성막 공정][Film forming process]

웨이퍼(200) 상에 금속산화막으로서 고유전율 산화막인 ZrO막을 형성하는 스텝을 실행한다.A step of forming a ZrO film, which is a high dielectric constant oxide film, as a metal oxide film on the wafer 200 is performed.

(TEMAZ 가스 공급 스텝)(TEMAZ gas supply step)

밸브(314)를 열고 가스 공급관(310) 내에 처리 가스로서 원료 가스인 TEMAZ 가스를 흘린다. TEMAZ 가스는 MFC(312)에 의해 유량 조정되고, 노즐(410)의 가스 공급공(410a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 TEMAZ 가스가 공급된다. 이때 동시에 밸브(514)를 열고 가스 공급관(510) 내에 N2 가스를 흘린다. 가스 공급관(510) 내를 흐른 N2 가스는 MFC(512)에 의해 유량 조정된다. N2 가스는 TEMAZ 가스와 함께 노즐(410)의 공급공(410a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.The valve 314 is opened and the TEMAZ gas, which is a raw material gas, flows into the gas supply pipe 310 as a process gas. The flow rate of the TEMAZ gas is adjusted by the MFC 312 , and is supplied into the processing chamber 201 through the gas supply hole 410a of the nozzle 410 , and is exhausted from the exhaust pipe 231 . At this time, the TEMAZ gas is supplied to the wafer 200 . At this time, the valve 514 is opened at the same time and N 2 gas flows into the gas supply pipe 510 . The flow rate of the N 2 gas flowing in the gas supply pipe 510 is adjusted by the MFC 512 . The N 2 gas is supplied into the processing chamber 201 through the supply hole 410a of the nozzle 410 together with the TEMAZ gas, and is exhausted from the exhaust pipe 231 .

또한 노즐(420, 430, 440) 내로의 TEMAZ 가스의 침입을 방지하기 위해서 밸브(524, 534, 544)를 열고 가스 공급관(520, 530, 540) 내에 N2 가스를 흘린다. N2 가스는 가스 공급관(320, 330, 340), 노즐(420, 430, 440)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.In addition, in order to prevent the TEMAZ gas from entering the nozzles 420 , 430 , and 440 , the valves 524 , 534 , 544 are opened and N 2 gas flows into the gas supply pipes 520 , 530 , 540 . The N 2 gas is supplied into the processing chamber 201 through the gas supply pipes 320 , 330 , 340 and the nozzles 420 , 430 , and 440 , and is exhausted from the exhaust pipe 231 .

이때 APC 밸브(231a)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 20Pa 내지 500Pa의 범위 내의 압력으로 한다. 본 명세서에서 「20Pa 내지 500Pa」와 같은 수치 범위의 표기는 하한값 및 상한값이 그 범위에 포함되는 것을 의미한다. 따라서 예컨대 「20Pa 내지 500Pa」란 20Pa 이상 500Pa 이하를 의미한다. 그 외의 수치 범위에 대해서도 마찬가지이다. MFC(312)로 제어하는 TEMAZ 가스의 공급 유량은 예컨대 0.1g/분 내지 5.0g/분의 범위 내의 유량으로 한다. 웨이퍼(200)를 TEMAZ에 노출하는 시간, 즉 가스 공급 시간[조사(照射) 시간]은 예컨대 10초 내지 300초간의 범위 내의 시간으로 한다. 이때 히터 유닛(207)의 온도는 웨이퍼(200)의 온도가 예컨대 150℃ 내지 300℃의 범위 내의 온도가 될 수 있는 온도로 설정한다. TEMAZ 가스의 공급에 의해 웨이퍼(200) 상에 Zr 함유층이 형성된다. Zr 함유층에는 TEMAZ 가스에 유래되는 유기물[탄소(C), 수소(H), 질소(N) 등]이 잔류 원소로서 근소하게 잔류한다.At this time, the APC valve 231a is appropriately adjusted so that the pressure in the processing chamber 201 is within the range of, for example, 20 Pa to 500 Pa. In the present specification, the representation of a numerical range such as “20Pa to 500Pa” means that the lower limit value and the upper limit value are included in the range. Therefore, for example, "20 Pa to 500 Pa" means 20 Pa or more and 500 Pa or less. The same applies to other numerical ranges. The supply flow rate of the TEMAZ gas controlled by the MFC 312 is set to, for example, a flow rate within the range of 0.1 g/min to 5.0 g/min. The time for exposing the wafer 200 to the TEMAZ, that is, the gas supply time (irradiation time) is, for example, a time within the range of 10 seconds to 300 seconds. At this time, the temperature of the heater unit 207 is set to a temperature at which the temperature of the wafer 200 can be, for example, within the range of 150°C to 300°C. A Zr-containing layer is formed on the wafer 200 by supplying the TEMAZ gas. In the Zr-containing layer, organic substances (carbon (C), hydrogen (H), nitrogen (N), etc.) derived from the TEMAZ gas remain slightly as residual elements.

(잔류 가스 제거 스텝)(Residual gas removal step)

TEMAZ 가스를 소정 시간 공급한 후, 밸브(314)를 닫고 TEMAZ 가스의 공급을 정지한다. 이때 배기관(231)의 APC 밸브(231a)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여 처리실(201) 내에 잔류하는 미반응 또는 반응에 기여한 후의 TEMAZ 가스를 처리실(201) 내로부터 배제한다. 이때 밸브(524, 534, 544)는 연 상태로 하여 N2 가스의 처리실(201) 내로의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 처리실(201) 내에 잔류하는 미반응 또는 반응에 기여한 후의 TEMAZ 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.After supplying the TEMAZ gas for a predetermined time, the valve 314 is closed to stop the supply of the TEMAZ gas. At this time, the APC valve 231a of the exhaust pipe 231 is opened, the inside of the processing chamber 201 is evacuated by the vacuum pump 246 , and the unreacted or reactive TEMAZ gas remaining in the processing chamber 201 is discharged from the processing chamber. (201) to exclude from within. At this time, the valves 524 , 534 , and 544 are opened to maintain the supply of the N 2 gas into the processing chamber 201 . The N 2 gas acts as a purge gas, and the effect of excluding unreacted or reactive TEMAZ gas remaining in the process chamber 201 from the process chamber 201 can be enhanced.

(O3 가스 공급 스텝)(O 3 gas supply step)

처리실(201) 내의 잔류 가스를 제거한 후, 밸브(324, 334, 344)를 열고 가스 공급관(320, 330, 340) 내에 산소 함유 가스인 O3 가스를 흘린다. O3 가스는 MFC(322, 332, 342)에 의해 유량 조정되어 노즐(420, 430, 440)의 가스 공급공(420a, 430a, 440a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 O3 가스가 공급된다. 이때 동시에 밸브(524, 534, 544)를 열고 가스 공급관(520, 530, 540) 내에 N2 가스 등의 불활성 가스를 흘린다. 가스 공급관(520, 530, 540) 내를 흐른 N2 가스는 MFC(522, 532 542)에 의해 유량 조정되어 O3 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 또한 이때 노즐(410) 내로의 O3 가스의 침입을 방지하기 위해서 밸브(514)를 열고 가스 공급관(510) 내에 N2 가스를 흘린다. N2 가스는 가스 공급관(310) 노즐(410)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.After the residual gas in the processing chamber 201 is removed, the valves 324 , 334 , and 344 are opened to flow O 3 gas, which is an oxygen-containing gas, into the gas supply pipes 320 , 330 , and 340 . The O 3 gas is flow-controlled by the MFCs 322 , 332 , and 342 , and is supplied into the processing chamber 201 from the gas supply holes 420a , 430a and 440a of the nozzles 420 , 430 , and 440 , and from the exhaust pipe 231 . is exhausted At this time, O 3 gas is supplied to the wafer 200 . At this time, the valves 524 , 534 , and 544 are opened at the same time and an inert gas such as N 2 gas flows into the gas supply pipes 520 , 530 , 540 . The N 2 gas flowing through the gas supply pipes 520 , 530 , and 540 is flow-controlled by the MFCs 522 , 532 542 , and is supplied into the processing chamber 201 together with the O 3 gas, and is exhausted from the exhaust pipe 231 . In addition, at this time, the valve 514 is opened in order to prevent the intrusion of the O 3 gas into the nozzle 410 and the N 2 gas flows into the gas supply pipe 510 . The N 2 gas is supplied into the processing chamber 201 through the nozzle 410 of the gas supply pipe 310 and exhausted from the exhaust pipe 231 .

O3 가스를 흘릴 때는 APC 밸브(231a)를 적절히 조정해서 처리실(201) 내의 압력은 예컨대 110Pa로 한다. MFC(322, 332, 342)로 제어하는 3개의 노즐(420, 430, 440)로부터 공급하는 O3 가스의 총 공급 유량은 예컨대 70slm으로 한다. MFC(322, 332, 342) 및 APC 밸브(231a)로 제어하는 O3 가스의 웨이퍼(200)의 중심부에서의 유속은 예컨대 7.0m/s 내지 8.5m/s의 범위 내의 유속으로 한다. O3 가스의 분압은 예컨대 9.0Pa[처리실(201) 내의 압력의 약 8.0%] 내지 12.0Pa[처리실(201) 내의 압력의 약 11.0%], 보다 바람직하게는 11.0Pa[처리실(201) 내의 압력의 10.0%]의 압력으로 한다. 오존 발생기로부터 처리실(201) 내에 공급되는 O3 가스의 농도는 예컨대 150g/Nm3 내지 300g/Nm3, 보다 바람직하게는 250g/Nm3로 한다. O3 가스의 농도가 150g/Nm3 미만이면 가스의 농도가 낮아서 막 중의 불순물(C, 카본)의 농도가 많아져 막질이 저하되는 경우가 있다. 또한 O3 가스의 농도가 300g/Nm3을 초과하면, 가스의 농도가 높아져 형성되는 ZrO층의 하지(下地)까지 산화되는 경우가 있다. 구체적으로는 DRAM 커패시터의 경우, 하지는 TiN막(티타늄질화막)이며, TiN막으로 구성되는 전극이 산화되면 TiO, TiON의 경계면의 산화막이 증가하기 때문에 EOT(등가산화 막 두께)이 증가하는 것이나, 이상 산화에 의해 응력이 발생해 TiN 전극이 무너지는 경우가 있다. 또한 Logic의 게이트 산화막의 경우, 하지는 Si막(실리콘막)이 되고, Si 경계면이 산화되면 SiO막이 증가하기 때문에 EOT가 증가하는 경우가 있다. O3 가스의 농도를 150g/Nm3 내지 300g/Nm3로 하는 것에 의해 막 중의 불순물의 농도가 높아지는 것을 억제하여 막질을 저하시키지 않고, 또한 형성되는 막의 하지까지 산화시키지 않고 ZrO막을 형성하는 것이 가능해진다. O3 가스에 웨이퍼(200)를 노출하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 30초 내지 120초간의 범위 내의 시간으로 한다. 이때의 히터 유닛(207)의 온도는 스텝(S101)과 마찬가지의 온도로 한다. O3 가스의 공급에 의해 웨이퍼(200) 상에 형성된 Zr 함유층이 산화되어 ZrO층이 형성된다. 이때 ZrO층에는 TEMAZ 가스에 유래되는 유기물[탄소(C), 수소(H), 질소(N) 등]이 근소하게 잔류한다.When the O 3 gas flows, the APC valve 231a is appropriately adjusted so that the pressure in the processing chamber 201 is, for example, 110 Pa. The total supply flow rate of the O 3 gas supplied from the three nozzles 420 , 430 , and 440 controlled by the MFCs 322 , 332 , and 342 is, for example, 70 slm. The flow velocity at the center of the wafer 200 of the O 3 gas controlled by the MFCs 322 , 332 , 342 and the APC valve 231a is, for example, within the range of 7.0 m/s to 8.5 m/s. The partial pressure of the O 3 gas is, for example, 9.0 Pa (about 8.0% of the pressure in the processing chamber 201 ) to 12.0 Pa (about 11.0% of the pressure in the processing chamber 201 ), more preferably 11.0 Pa (the pressure in the processing chamber 201 ) of 10.0%]. The concentration of the O 3 gas supplied from the ozone generator into the processing chamber 201 is, for example, 150 g/Nm 3 to 300 g/Nm 3 , and more preferably 250 g/Nm 3 . When the concentration of the O 3 gas is less than 150 g/Nm 3 , the concentration of the gas is low and the concentration of impurities (C, carbon) in the film is increased, and the film quality may be deteriorated. In addition, when the concentration of the O 3 gas exceeds 300 g/Nm 3 , the concentration of the gas increases and even the underlying ZrO layer to be formed may be oxidized. Specifically, in the case of a DRAM capacitor, the underlying layer is a TiN film (titanium nitride film), and when the electrode composed of the TiN film is oxidized, the EOT (equivalent oxide film thickness) increases because the oxide film at the interface between TiO and TiON increases. Oxidation may generate stress and cause the TiN electrode to collapse. In addition, in the case of the gate oxide film of Logic, the underlying Si film (silicon film) becomes an Si film (silicon film), and when the Si interface is oxidized, the SiO film increases, so the EOT may increase. By setting the concentration of O 3 gas to 150 g/Nm 3 to 300 g/Nm 3 , it is possible to form a ZrO film without reducing the film quality by suppressing an increase in the concentration of impurities in the film, and without oxidizing the underlying film to be formed. becomes The time for exposing the wafer 200 to the O 3 gas, that is, the gas supply time (irradiation time) is, for example, within the range of 30 seconds to 120 seconds. The temperature of the heater unit 207 at this time is set to the same temperature as in step S101. The Zr-containing layer formed on the wafer 200 is oxidized by the supply of O 3 gas to form a ZrO layer. At this time, organic substances (carbon (C), hydrogen (H), nitrogen (N), etc.) derived from the TEMAZ gas remain in the ZrO layer slightly.

또한 본 실시 형태에서는 3개의 노즐(420, 430, 440)을 이용해서 O3 가스를 공급하지만 노즐의 개수는 한정되지 않고, 예컨대 1개의 노즐로 O3 가스를 공급해도 상관없다. In the present embodiment, the O 3 gas is supplied using three nozzles 420 , 430 , and 440 , but the number of nozzles is not limited, and for example, one nozzle may supply O 3 gas.

O3 가스 공급의 처리 조건으로서 특히 O3 가스의 유속을 7.0m/s 내지 8.5m/s의 범위 내의 소정의 유속으로 하고, O3 가스의 분압을 9.0Pa[처리실(201)의 압력의 약 8.0%] 내지 12.0Pa[처리실의 압력의 약 11.0%]의 범위 내의 소정의 분압으로서 O3 가스 공급 스텝을 실행하는 것에 의해 웨이퍼의 중앙부까지 도달하는 O3 가스의 공급량이 충분해져 웨이퍼 면내에서의 산화가 충분히 수행되고, 웨이퍼 면내에서의 막 두께 균일성을 향상시킬 수 있다. 도 5에 웨이퍼 면내의 웨이퍼의 중앙부로부터 에지부까지의 사이에서의 같은 거리의 원주상 각각에서 복수 점의 막 두께를 측정하고, 그것들을 평균하여 얻어진 평균 막 두께를 도시한다. 도 5의 실선(602)로 도시하는 종래의 기법에 의한 평균 막 두께에 비해, 실선(601)로 도시하는 본 실시 형태의 기법에 의한 평균 막 두께에서는 평균 막 두께가 가장 작은 위치[실선(601)에서는 웨이퍼의 중앙부]에서의 막 두께와 평균 막 두께가 가장 큰 위치[실선(601)에서는 웨이퍼의 에지부]에서의 막 두께와의 막 두께 차이(ΔThickness)가 작게 이루어진다. 즉 도 5로부터 고유전율 산화막인 ZrO막의 웨이퍼의 면내 막 두께 균일성을 향상시키는 것이 가능해짐을 알 수 있다.As the processing conditions for the O 3 gas supply, in particular, the flow rate of the O 3 gas is set to a predetermined flow rate within the range of 7.0 m/s to 8.5 m/s, and the partial pressure of the O 3 gas is set to 9.0 Pa (about the pressure of the processing chamber 201 ). 8.0%] to 12.0Pa [becomes a predetermined partial pressure in the range of about 11% - of the pressure of the treatment chamber the supply of O 3 gas to reach the center portion of the wafer by executing the O 3 gas supply step is sufficient at the wafer plane Oxidation is sufficiently performed, and the film thickness uniformity in the wafer plane can be improved. Fig. 5 shows the average film thickness obtained by measuring the film thickness of a plurality of points on each of the circumferences of the same distance between the center portion and the edge portion of the wafer in the wafer plane, and averaging them. Compared with the average film thickness by the conventional technique shown by the solid line 602 in Fig. 5, the average film thickness by the technique of the present embodiment shown by the solid line 601 is the position where the average film thickness is smallest (solid line 601) ), the film thickness difference (ΔThickness) between the film thickness at the central portion of the wafer and the film thickness at the position where the average film thickness is greatest (the edge portion of the wafer in the solid line 601) is made small. That is, it can be seen from FIG. 5 that it is possible to improve the in-plane film thickness uniformity of the wafer of the ZrO film, which is a high-k oxide film.

또한 O3 가스의 유속이 7.0m/s미만이면, 웨이퍼의 중앙부에 도달하는 O3 가스의 공급량이 부족하여 웨이퍼의 중앙부에서의 막 두께가 얇아지고, 웨이퍼 면내 막 두께 균일성이 소정의 분포가 되지 않는 경우가 있다. 또한 O3 가스의 유속이 8.5m/s를 초과하면, 노즐의 가스 공급공에 가스의 소용돌이가 발생하기 쉬워지기 때문에 웨이퍼의 에지 부분에서의 막 두께가 두꺼워져 웨이퍼 면내 막 두께 균일성이 소정의 분포가 되지 않는 경우가 있다. O3 가스의 분압이 9.0Pa 미만이면 산화가 불충분해지기 때문에 웨이퍼 면내 막 두께 균일성이 소정의 분포가 되지 않는 경우가 있다. 또한 O3 가스의 분압이 12.0Pa를 초과하면 성막 시에 하지 과산화에 의해, 특히 웨이퍼의 에지 부분에서의 막 두께가 두꺼워져 웨이퍼 면내 막 두께 균일성이 소정의 분포가 되지 않는 경우가 있다.In addition , if the flow rate of O 3 gas is less than 7.0 m/s, the supply amount of O 3 gas reaching the central portion of the wafer is insufficient, and the film thickness at the center portion of the wafer becomes thin, and the wafer in-plane film thickness uniformity is not uniformly distributed. There are times when it doesn't. In addition, when the flow velocity of the O 3 gas exceeds 8.5 m/s, the gas vortex tends to occur in the gas supply hole of the nozzle, so that the film thickness at the edge portion of the wafer becomes thick, and the in-plane film thickness uniformity of the wafer becomes a predetermined level. Distribution may not be possible. If the partial pressure of the O 3 gas is less than 9.0 Pa, the oxidation becomes insufficient, so that the uniformity of the film thickness within the wafer plane may not be a predetermined distribution in some cases. In addition, when the partial pressure of the O 3 gas exceeds 12.0 Pa, the film thickness in particular at the edge portion of the wafer becomes thick due to peroxidation of the substrate during film formation, so that the in-plane film thickness uniformity of the wafer may not be uniformly distributed in some cases.

(잔류 가스 제거 스텝)(Residual gas removal step)

ZrO층이 형성된 후, 밸브(324)를 닫고 O3 가스의 공급을 정지한다. 그리고 O3 가스 공급 스텝 전의 잔류 가스 제거 스텝과 마찬가지의 처리 순서에 의해 처리실(201) 내에 잔류하는 미반응 또는 ZrO층 형성에 기여한 후의 O3 가스를 처리실(201) 내로부터 배제한다.After the ZrO layer is formed, the valve 324 is closed and the supply of O 3 gas is stopped. Then, the unreacted O 3 gas remaining in the processing chamber 201 or after contributing to the formation of the ZrO layer is removed from the processing chamber 201 by the same processing procedure as the residual gas removal step before the O 3 gas supply step.

(소정 횟수 실시)(Performed a certain number of times)

전술한 스텝을 순서대로 수행하는 사이클을 1회 이상[소정 횟수(n회)] 수행하는 것에 의해 웨이퍼(200) 상에 소정의 두께의 ZrO막이 형성된다. 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 이와 같이 ZrO막을 형성하는 경우에는 TEMAZ 가스와 O3 가스를 서로 혼합하지 않도록(시분할하여) 교호(交互)적으로 웨이퍼(200)에 대하여 공급한다.A ZrO film of a predetermined thickness is formed on the wafer 200 by performing one or more cycles of sequentially performing the above steps (a predetermined number of times (n times)). The cycle described above is preferably repeated a plurality of times. When the ZrO film is formed in this way, the TEMAZ gas and the O 3 gas are alternately supplied to the wafer 200 so as not to mix with each other (by dividing the time).

(애프터 퍼지 및 대기압 복귀)(After purge and return to atmospheric pressure)

성막 스텝이 종료되면, 밸브(514, 524, 534, 544)를 열고 가스 공급관(510, 520, 530, 540)의 각각으로부터 N2 가스를 처리실(201) 내에 공급하고, 배기관(231)으로부터 배기한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내가 불활성 가스로 퍼지되어 처리실(201) 내에 잔류하는 가스나 부생성물이 처리실(201) 내로부터 제거된다(애프터 퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되고(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).When the film forming step is completed, the valves 514 , 524 , 534 , and 544 are opened and N 2 gas is supplied into the processing chamber 201 from each of the gas supply pipes 510 , 520 , 530 , and 540 , and exhausted from the exhaust pipe 231 . do. The N 2 gas acts as a purge gas, whereby the inside of the processing chamber 201 is purged with an inert gas, and gases and by-products remaining in the processing chamber 201 are removed from the inside of the processing chamber 201 (after-purge). Thereafter, the atmosphere in the processing chamber 201 is replaced with an inert gas (inert gas replacement), and the pressure in the processing chamber 201 is returned to normal pressure (return to atmospheric pressure).

(웨이퍼 반출)(wafer out)

그 후, 보트 엘리베이터(115)에 의해 씰 캡(219)이 하강되어 반응관(203)의 하단이 개구된다. 그리고 처리 완료된 웨이퍼(200)가 보트(217)에 지지된 상태에서 반응관(203)의 하단으로부터 반응관(203)의 외부에 반출(보트 언로드)된다. 그 후 처리 완료된 웨이퍼(200)는 보트(217)로부터 취출(取出)된다(웨이퍼 디스차지).Thereafter, the seal cap 219 is lowered by the boat elevator 115 to open the lower end of the reaction tube 203 . Then, the processed wafer 200 is carried out (unloaded from the boat) from the lower end of the reaction tube 203 while being supported by the boat 217 . After that, the processed wafer 200 is taken out from the boat 217 (wafer discharge).

이상, 본 개시의 실시 형태에 대해서 구체적으로 설명했다. 하지만 본 개시는 전술한 실시 형태에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 다양한지 변경이 가능하다.As mentioned above, embodiment of this indication was demonstrated concretely. However, the present disclosure is not limited to the above-described embodiment, and various changes can be made without departing from the gist thereof.

전술한 실시 형태에서는 고유전율 산화막으로서 ZrO막을 예시하지만, 이에 한정되지 않고, ZrO의 결합 에너지보다 낮은 또는 Zr 염화물의 증기압보다 높은 산화물(혼합 산화물을 포함한다)이면 좋다. 예컨대 고유전율 산화물로서 ZrOy, HfOy, AlxOy, HfSixOy, HfAlxOy, ZrSiOy, ZrAlOy, TixOy, TaxOy(x 및 y는 0보다 큰 정수 또는 소수이다.)가 이용된 경우에도 마찬가지로 적용 가능하다. 즉 지르코늄산화막, 하프늄산화막, 알루미늄산화막, 티타늄산화막, 탄탈산화막, 니오브산화막에도 적용가능하다.Although the ZrO film is exemplified as the high dielectric constant oxide film in the above embodiment, it is not limited thereto, and any oxide (including a mixed oxide) that is lower than the binding energy of ZrO or higher than the vapor pressure of Zr chloride may be sufficient. For example, as a high-k oxide, ZrO y , HfO y , Al x O y , HfSi x O y , HfAl x O y , ZrSiO y , ZrAlO y , Ti x O y , Ta x O y (x and y are integers greater than 0) Or it is a prime number.) is also applicable when used. That is, it is applicable to a zirconium oxide film, a hafnium oxide film, an aluminum oxide film, a titanium oxide film, a tantalum oxide film, and a niobium oxide film.

또한 전술한 실시 형태에서는 유기계 원료로서 TEMAZ를 예시하지만 이에 한정되지 않고, 그 외의 원료도 적용 가능하다. 예컨대 테트라키스에틸메틸아미노하프늄{Hf[N(CH3)CH2CH3]4, TEMAH} 등의 유기계 Hf 원료(유기계 Hf 원료를 포함하는 하프늄 함유 가스), 트리메틸알루미늄[(CH3)3Al, TMA] 등의 유기계 Al 원료(유기계 Al 원료를 포함하는 알루미늄 함유 가스), 트리스디메틸아미노실란{SiH[N(CH3)2]3, TDMAS} 등의 유기계 Si 원료(유기계 Si 원료를 포함하는 실리콘 함유 가스), 테트라키스디메틸아미노티타늄{Ti[N(CH3)2]4, TDMAT} 등의 유기계 Ti 원료(유기계 Ti 원료를 포함하는 티타늄 함유 가스), 펜타키스디메틸아미노탄탈{Ta[N(CH3)2]5, PDMAT} 등의 유기계 Ta 원료(유기계 Ta 원료를 포함하는 탄탈 함유 가스), 트리스디메틸아미노터셔리부틸이미노니오브{(tert-C4H9)N=Nb[N(C2H5)2]3, TBTDEN} 등의 유기계 Nb 원료(유기계 Nb 원료를 포함하는 니오브 함유 가스) 등도 적용 가능하다.In addition, although TEMAZ is illustrated as an organic-type raw material in the above-mentioned embodiment, it is not limited to this, Other raw materials are also applicable. For example, organic Hf raw materials (hafnium-containing gas including organic Hf raw materials) such as tetrakisethylmethylaminohafnium {Hf[N(CH 3 )CH 2 CH 3 ] 4 , TEMAH}, trimethylaluminum [(CH 3 ) 3 Al , TMA], such as organic Al raw materials (aluminum-containing gas containing organic Al raw materials), and organic Si raw materials (including organic Si raw materials) such as trisdimethylaminosilane {SiH[N(CH 3 ) 2 ] 3 , TDMAS} silicon-containing gas), organic Ti raw materials such as tetrakisdimethylaminotitanium {Ti[N(CH 3 ) 2 ] 4 , TDMAT} (titanium-containing gas containing organic Ti raw materials), pentakisdimethylaminotantalum {Ta[N (CH 3 ) 2 ] 5 , PDMAT} and other organic Ta raw materials (tantalum-containing gas containing organic Ta raw materials ), trisdimethylaminotertibutyliminoniob {(tert-C 4 H 9 )N=Nb[N (C 2 H 5 ) 2 ] 3 , TBTDEN} and other organic Nb raw materials (niobium-containing gas containing organic Nb raw materials) and the like are also applicable.

또한 전술한 실시 형태에서는 성막 공정에서 O3 가스를 사용하는 예를 제시하지만 이에 한정되지 않고, 산소 함유 가스라면 기타의 원료도 적용 가능하다. 예컨대 산소(O2), O2 플라즈마, 수증기(H2O), 과산화수소(H2O2), 아산화질소(N2O) 등도 적용 가능하다. In addition, although an example of using O 3 gas in the film forming process is given in the above-described embodiment, the present invention is not limited thereto, and other raw materials are also applicable as long as it is an oxygen-containing gas. For example, oxygen (O 2 ), O 2 plasma, water vapor (H 2 O), hydrogen peroxide (H 2 O 2 ), nitrous oxide (N 2 O), etc. may also be applied.

또한 불활성 가스로서는 N2 가스 외에 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 이용해도 좋다.As the inert gas, a rare gas such as Ar gas, He gas, Ne gas, or Xe gas other than N 2 gas may be used.

이들 각종 박막의 형성에 이용되는 프로세스 레시피(처리 순서나 처리 조건 등이 기재된 프로그램)는 기판 처리 등의 내용(형성하는 박막의 막종, 조성비, 막질, 막 두께, 처리 순서 처리 조건 등)에 따라 각각 개별로 준비(복수 준비)하는 것이 바람직하다. 그리고 기판 처리 등을 시작할 때, 기판 처리 등의 내용에 따라 복수의 프로세스 레시피 등의 중으로부터 적절한 프로세스 레시피 등을 적절히 선택하는 것이 바람직하다. 구체적으로는 기판 처리 등의 내용에 따라 개별로 준비된 복수의 프로세스 레시피 등을 전기 통신 회선이나 상기 프로세스 레시피 등을 기록한 기록 매체[외부 기억 장치(283)]를 개재하여 기판 처리 장치가 구비하는 기억 장치(280c) 내에 미리 격납(인스톨)해두는 것이 바람직하다. 그리고 기판 처리를 시작할 때 기판 처리 장치가 구비하는 CPU(280a)이 기억 장치(280c) 내에 격납된 복수의 프로세스 레시피 등의 중으로부터 기판 처리의 내용에 따라 적절한 프로세스 레시피 등을 적절히 선택하는 것이 바람직하다. 이와 같이 구성하는 것에 의해 1대(臺)의 기판 처리 장치로 다양한 막종, 조성비, 막질, 막 두께의 박막을 범용적으로 또한 재현성 좋게 형성할 수 있게 된다. 또한 오퍼레이터의 조작 부담(처리 순서나 처리 조건 등의 입력 부담 등)을 저감할 수 있고, 조작 실수를 회피하면서 기판 처리를 신속하게 시작할 수 있게 된다.The process recipes (programs in which the processing sequence and processing conditions, etc. are described) used for the formation of these various thin films vary depending on the contents of the substrate processing and the like (film type, composition ratio, film quality, film thickness, processing order, processing conditions, etc. of the thin film to be formed). It is preferable to prepare individually (preparation in multiples). And when starting substrate processing, etc., it is preferable to properly select an appropriate process recipe etc. from among a plurality of process recipes etc. according to content of a substrate processing etc. Specifically, a storage device provided in the substrate processing apparatus via a telecommunication line or a recording medium (external storage device 283) in which a plurality of process recipes, etc. individually prepared according to the contents of substrate processing, etc. are recorded, etc. It is preferable to store (install) it in advance in 280c. And when starting substrate processing, it is preferable that the CPU 280a included in the substrate processing apparatus appropriately selects an appropriate process recipe or the like from among a plurality of process recipes stored in the storage device 280c according to the contents of the substrate processing. . By configuring in this way, thin films of various film types, composition ratios, film qualities and thicknesses can be formed universally and with good reproducibility with one substrate processing apparatus. In addition, it is possible to reduce the operator's operational burden (the burden of inputting processing procedures and processing conditions, etc.), and it becomes possible to quickly start substrate processing while avoiding operation mistakes.

또한 본 개시는 예컨대 기존의 기판 처리 장치의 프로세스 레시피 등을 변경하는 것으로도 실현된다. 프로세스 레시피 등을 변경하는 경우에는 본 개시에 따른 프로세스 레시피 등을 전기 통신 회선이나 상기 프로세스 레시피 등을 기록한 기록 매체를 개재하여 기존의 기판 처리 장치에 인스톨하거나, 또한 기존의 기판 처리 장치의 입출력 장치를 조작하고, 그 프로세스 레시피 등의 자체를 본 개시에 따른 프로세스 레시피 등에 변경하는 것도 가능하다.The present disclosure is also realized by, for example, changing a process recipe of an existing substrate processing apparatus. In the case of changing the process recipe, etc., the process recipe according to the present disclosure is installed in an existing substrate processing apparatus via a telecommunications line or a recording medium in which the process recipe is recorded, or the input/output device of the existing substrate processing apparatus is installed. It is also possible to operate and change the process recipe or the like itself to the process recipe or the like according to the present disclosure.

10: 기판 처리 장치 280: 컨트롤러
200: 웨이퍼(기판) 201: 처리실
10: substrate processing unit 280: controller
200: wafer (substrate) 201: processing chamber

Claims (14)

(a) 처리실 내의 기판에 대하여 금속 함유 가스를 공급하는 공정; 및
(b) 상기 처리실 내의 상기 기판에 대하여 산소 함유 가스의 유속을 7.0m/s 이상 8.5m/s 이하로 하고 상기 산소 함유 가스의 분압을 9.0Pa 이상 12.0Pa 이하로 하여 산소 함유 가스를 공급하는 공정
을 포함하는 반도체 장치의 제조 방법.
(a) supplying a metal-containing gas to the substrate in the processing chamber; and
(b) supplying the oxygen-containing gas with respect to the substrate in the processing chamber at a flow rate of 7.0 m/s or more and 8.5 m/s or less and a partial pressure of the oxygen-containing gas at 9.0 Pa or more and 12.0 Pa or less
A method of manufacturing a semiconductor device comprising a.
제1항에 있어서,
(a)와 (b)를 소정 횟수 반복 수행하는 것에 의해 상기 기판 상에 금속산화막을 형성하는 반도체 장치의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device in which a metal oxide film is formed on the substrate by repeatedly performing (a) and (b) a predetermined number of times.
제1항에 있어서,
(a)와 (b) 사이에 상기 처리실을 배기하는 공정을 수행하는 반도체 장치의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device, wherein the step of evacuating the processing chamber is performed between (a) and (b).
제1항에 있어서,
(b) 후에 상기 처리실을 배기하는 공정을 수행하는 반도체 장치의 제조 방법.
According to claim 1,
(b) A method of manufacturing a semiconductor device, wherein a step of evacuating the processing chamber is performed later.
제1항에 있어서,
(a)와 (b)를 소정 횟수 수행한 후에 상기 처리실 내에 불활성 가스를 공급하는 공정을 수행하는 반도체 장치의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device, wherein the step of supplying an inert gas into the processing chamber is performed after (a) and (b) are performed a predetermined number of times.
제1항에 있어서,
(b)에서는 상기 산소 함유 가스의 농도를 150g/Nm3 이상 300g/Nm3 이하로 하는 반도체 장치의 제조 방법.
According to claim 1,
In (b), the concentration of the oxygen-containing gas is 150 g/Nm 3 or more and 300 g/Nm 3 or less.
제1항에 있어서,
상기 금속 함유 가스는 지르코늄 함유 가스, 하프늄 함유 가스, 알루미늄 함유 가스, 실리콘 함유 가스, 티타늄 함유 가스, 탄탈 함유 가스 및 니오브 함유 가스 중 어느 하나인 반도체 장치의 제조 방법.
According to claim 1,
The metal-containing gas is any one of a zirconium-containing gas, a hafnium-containing gas, an aluminum-containing gas, a silicon-containing gas, a titanium-containing gas, a tantalum-containing gas, and a niobium-containing gas.
제1항에 있어서,
상기 산소 함유 가스는 오존, 산소, 산소 플라즈마, 수증기, 과산화수소 및 아산화질소 중 어느 하나인 반도체 장치의 제조 방법.
According to claim 1,
The method for manufacturing a semiconductor device, wherein the oxygen-containing gas is any one of ozone, oxygen, oxygen plasma, water vapor, hydrogen peroxide, and nitrous oxide.
제1항에 있어서,
(b)에서는 상기 산소 함유 가스는 처리실 내에 설치되는 복수 개의 노즐로부터 공급되는 반도체 장치의 제조 방법.
According to claim 1,
In (b), the method for manufacturing a semiconductor device in which the oxygen-containing gas is supplied from a plurality of nozzles installed in the processing chamber.
제2항에 있어서,
상기 금속산화막은 고유전율 산화막인 반도체 장치의 제조 방법.
3. The method of claim 2,
The method of manufacturing a semiconductor device, wherein the metal oxide film is a high dielectric constant oxide film.
제2항에 있어서,
상기 기판은 연직 방향에 다단으로 복수 매, 기판 보지구(保持具)에 보지되고,
복수 매의 상기 기판 상에 상기 금속산화막이 형성되는 반도체 장치의 제조 방법.
3. The method of claim 2,
The substrate is held in multiple stages in a vertical direction by a substrate holding tool,
A method of manufacturing a semiconductor device in which the metal oxide film is formed on a plurality of the substrates.
제2항에 있어서,
상기 기판 상에 형성되는 상기 금속산화막의 하지(下地)에는 티타늄질화막 또는 실리콘막이 형성되는 반도체 장치의 제조 방법.
3. The method of claim 2,
A method of manufacturing a semiconductor device in which a titanium nitride film or a silicon film is formed on a base of the metal oxide film formed on the substrate.
기판을 처리하는 처리실;
상기 처리실 내의 기판에 대하여 금속 함유 가스를 공급하는 금속 함유 가스 공급계;
상기 처리실 내의 기판에 대하여 산소 함유 가스를 공급하는 산소 함유 가스 공급계;
상기 처리실 내를 배기하는 배기계;
상기 처리실 내의 압력을 조정하는 압력 조정부; 및
(a) 상기 처리실 내의 기판에 대하여 상기 금속 함유 가스를 공급하는 처리와, (b) 상기 처리실 내의 상기 기판에 대하여 상기 산소 함유 가스의 유속을 7.0m/s 이상 8.5m/s 이하로 하고 상기 산소 함유 가스의 분압을 9.0Pa 이상 12.0Pa 이하로 하여 상기 산소 함유 가스를 공급하는 처리를 수행하는 것이 가능하도록, 상기 금속 함유 가스 공급계, 상기 산소 함유 가스 공급계, 상기 배기계 및 상기 압력 조정부를 제어하도록 구성되는 제어부
를 포함하는 기판 처리 장치.
a processing chamber for processing substrates;
a metal-containing gas supply system for supplying a metal-containing gas to the substrate in the processing chamber;
an oxygen-containing gas supply system for supplying an oxygen-containing gas to the substrate in the processing chamber;
an exhaust system for exhausting the inside of the processing chamber;
a pressure adjusting unit for adjusting the pressure in the processing chamber; and
(a) a process of supplying the metal-containing gas to the substrate in the processing chamber; and (b) a flow rate of the oxygen-containing gas with respect to the substrate in the processing chamber is set to 7.0 m/s or more and 8.5 m/s or less, and the oxygen The metal-containing gas supply system, the oxygen-containing gas supply system, the exhaust system and the pressure adjusting unit are controlled so that the process for supplying the oxygen-containing gas can be performed with the partial pressure of the containing gas being 9.0 Pa or more and 12.0 Pa or less. a control unit configured to
A substrate processing apparatus comprising a.
(a) 기판 처리 장치의 처리실 내의 기판에 대하여 금속 함유 가스를 공급하는 순서; 및
(b) 상기 처리실 내의 상기 기판에 대하여 산소 함유 가스의 유속을 7.0m/s 이상 8.5m/s 이하로 하고 상기 산소 함유 가스의 분압을 9.0Pa 이상 12.0Pa 이하로 하여 상기 산소 함유 가스를 공급하는 순서
를 컴퓨터에 의해 상기 기판 처리 장치에 실행시키는 프로그램.
(a) a procedure of supplying a metal-containing gas to a substrate in a processing chamber of the substrate processing apparatus; and
(b) supplying the oxygen-containing gas with respect to the substrate in the processing chamber at a flow rate of 7.0 m/s or more and 8.5 m/s or less and a partial pressure of the oxygen-containing gas at 9.0 Pa or more and 12.0 Pa or less order
A program for causing the substrate processing apparatus to execute by a computer.
KR1020217019468A 2019-02-27 2020-02-25 Semiconductor device manufacturing method, substrate processing apparatus and recording medium KR20210093337A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2019034074 2019-02-27
JPJP-P-2019-034074 2019-02-27
PCT/JP2020/007335 WO2020175427A1 (en) 2019-02-27 2020-02-25 Semiconductor device production method, substrate processing device, and program

Publications (1)

Publication Number Publication Date
KR20210093337A true KR20210093337A (en) 2021-07-27

Family

ID=72238299

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217019468A KR20210093337A (en) 2019-02-27 2020-02-25 Semiconductor device manufacturing method, substrate processing apparatus and recording medium

Country Status (4)

Country Link
JP (1) JP7175375B2 (en)
KR (1) KR20210093337A (en)
CN (1) CN113454762A (en)
WO (1) WO2020175427A1 (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014067783A (en) 2012-09-25 2014-04-17 Hitachi Kokusai Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and substrate processing method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4704894B2 (en) * 2005-11-16 2011-06-22 国立大学法人京都大学 Film forming method and film forming apparatus
JP5423205B2 (en) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 Deposition equipment
WO2012060379A1 (en) * 2010-11-04 2012-05-10 株式会社日立国際電気 Method for manufacturing semiconductor device, method for processing substrate and apparatus for processing substrate
JP5562434B2 (en) * 2010-11-19 2014-07-30 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
EP2770526B1 (en) * 2013-02-22 2018-10-03 IMEC vzw Oxygen monolayer on a semiconductor
JP6128969B2 (en) * 2013-06-03 2017-05-17 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
JP6602332B2 (en) * 2017-03-28 2019-11-06 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014067783A (en) 2012-09-25 2014-04-17 Hitachi Kokusai Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and substrate processing method

Also Published As

Publication number Publication date
JPWO2020175427A1 (en) 2021-12-23
CN113454762A (en) 2021-09-28
JP7175375B2 (en) 2022-11-18
WO2020175427A1 (en) 2020-09-03

Similar Documents

Publication Publication Date Title
KR102046219B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, program and precursor gas nozzle
US10910217B2 (en) Method for manufacturing semiconductor device, non-transitory computer-readable recording medium, and substrate processing apparatus
US9437421B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR101520844B1 (en) Method of manufacturing semiconductor device, substrate processing method and apparatus, non-transitory computer readable recording medium, and semiconductor device
US11591694B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
US20240093361A1 (en) Vaporizer, processing apparatus and method of manufacturing semiconductor device
KR102204507B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and program
US20220002871A1 (en) Substrate processing apparatus, reaction container, method of manufacturing semiconductor device, and recording medium
US20180286725A1 (en) Substrate retrainer and substrate processing apparatus
US20200411330A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR20210093337A (en) Semiconductor device manufacturing method, substrate processing apparatus and recording medium
KR20230050451A (en) Substrate processing method, semiconductor device manufacturing method, program and substrate processing device
KR20210033428A (en) Vaporizer, substrate processing apparatus, cleaning method, method of manufacturing semiconductor device, and program
US20220093386A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
US20220165565A1 (en) Method of processing substrate, recording medium, and substrate processing apparatus
US20230304149A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and substrate support
WO2021193406A1 (en) Substrate treatment apparatus, gas supply device, method for cleaning raw material supply pipe, method for manufacturing semiconductor device, and program
KR20210119489A (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus and program
KR20230052933A (en) Substrate processing method, semiconductor device manufacturing method, program and substrate processing device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal