KR20210080583A - A three-dimensional memory device comprising alternating stacks of source and drain layers and vertical gate electrodes - Google Patents

A three-dimensional memory device comprising alternating stacks of source and drain layers and vertical gate electrodes Download PDF

Info

Publication number
KR20210080583A
KR20210080583A KR1020217018884A KR20217018884A KR20210080583A KR 20210080583 A KR20210080583 A KR 20210080583A KR 1020217018884 A KR1020217018884 A KR 1020217018884A KR 20217018884 A KR20217018884 A KR 20217018884A KR 20210080583 A KR20210080583 A KR 20210080583A
Authority
KR
South Korea
Prior art keywords
layers
layer
source
drain
semiconductor
Prior art date
Application number
KR1020217018884A
Other languages
Korean (ko)
Other versions
KR102622071B1 (en
Inventor
제임스 카이
요한 알스마이어
머쉐드 초두리
레이든 마쓰노
Original Assignee
샌디스크 테크놀로지스 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/539,124 external-priority patent/US10950626B2/en
Priority claimed from US16/539,103 external-priority patent/US11018153B2/en
Application filed by 샌디스크 테크놀로지스 엘엘씨 filed Critical 샌디스크 테크놀로지스 엘엘씨
Publication of KR20210080583A publication Critical patent/KR20210080583A/en
Application granted granted Critical
Publication of KR102622071B1 publication Critical patent/KR102622071B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • H01L27/11582
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • H01L27/1157
    • H01L27/1159
    • H01L27/11597
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

3차원 메모리 디바이스는 기판 위에 위치된 소스 층들 및 드레인 층들의 교번하는 스택, 교번하는 스택의 소스 층들 및 드레인 층들 각각을 통해 수직으로 연장되는 게이트 전극들, 게이트 전극들의 각자의 게이트 전극을 측방향으로 둘러싸는 메모리 필름들, 및 메모리 필름들의 각자의 메모리 필름을 측방향으로 둘러싸고 소스 층 및 드레인 층의 각자의 수직으로 이웃하는 쌍에 접속된 반도체 채널들을 포함한다. 메모리 개구들의 어레이는 교번하는 스택을 통해 수직으로 연장될 수 있고, 게이트 전극들 각각은 메모리 개구들의 각자의 메모리 개구 내에 위치될 수 있다.The three-dimensional memory device comprises an alternating stack of source and drain layers positioned over a substrate, gate electrodes extending vertically through each of the source and drain layers of the alternating stack, and a respective gate electrode of the gate electrodes laterally arranged. surrounding memory films, and semiconductor channels laterally surrounding a respective memory film of the memory films and connected to a respective vertically neighboring pair of source and drain layers. The array of memory openings may extend vertically through the alternating stack, and each of the gate electrodes may be located within a respective memory opening of the memory openings.

Description

소스 층들과 드레인 층들의 교번하는 스택 및 수직 게이트 전극들을 포함하는 3차원 메모리 디바이스A three-dimensional memory device comprising alternating stacks of source and drain layers and vertical gate electrodes

관련 출원Related applications

본 출원은 2019년 8월 13일자로 출원된 미국 특허 출원 제16/539,103호 및 제16/539,124호의 우선권의 이익을 주장하며, 이들의 전체 내용들은 본 명세서에 참고로 포함된다.This application claims the benefit of priority to U.S. Patent Applications Nos. 16/539,103 and 16/539,124, filed on August 13, 2019, the entire contents of which are incorporated herein by reference.

기술분야technical field

본 발명은 대체적으로 반도체 디바이스들의 분야에 관한 것으로, 특히 소스 층들과 드레인 층들의 교번하는 스택 및 수직 게이트 전극들을 포함하는 3차원 메모리 디바이스 및 그 제조 방법들에 관한 것이다.FIELD OF THE INVENTION The present invention relates generally to the field of semiconductor devices, and more particularly to a three-dimensional memory device comprising an alternating stack of source and drain layers and vertical gate electrodes and methods of manufacturing the same.

전형적인 3차원 NAND 메모리 디바이스는 기판 위에 위치된 절연 층들 및 워드 라인들의 교번하는 스택을 포함한다. 차단 유전체 층과 터널링 유전체 층 사이에 위치된 전하 저장 층을 포함하는 메모리 필름들에 의해 둘러싸인 수직 반도체 채널들은 교번하는 스택을 통해 메모리 개구들에서 수직으로 연장된다. 비트 라인들은 반도체 채널들의 상단에 위치된 드레인 영역들에 전기적으로 접속되는 한편, 소스 라인 또는 인터커넥트는 수직 반도체 채널들의 하단 부분에 위치된 소스 영역들에 전기적으로 접속된다.A typical three-dimensional NAND memory device includes an alternating stack of word lines and insulating layers positioned over a substrate. Vertical semiconductor channels surrounded by memory films comprising a charge storage layer positioned between a blocking dielectric layer and a tunneling dielectric layer extend vertically in the memory openings through an alternating stack. The bit lines are electrically connected to drain regions located at the top of the semiconductor channels, while the source line or interconnect is electrically connected to the source regions located at the bottom portion of the vertical semiconductor channels.

본 발명의 일 태양에 따르면, 3차원 메모리 디바이스는 기판 위에 위치된 소스 층들 및 드레인 층들의 교번하는 스택, 교번하는 스택의 소스 층들 및 드레인 층들 각각을 통해 수직으로 연장되는 게이트 전극들, 게이트 전극들의 각자의 게이트 전극을 각각 측방향으로 둘러싸는 메모리 필름들, 및 메모리 필름들의 각자의 메모리 필름을 각각 측방향으로 둘러싸고 소스 층들 중 일정 소스 층 및 드레인 층들 중 일정 드레인 층의 각자의 수직으로 이웃하는 쌍의 측벽들과 접촉하는 반도체 채널들을 포함한다.According to one aspect of the present invention, a three-dimensional memory device comprises an alternating stack of source and drain layers positioned over a substrate, gate electrodes extending vertically through each of the source and drain layers of the alternating stack, and gate electrodes. memory films each laterally surrounding a respective gate electrode, and a respective vertically adjacent pair of a source layer of a source layer and a drain layer of a drain layer each laterally surrounding a respective memory film of the memory films semiconductor channels in contact with the sidewalls of

본 발명의 다른 태양에 따르면, 3차원 메모리 디바이스를 형성하는 방법은 기판 위에 도핑된 반도체 소스 층들 및 도핑된 반도체 드레인 층들의 교번하는 스택을 형성하는 단계, 교번하는 스택을 통해 수직으로 연장되는 메모리 개구들을 형성하는 단계, 각각의 메모리 개구 내에 연속적 반도체 채널 층을 형성하는 단계 - 도핑된 반도체 소스 층들 중 일정 도핑된 반도체 소스 층 및 도핑된 반도체 드레인 층들 중 일정 도핑된 반도체 드레인 층의 각자의 수직으로 이웃하는 쌍의 측벽들 상에 반도체 채널들이 형성됨 -, 반도체 채널들 위에 메모리 필름들을 형성하는 단계, 및 메모리 필름들 위에 게이트 전극들을 형성하는 단계 - 게이트 전극들 각각은 교번하는 스택의 도핑된 반도체 소스 층들 및 도핑된 반도체 드레인 층들 각각을 통해 수직으로 연장됨-를 포함한다.According to another aspect of the present invention, a method of forming a three-dimensional memory device comprises forming an alternating stack of doped semiconductor source layers and doped semiconductor drain layers over a substrate, a memory opening extending vertically through the alternating stack. forming a continuous semiconductor channel layer within each memory opening - each vertically neighboring one of the doped semiconductor source layer and the doped one of the doped semiconductor drain layers. forming semiconductor channels on the pair of sidewalls, forming memory films over the semiconductor channels, and forming gate electrodes over the memory films, each of the gate electrodes comprising an alternating stack of doped semiconductor source layers and extending vertically through each of the doped semiconductor drain layers.

본 발명의 일 태양에 따르면, 3차원 메모리 디바이스는 기판 위에 위치된 소스 층들 및 드레인 층들의 교번하는 스택, 교번하는 스택을 통해 수직으로 연장되는 메모리 개구들의 어레이, 게이트 전극들 - 각각의 게이트 전극은 메모리 개구들의 어레이 중 하나에 위치되고 교번하는 스택의 소스 층들 및 드레인 층들 각각을 통해 수직으로 연장됨 -, 메모리 필름들 - 각각의 메모리 필름은 메모리 개구들의 어레이 중 하나에 위치되고 게이트 전극들의 각자의 게이트 전극을 측방향으로 둘러쌈 -, 및 메모리 필름들의 각자의 메모리 필름을 측방향으로 둘러싸는 반도체 채널들의 수직 스택들을 포함하고, 여기서 수직 반도체 채널들 각각은 각자의 수직으로 이웃하는 수평 표면들과 접촉한다.In accordance with one aspect of the present invention, a three-dimensional memory device comprises an alternating stack of source and drain layers positioned over a substrate, an array of memory openings extending vertically through the alternating stack, gate electrodes, each gate electrode comprising: each memory film positioned in one of the array of memory openings and extending vertically through each of the source and drain layers of an alternating stack, each memory film positioned in one of the array of memory openings and a respective gate of the gate electrodes laterally surrounding the electrode, and vertical stacks of semiconductor channels laterally surrounding a respective memory film of memory films, wherein each of the vertical semiconductor channels is in contact with a respective vertically neighboring horizontal surface. do.

본 발명의 다른 태양에 따르면, 3차원 메모리 디바이스를 형성하는 방법은 기판 위에 도핑된 반도체 소스 층들 및 도핑된 반도체 드레인 층들의 교번하는 스택을 형성하는 단계, 교번하는 스택을 통해 수직으로 연장되는 메모리 개구들을 형성하는 단계, 각각의 메모리 개구 내에 메모리 필름 및 게이트 전극을 형성하는 단계 - 메모리 필름 및 게이트 전극은 교번하는 스택의 도핑된 반도체 소스 층들 및 도핑된 반도체 드레인 층들 각각을 통해 수직으로 연장됨 -, 및 메모리 필름 및 게이트 전극의 형성 이전에, 또는 그 후에 도핑된 반도체 소스 층들 중 일정 도핑된 반도체 소스 층 및 도핑된 반도체 드레인 층들 중 일정 도핑된 반도체 드레인 층의 각자의 수직으로 이웃하는 쌍의 수평 표면들 상에 반도체 채널들의 수직 스택을 형성하는 단계 - 반도체 채널들의 각각의 수직 스택은 각자의 메모리 필름 및 각자의 게이트 전극을 측방향으로 둘러쌈-를 포함한다.According to another aspect of the present invention, a method of forming a three-dimensional memory device comprises forming an alternating stack of doped semiconductor source layers and doped semiconductor drain layers over a substrate, a memory opening extending vertically through the alternating stack. forming a memory film and a gate electrode in each memory opening, the memory film and the gate electrode extending vertically through each of the doped semiconductor source layers and the doped semiconductor drain layers of the alternating stack; and respective vertically neighboring pairs of horizontal surfaces of a doped one of the doped semiconductor source layers and a doped one of the doped semiconductor drain layers before or after formation of the memory film and the gate electrode forming a vertical stack of semiconductor channels thereon, each vertical stack of semiconductor channels laterally surrounding a respective memory film and a respective gate electrode.

도 1은 본 발명의 제1 실시예에 따른 기판 위의 소스 희생 재료 층, 도핑된 반도체 소스 층, 채널 레벨 절연 층, 도핑된 반도체 드레인 층, 드레인 희생 재료 층, 및 트랜지스터간 레벨 절연 층을 포함하는 단위 층 스택의 다수의 인스턴스들의 형성 후의 제1 예시적인 구조물의 개략적인 수직 단면도이다.
도 2는 본 발명의 제1 실시예에 따른 스텝형 표면(stepped surface)들의 형성 후의 제1 예시적인 구조물의 개략적인 수직 단면도이다.
도 3a는 본 발명의 제1 실시예에 따른 역-스텝형(retro-stepped) 유전체 재료 부분들의 형성 후의 제1 예시적인 구조물의 개략적인 수직 단면도이다.
도 3b는 도 3a의 제1 예시적인 구조물의 평면도이다. 수직 평면 A-A'는 도 3a의 단면의 평면이다.
도 4는 본 발명의 제1 실시예에 따른 메모리 개구 충전 구조물들의 형성 후의 제1 예시적인 구조물의 개략적인 수직 단면도이다.
도 5는 본 발명의 제1 실시예에 따른 콘택 패드 구조물들의 형성 후의 제1 예시적인 구조물의 개략적인 수직 단면도이다.
도 6a는 본 발명의 제1 실시예에 따른 백사이드 트렌치(backside trench)의 형성 후의 제1 예시적인 구조물의 개략적인 수직 단면도이다.
도 6b는 도 6a의 제1 예시적인 구조물의 평면도이다. 수직 평면 A-A'는 도 6a의 단면의 평면이다.
도 7은 본 발명의 제1 실시예에 따른 소스 레벨 백사이드 리세스(backside recess)들 및 드레인 레벨 리세스들의 형성 후의 제1 예시적인 구조물의 개략적인 수직 단면도이다.
도 8은 본 발명의 제1 실시예에 따른 금속 소스 층들, 금속 드레인 층들, 및 백사이드 트렌치 충전 구조물의 형성 후의 제1 예시적인 구조물의 개략적인 수직 단면도이다.
도 9a는 본 발명의 제1 실시예에 따른 콘택 비아 구조물(contact via structure)들의 형성 후의 제1 예시적인 구조물의 개략적인 수직 단면도이다. 도 9b는 도 9a의 제1 예시적인 구조물의 부분 투시 평면도이다. 수직 평면 A-A'는 도 9a의 단면의 평면이다.
도 10은 본 발명의 제2 실시예에 따른 기판 위의 소스 희생 재료 층, 도핑된 반도체 소스 층, 절연 층, 도핑된 반도체 드레인 층, 드레인 희생 재료 층, 및 트랜지스터간 레벨 희생 재료 층을 포함하는 단위 층 스택의 다수의 인스턴스들의 형성 후의 제2 예시적인 구조물의 개략적 수직 단면도이다.
도 11은 본 발명의 제2 실시예에 따른 스텝형 표면들의 형성 후의 제2 예시적인 구조물의 개략적인 수직 단면도이다.
도 12a는 본 발명의 제2 실시예에 따른 역-스텝형 유전체 재료 부분들 및 메모리 개구들의 어레이의 형성 후의 제2 예시적인 구조물의 개략적인 수직 단면도이다.
도 12b는 도 12a의 제2 예시적인 구조물의 평면도이다. 수직 평면 A-A'는 도 12a의 단면의 평면이다.
도 13은 본 발명의 제2 실시예에 따른 메모리 개구 충전 구조물들의 형성 후의 제2 예시적인 구조물의 개략적인 수직 단면도이다.
도 14는 본 발명의 제2 실시예에 따른 콘택 패드 구조물들의 형성 후의 제2 예시적인 구조물의 개략적인 수직 단면도이다.
도 15a는 본 발명의 제2 실시예에 따른 백사이드 트렌치의 형성 후의 제2 예시적인 구조물의 개략적인 수직 단면도이다.
도 15b는 도 15a의 제2 예시적인 구조물의 평면도이다. 수직 평면 A-A'는 도 15a의 단면의 평면이다.
도 16은 본 발명의 제2 실시예에 따른 소스 레벨 백사이드 리세스들 및 드레인 레벨 백사이드 리세스들의 형성 후의 제2 예시적인 구조물의 개략적인 수직 단면도이다.
도 17은 본 발명의 제2 실시예에 따른 금속 소스 층들 및 금속 드레인 층들의 형성 후의 제2 예시적인 구조물의 개략적인 수직 단면도이다.
도 18은 본 발명의 제2 실시예에 따른 트랜지스터간 백사이드 리세스들의 형성 후의 제2 예시적인 구조물의 수직 단면도이다.
도 19는 본 발명의 제2 실시예에 따른, 각각의 연속적 반도체 채널 층을 서로 수직으로 이격되는 개별 반도체 채널들의 세트로 분할한 후의 제2 예시적인 구조물의 수직 단면도이다.
도 20은 본 발명의 제2 실시예에 따른, 트랜지스터간 백사이드 리세스들 내의 대체 절연 층(replacement insulating layer)의 침착 및 백사이드 트렌치 충전 구조물의 형성 후의 제2 예시적인 구조물의 수직 단면도이다.
도 21은 본 발명의 제2 실시예에 따른 콘택 비아 구조물들의 형성 후의 제2 예시적인 구조물의 개략적인 수직 단면도이다.
도 22는 본 발명의 제3 실시예에 따른 기판 위의 소스 희생 재료 층, 도핑된 반도체 소스 층, 채널 레벨 절연 층, 도핑된 반도체 드레인 층, 드레인 희생 재료 층, 및 트랜지스터간 레벨 절연 층을 포함하는 단위 층 스택의 다수의 인스턴스들의 형성 후의 제3 예시적인 구조물의 개략적 수직 단면도이다.
도 23은 본 발명의 제3 실시예에 따른 스텝형 표면들의 형성 후의 제3 예시적인 구조물의 개략적인 수직 단면도이다.
도 24a는 본 발명의 제3 실시예에 따른 역-스텝형 유전체 재료 부분들 및 메모리 개구들의 어레이의 형성 후의 제3 예시적인 구조물의 개략적인 수직 단면도이다.
도 24b는 도 24a의 제3 예시적인 구조물의 평면도이다. 수직 평면 A-A'는 도 24a의 단면의 평면이다.
도 25는 본 발명의 제3 실시예에 따른, 채널 레벨 절연 층들의 각각의 레벨에서의 환상 공동(annular cavity)들의 형성 후의 제3 예시적인 구조물의 개략적인 수직 단면도이다.
도 26은 본 발명의 제3 실시예에 따른 개별 반도체 채널들의 수직 스택들의 형성 후의 제3 예시적인 구조물의 개략적인 수직 단면도이다.
도 27은 본 발명의 제3 실시예에 따른 메모리 개구 충전 구조물들의 형성 후의 제3 예시적인 구조물의 개략적인 수직 단면도이다.
도 28은 본 발명의 제3 실시예에 따른 콘택 패드 구조물들의 형성 후의 제3 예시적인 구조물의 개략적인 수직 단면도이다.
도 29a는 본 발명의 제3 실시예에 따른 백사이드 트렌치의 형성 후의 제3 예시적인 구조물의 개략적인 수직 단면도이다.
도 29b는 도 29a의 제3 예시적인 구조물의 평면도이다. 수직 평면 A-A'는 도 29a의 단면의 평면이다.
도 30은 본 발명의 제3 실시예에 따른 소스 레벨 백사이드 리세스들 및 드레인 레벨 리세스들의 형성 후의 제3 예시적인 구조물의 개략적인 수직 단면도이다.
도 31은 본 발명의 제3 실시예에 따른 금속 소스 층들, 금속 드레인 층들, 및 백사이드 트렌치 충전 구조물의 형성 후의 제3 예시적인 구조물의 개략적인 수직 단면도이다.
도 32는 본 발명의 제3 실시예에 따른 콘택 비아 구조물들의 형성 후의 제3 예시적인 구조물의 개략적인 수직 단면도이다.
도 33은 본 발명의 제4 실시예에 따라 기판 위에 소스 희생 재료 층, 도핑된 반도체 소스 층, 채널 레벨 희생 재료 층, 도핑된 반도체 드레인 층, 드레인 희생 재료 층, 및 트랜지스터간 레벨 절연 층을 포함하는 단위 층 스택의 다수의 인스턴스들의 형성 후의 제4 예시적인 구조물의 개략적 수직 단면도이다.
도 34는 본 발명의 제4 실시예에 따른 스텝형 표면들의 형성 후의 제4 예시적인 구조물의 개략적인 수직 단면도이다.
도 35a는 본 발명의 제4 실시예에 따른 역-스텝형 유전체 재료 부분들 및 메모리 개구들의 어레이의 형성 후의 제4 예시적인 구조물의 개략적인 수직 단면도이다.
도 35b는 도 35a의 제4 예시적인 구조물의 평면도이다. 수직 평면 A-A'는 도 35a의 단면의 평면이다.
도 36은 본 발명의 제4 실시예에 따른 메모리 개구 충전 구조물들의 형성 후의 제4 예시적인 구조물의 개략적인 수직 단면도이다.
도 37은 본 발명의 제4 실시예에 따른 콘택 패드 구조물들의 형성 후의 제4 예시적인 구조물의 개략적인 수직 단면도이다.
도 38a는 본 발명의 제4 실시예에 따른 백사이드 트렌치의 형성 후의 제4 예시적인 구조물의 개략적인 수직 단면도이다.
도 38b는 도 38a의 제4 예시적인 구조물의 평면도이다. 수직 평면 A-A'는 도 38a의 단면의 평면이다.
도 39는 본 발명의 제4 실시예에 따른 소스 레벨 백사이드 리세스들 및 드레인 레벨 리세스들의 형성 후의 제4 예시적인 구조물의 개략적인 수직 단면도이다.
도 40은 본 발명의 제4 실시예에 따른 금속 소스 층들, 금속 드레인 층들, 및 백사이드 트렌치 충전 구조물의 형성 후의 제4 예시적인 구조물의 개략적인 수직 단면도이다.
도 41은 본 발명의 제4 실시예에 따른 채널 레벨 희생 재료 층들의 제거에 의한 채널 레벨 백사이드 리세스들의 형성 후의 제4 예시적인 구조물의 개략적인 수직 단면도이다.
도 42는 본 발명의 제4 실시예에 따른 반도체 채널 재료 층들의 형성 후의 제4 예시적인 구조물의 개략적인 수직 단면도이다.
도 43은 본 발명의 제4 실시예에 따른 채널 레벨 절연 층들 및 백사이드 트렌치 충전 구조물들의 형성 후의 제4 예시적인 구조물의 개략적인 수직 단면도이다.
도 44는 본 발명의 제4 실시예에 따른 콘택 비아 구조물들의 형성 후의 제4 예시적인 구조물의 개략적인 수직 단면도이다.
도 45는 본 발명의 제4 실시예에 따른 도 34의 공정 단계들에 대응하는 공정 단계에서 소스 희생 층들 및 드레인 희생 층들의 생략에 의해 형성되는 제4 예시적인 구조물의 대안적인 실시예의 개략적인 수직 단면도이다.
도 46은 본 발명의 제4 실시예에 따른 도 37의 공정 단계들에 대응하는 공정 단계에서 제4 예시적인 구조물의 대안적인 실시예의 개략적인 수직 단면도이다.
도 47은 본 발명의 제4 실시예에 따른 도 44의 공정 단계들에 대응하는 공정 단계에서 제4 예시적인 구조물의 대안적인 실시예의 개략적인 수직 단면도이다.
도 48은 본 발명의 다양한 실시예들에 따른 3차원 메모리 디바이스의 개략적 회로이다.
1 includes a source sacrificial material layer, a doped semiconductor source layer, a channel level insulating layer, a doped semiconductor drain layer, a drain sacrificial material layer, and an inter-transistor level insulating layer over a substrate according to a first embodiment of the present invention; is a schematic vertical cross-sectional view of a first exemplary structure after formation of multiple instances of a unit layer stack.
2 is a schematic vertical cross-sectional view of a first exemplary structure after formation of stepped surfaces according to a first embodiment of the present invention;
3A is a schematic vertical cross-sectional view of a first exemplary structure after formation of retro-stepped portions of dielectric material in accordance with a first embodiment of the present invention;
3B is a top view of the first exemplary structure of FIG. 3A ; The vertical plane A-A' is the plane of the cross-section in FIG. 3A .
4 is a schematic vertical cross-sectional view of a first exemplary structure after formation of memory aperture filling structures in accordance with a first embodiment of the present invention.
5 is a schematic vertical cross-sectional view of a first exemplary structure after formation of contact pad structures in accordance with a first embodiment of the present invention.
6A is a schematic vertical cross-sectional view of a first exemplary structure after formation of a backside trench in accordance with a first embodiment of the present invention.
6B is a top view of the first exemplary structure of FIG. 6A . The vertical plane A-A' is the plane of the cross-section in FIG. 6A .
7 is a schematic vertical cross-sectional view of a first exemplary structure after formation of source level backside recesses and drain level recesses in accordance with a first embodiment of the present invention.
8 is a schematic vertical cross-sectional view of a first exemplary structure after formation of metal source layers, metal drain layers, and a backside trench fill structure in accordance with a first embodiment of the present invention.
9A is a schematic vertical cross-sectional view of a first exemplary structure after formation of contact via structures in accordance with a first embodiment of the present invention. 9B is a partial perspective top view of the first exemplary structure of FIG. 9A . The vertical plane A-A' is the plane of the cross-section in FIG. 9A.
10 is a diagram comprising a source sacrificial material layer, a doped semiconductor source layer, an insulating layer, a doped semiconductor drain layer, a drain sacrificial material layer, and an inter-transistor level sacrificial material layer over a substrate in accordance with a second embodiment of the present invention; A schematic vertical cross-sectional view of a second exemplary structure after formation of multiple instances of a unit layer stack.
11 is a schematic vertical cross-sectional view of a second exemplary structure after formation of stepped surfaces according to a second embodiment of the present invention;
12A is a schematic vertical cross-sectional view of a second exemplary structure after formation of an array of reverse-stepped dielectric material portions and memory openings in accordance with a second embodiment of the present invention.
12B is a top view of the second exemplary structure of FIG. 12A . The vertical plane A-A' is the plane of the cross-section in Fig. 12A.
13 is a schematic vertical cross-sectional view of a second exemplary structure after formation of memory aperture filling structures in accordance with a second embodiment of the present invention.
14 is a schematic vertical cross-sectional view of a second exemplary structure after formation of contact pad structures in accordance with a second embodiment of the present invention.
15A is a schematic vertical cross-sectional view of a second exemplary structure after formation of a backside trench in accordance with a second embodiment of the present invention.
15B is a top view of the second exemplary structure of FIG. 15A . The vertical plane A-A' is the plane of the cross-section in Fig. 15A.
16 is a schematic vertical cross-sectional view of a second exemplary structure after formation of source level backside recesses and drain level backside recesses in accordance with a second embodiment of the present invention.
17 is a schematic vertical cross-sectional view of a second exemplary structure after formation of metal source layers and metal drain layers in accordance with a second embodiment of the present invention.
18 is a vertical cross-sectional view of a second exemplary structure after formation of inter-transistor backside recesses in accordance with a second embodiment of the present invention.
19 is a vertical cross-sectional view of a second exemplary structure after dividing each successive semiconductor channel layer into a set of individual semiconductor channels that are vertically spaced apart from each other, in accordance with a second embodiment of the present invention.
20 is a vertical cross-sectional view of a second exemplary structure after deposition of a replacement insulating layer in inter-transistor backside recesses and formation of a backside trench filling structure, in accordance with a second embodiment of the present invention;
21 is a schematic vertical cross-sectional view of a second exemplary structure after formation of contact via structures in accordance with a second embodiment of the present invention.
22 includes a source sacrificial material layer, a doped semiconductor source layer, a channel level insulating layer, a doped semiconductor drain layer, a drain sacrificial material layer, and an inter-transistor level insulating layer over a substrate according to a third embodiment of the present invention. is a schematic vertical cross-sectional view of a third exemplary structure after formation of multiple instances of a unit layer stack.
23 is a schematic vertical cross-sectional view of a third exemplary structure after formation of stepped surfaces according to a third embodiment of the present invention;
24A is a schematic vertical cross-sectional view of a third exemplary structure after formation of an array of reverse-stepped dielectric material portions and memory openings in accordance with a third embodiment of the present invention.
24B is a top view of the third exemplary structure of FIG. 24A ; The vertical plane A-A' is the plane of the cross-section in Fig. 24A.
25 is a schematic vertical cross-sectional view of a third exemplary structure after formation of annular cavities at each level of channel level insulating layers, in accordance with a third embodiment of the present invention.
26 is a schematic vertical cross-sectional view of a third exemplary structure after formation of vertical stacks of individual semiconductor channels in accordance with a third embodiment of the present invention.
27 is a schematic vertical cross-sectional view of a third exemplary structure after formation of memory aperture filling structures in accordance with a third embodiment of the present invention.
28 is a schematic vertical cross-sectional view of a third exemplary structure after formation of contact pad structures in accordance with a third embodiment of the present invention.
29A is a schematic vertical cross-sectional view of a third exemplary structure after formation of a backside trench in accordance with a third embodiment of the present invention.
29B is a top view of the third exemplary structure of FIG. 29A . The vertical plane A-A' is the plane of the cross-section in Fig. 29A.
30 is a schematic vertical cross-sectional view of a third exemplary structure after formation of source level backside recesses and drain level recesses in accordance with a third embodiment of the present invention.
31 is a schematic vertical cross-sectional view of a third exemplary structure after formation of metal source layers, metal drain layers, and backside trench fill structure in accordance with a third embodiment of the present invention.
32 is a schematic vertical cross-sectional view of a third exemplary structure after formation of contact via structures in accordance with a third embodiment of the present invention.
33 includes a source sacrificial material layer, a doped semiconductor source layer, a channel level sacrificial material layer, a doped semiconductor drain layer, a drain sacrificial material layer, and an inter-transistor level insulating layer over a substrate in accordance with a fourth embodiment of the present invention. is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of multiple instances of a unit layer stack.
34 is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of stepped surfaces according to a fourth embodiment of the present invention;
35A is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of an array of reverse-stepped dielectric material portions and memory openings in accordance with a fourth embodiment of the present invention.
35B is a top view of the fourth exemplary structure of FIG. 35A . The vertical plane A-A' is the plane of the cross-section in Fig. 35A.
36 is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of memory aperture filling structures in accordance with a fourth embodiment of the present invention.
37 is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of contact pad structures in accordance with a fourth embodiment of the present invention.
38A is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of a backside trench according to a fourth embodiment of the present invention;
38B is a top view of the fourth exemplary structure of FIG. 38A . The vertical plane A-A' is the plane of the cross section of FIG. 38A.
39 is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of source level backside recesses and drain level recesses in accordance with a fourth embodiment of the present invention.
40 is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of metal source layers, metal drain layers, and backside trench fill structure in accordance with a fourth embodiment of the present invention.
41 is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of channel level backside recesses by removal of channel level sacrificial material layers in accordance with a fourth embodiment of the present invention.
42 is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of layers of semiconductor channel material in accordance with a fourth embodiment of the present invention.
43 is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of channel level insulating layers and backside trench fill structures in accordance with a fourth embodiment of the present invention.
44 is a schematic vertical cross-sectional view of a fourth exemplary structure after formation of contact via structures in accordance with a fourth embodiment of the present invention.
45 is a schematic vertical view of an alternative embodiment of a fourth exemplary structure formed by omission of source sacrificial layers and drain sacrificial layers in a process step corresponding to the process steps of FIG. 34 in accordance with a fourth embodiment of the present invention; It is a cross section.
Figure 46 is a schematic vertical cross-sectional view of an alternative embodiment of a fourth exemplary structure in a process step corresponding to the process steps of Figure 37 in accordance with a fourth embodiment of the present invention;
Figure 47 is a schematic vertical cross-sectional view of an alternative embodiment of a fourth exemplary structure in a process step corresponding to the process steps of Figure 44 according to a fourth embodiment of the present invention;
48 is a schematic circuit diagram of a three-dimensional memory device in accordance with various embodiments of the present invention.

위에서 논의된 바와 같이, 본 발명의 실시예들은 수평 소스 라인들, 소스 층들, 드레인 층들 및 비트 라인들의 교번하는 스택, 및 교번하는 스택을 통해 연장되는 반도체 채널 및 메모리 필름에 의해 둘러싸인 수직 게이트 전극들을 포함하는 3차원 메모리 디바이스들, 및 그 제조 방법들에 관한 것이고, 이들의 다양한 태양들이 이하에 기술된다. 본 발명의 실시예들의 3차원 메모리 디바이스들은 소스 라인들, 드레인 라인들, 및 워드 라인들에 더 간단한 전기적 접속을 제공한다. 반도체 채널 폭은 그러한 디바이스들에서 증가될 수 있고, 이는 더 타이트한 임계 전압 분포를 제공하고 더 빠른 메모리 속도를 위해 더 높은 셀 전류의 사용을 허용한다. 일부 실시예들은 인접한 반도체 채널들 사이의 전기적 격리를 추가로 제공한다.As discussed above, embodiments of the present invention provide an alternating stack of horizontal source lines, source layers, drain layers and bit lines, and vertical gate electrodes surrounded by a semiconductor channel and memory film extending through the alternating stack. three-dimensional memory devices comprising, and methods of manufacturing the same, various aspects of which are described below The three-dimensional memory devices of embodiments of the present invention provide simpler electrical connections to source lines, drain lines, and word lines. The semiconductor channel width can be increased in such devices, which provides a tighter threshold voltage distribution and allows the use of higher cell currents for faster memory speeds. Some embodiments further provide electrical isolation between adjacent semiconductor channels.

도면은 축척대로 도시되지 않는다. 요소들의 중복의 부재가 명백히 기술되거나 명확하게 달리 지시되지 않는 한, 요소의 단일 인스턴스가 예시되는 경우 요소의 다수의 인스턴스들이 중복될 수 있다. "제1", "제2", 및 "제3" 과 같은 서수들은 단지 유사한 요소들을 식별하는 데에 채용되며, 상이한 서수들이 본 발명의 명세서 및 청구범위에 전반에 걸쳐 채용될 수 있다. 동일한 도면 부호들은 동일한 요소 또는 유사한 요소를 지칭한다. 달리 지시되지 않는 한, 동일한 도면 부호들을 갖는 요소들은 동일한 조성 및 동일한 기능을 갖는 것으로 추정된다. 달리 지시되지 않는 한, 요소들 사이의 "접촉"은 요소들에 의해 공유되는 에지 또는 표면을 제공하는 요소들 사이의 직접 접촉을 지칭한다. 본 명세서에 사용되는 바와 같이, 제2 요소 "상에" 위치된 제1 요소는 제2 요소의 표면의 외부 면 상에 또는 제2 요소의 내부 면 상에 위치될 수 있다. 본 명세서에 사용되는 바와 같이, 제1 요소의 표면과 제2 요소의 표면 사이에 물리적 접촉이 존재하는 경우, 제1 요소는 제2 요소 "상에 직접" 위치된다. 본 명세서에 사용되는 바와 같이, 제1 요소와 제2 요소 사이에 적어도 하나의 전도성 재료로 이루어진 전도성 경로가 존재하는 경우, 제1 요소는 제2 요소에 "전기적으로 접속된다". 본 명세서에 사용되는 바와 같이, "프로토타입(prototype)" 구조물 또는 "공정중(in-process)" 구조물은, 그 안의 적어도 하나의 컴포넌트의 형상 또는 조성이 후속적으로 변형되는 일시적인 구조물을 지칭한다.The drawings are not drawn to scale. Multiple instances of an element may overlap when a single instance of an element is illustrated, unless the absence of overlapping elements is explicitly stated or clearly indicated otherwise. Ordinal numbers such as “first,” “second,” and “third” are merely employed to identify similar elements, and different ordinal numbers may be employed throughout the present specification and claims. Like reference numerals refer to like elements or like elements. Unless otherwise indicated, elements having the same reference numerals are assumed to have the same composition and the same function. Unless otherwise indicated, “contact” between elements refers to direct contact between elements that provides an edge or surface shared by the elements. As used herein, a first element positioned “on” a second element may be positioned on the outer surface of the surface of the second element or on the inner face of the second element. As used herein, a first element is positioned “directly on” a second element when there is physical contact between the surface of the first element and the surface of the second element. As used herein, a first element is "electrically connected" to a second element when there is a conductive path made of at least one conductive material between the first element and the second element. As used herein, a “prototype” structure or “in-process” structure refers to a temporary structure in which the shape or composition of at least one component therein is subsequently modified. .

본 명세서에 사용되는 바와 같이, "층"은 두께를 갖는 영역을 포함하는 재료 부분을 지칭한다. 층은 아래에 놓인(underlying) 또는 위에 놓인(overlying) 구조물의 전체에 걸쳐 연장될 수 있거나, 아래에 놓인 또는 위에 놓인 구조물의 범위보다 작은 범위를 가질 수 있다. 또한, 층은 연속적인 구조물의 두께보다 작은 두께를 갖는 균질한 또는 불균질한 연속적인 구조물의 영역일 수 있다. 예를 들어, 층은 연속적인 구조물의 최상단 표면과 하단 표면에 있는 또는 그들 사이에 있는 임의의 쌍의 수평 평면들 사이에 위치될 수 있다. 층은 수평으로, 수직으로, 그리고/또는 테이퍼진 표면을 따라 연장될 수 있다. 기판은 하나의 층일 수 있거나, 그 내부에 하나 이상의 층들을 포함할 수 있거나, 그 상에, 그 위에, 그리고/또는 그 아래에 하나 이상의 층들을 가질 수 있다.As used herein, “layer” refers to a portion of a material comprising a region having a thickness. A layer may extend over the entirety of an underlying or overlying structure, or may have an extent that is less than the extent of the underlying or overlying structure. Also, the layer may be a region of a continuous structure that is homogeneous or heterogeneous with a thickness less than the thickness of the continuous structure. For example, the layer may be positioned between any pair of horizontal planes at or between the top and bottom surfaces of the continuous structure. The layer may extend horizontally, vertically, and/or along a tapered surface. The substrate may be one layer, may include one or more layers therein, or may have one or more layers thereon, above, and/or below.

본 명세서에서 사용되는 바와 같이, 제2 표면이 제1 표면 위에 놓이거나 또는 아래에 놓이는 경우, 그리고 제1 표면 및 제2 표면을 포함하는 수직 평면 또는 실질적으로 수직 평면이 존재하는 경우, 제1 표면 및 제2 표면은 서로 "수직으로 일치"한다. 실질적으로 수직 평면은 수직 방향으로부터 5 도 미만의 각도만큼 벗어나는 방향을 따라 곧게 연장되는 평면이다. 수직 평면 또는 실질적으로 수직인 평면은 수직 방향 또는 실질적으로 수직 방향을 따라 직선형이고, 수직 방향 또는 실질적으로 수직 방향에 수직인 방향을 따른 곡률(curvature)을 포함할 수 있거나 포함하지 않을 수 있다.As used herein, a first surface when a second surface overlies or overlies a first surface, and when there is a vertical or substantially vertical plane comprising the first surface and the second surface. and the second surfaces “perpendicularly coincide” with each other. A substantially vertical plane is a plane extending straight along a direction deviating from the vertical direction by an angle of less than 5 degrees. A vertical or substantially vertical plane is straight along a vertical or substantially vertical direction, and may or may not include a curvature along a vertical or substantially vertical direction.

모놀리식 3차원 메모리 어레이는, 개재하는 기판들 없이 반도체 웨이퍼와 같은 단일 기판 위에 다수의 메모리 레벨들이 형성되는 메모리 어레이이다. 용어 "모놀리식"은 어레이의 각각의 레벨의 층들이 어레이의 각각의 아래에 놓인 레벨의 층들 상에 직접 침착된다는 것을 의미한다. 대조적으로, 2차원 어레이들은 별도로 형성되고 이어서 함께 패키징되어 비-모놀리식 메모리 디바이스를 형성할 수 있다. 예를 들어, 발명의 명칭이 "Three-dimensional Structure Memory"인 미국 특허 제5,915,167호에 기술된 바와 같이, 별개의 기판들 상에 메모리 레벨들을 형성하고 메모리 레벨들을 수직으로 적층함으로써 비-모놀리식 스택형(stacked) 메모리들이 구성되었다. 기판들은 접합 이전에 메모리 레벨들로부터 박화되거나 제거될 수 있지만, 메모리 레벨들은 초기에 별개의 기판들 위에 형성되기 때문에, 그러한 메모리들은 진정한 모놀리식 3차원 메모리 어레이들이 아니다. 본 발명의 다양한 3차원 메모리 디바이스들은 모놀리식 3차원 NAND 스트링 메모리 디바이스를 포함하며, 본 명세서에 기술된 다양한 실시예들을 채용하여 제조될 수 있다.A monolithic three-dimensional memory array is a memory array in which multiple memory levels are formed on a single substrate, such as a semiconductor wafer, without intervening substrates. The term “monolithic” means that the layers of each level of the array are deposited directly on the layers of each underlying level of the array. In contrast, two-dimensional arrays can be formed separately and then packaged together to form a non-monolithic memory device. For example, as described in U.S. Patent No. 5,915,167 entitled "Three-dimensional Structure Memory," non-monolithic by forming memory levels on separate substrates and stacking the memory levels vertically. Stacked memories have been constructed. Substrates may be thinned or removed from the memory levels prior to bonding, but since the memory levels are initially formed over separate substrates, such memories are not truly monolithic three-dimensional memory arrays. Various three-dimensional memory devices of the present invention include monolithic three-dimensional NAND string memory devices, and may be fabricated employing various embodiments described herein.

도 1을 참조하면, 본 발명의 제1 실시예에 따른 제1 예시적인 구조물이 예시되는데, 이는 기판(9) 위에 최하단 절연 층(32B)을 형성함으로써, 그리고 최하단 절연 층(32B) 위에 선택적 소스 희생 재료 층(42S), 도핑된 반도체 소스 층(24), 채널 레벨 절연 층(32C)(이는, 제1 절연 층으로도 지칭됨), 도핑된 반도체 드레인 층(26), 선택적 드레인 희생 재료 층(42D), 및 트랜지스터간 레벨 절연 층(321)(이는, 제2 절연 층으로도 지칭됨)을 포함하는 단위 층 스택의 다수의 인스턴스들을 형성함으로써 형성될 수 있다. 대안적인 구성에서, 선택적 소스 희생 재료 층들(42S) 및/또는 선택적 드레인 희생 재료 층(42D) 중 하나 또는 둘 모두가 생략될 수 있다. 본 명세서에 사용된 바와 같이, "단위 층 스택"은 층 스택의 다수의 인스턴스들이 반복되는 구조물 내에서 반복의 단위로서 기능하는 다수의 층들의 층 스택을 지칭한다. 최상단 절연 층(32T)이 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 최상단 인스턴스에 대한 트랜지스터간 레벨 절연 층(321) 대신에 형성될 수 있다. 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 반복들의 총 횟수는 후속적으로 형성될 수직 전계 효과 트랜지스터들의 레벨들의 총 수와 동일할 수 있는데, 이는 후속적으로 형성될 메모리 요소들의 레벨들의 총 수와 동일할 수 있다. 본 명세서에 사용되는 바와 같이, "레벨"은 디바이스의 요소의 상단 표면을 포함하는 수평 평면과 디바이스의 요소의 하단 표면을 포함하는 수평 평면 사이에 위치된 디바이스의 체적을 지칭한다.Referring to FIG. 1 , a first exemplary structure is illustrated according to a first embodiment of the present invention, by forming a lowermost insulating layer 32B over a substrate 9 and an optional source over the lowermost insulating layer 32B. Sacrificial material layer 42S, doped semiconductor source layer 24, channel level insulating layer 32C (also referred to as first insulating layer), doped semiconductor drain layer 26, optional drain sacrificial material layer 42D, and an inter-transistor level insulating layer 321 (also referred to as a second insulating layer) by forming multiple instances of a unit layer stack. In an alternative configuration, one or both of the optional source sacrificial material layers 42S and/or the optional drain sacrificial material layer 42D may be omitted. As used herein, “unit layer stack” refers to a layer stack of multiple layers that functions as a unit of repetition within a structure in which multiple instances of the layer stack are repeated. A topmost insulating layer 32T may be formed in place of the inter-transistor level insulating layer 321 for the top instances of the unit layer stacks 42S, 24, 32C, 26, 42D, 321. The total number of iterations of the unit layer stack 42S, 24, 32C, 26, 42D, 321 may be equal to the total number of levels of vertical field effect transistors to be subsequently formed, which is the memory element to be subsequently formed. may be equal to the total number of levels of As used herein, “level” refers to the volume of a device located between a horizontal plane containing the top surface of an element of the device and a horizontal plane containing the bottom surface of an element of the device.

따라서, 채널 레벨 절연 층(32C)은 후속적으로 형성될 반도체 채널의 상단 표면을 포함하는 수평 평면과 후속적으로 형성될 반도체 채널의 하단 표면을 포함하는 수평 평면 사이에 형성되는 절연 층이다. 트랜지스터간 레벨 절연 층(321)은 후속적으로 형성될 트랜지스터간 갭의 상단 표면을 포함하는 수평 평면과 후속적으로 형성될 트랜지스터간 갭의 하단 표면을 포함하는 수평 평면 사이에 형성되는 절연 층이다. 단위 층 스택의 다수의 인스턴스들에서 단위 층 스택의 반복들의 횟수는 2 내지 1024, 예컨대 8 내지 128의 범위일 수 있지만, 더 적은 그리고 더 큰 횟수의 반복들이 또한 채용될 수 있다.Accordingly, the channel level insulating layer 32C is an insulating layer formed between a horizontal plane including a top surface of a semiconductor channel to be subsequently formed and a horizontal plane including a bottom surface of a semiconductor channel to be subsequently formed. The inter-transistor level insulating layer 321 is an insulating layer formed between a horizontal plane including a top surface of an inter-transistor gap to be subsequently formed and a horizontal plane including a bottom surface of an inter-transistor gap to be formed subsequently. The number of repetitions of the unit layer stack in multiple instances of the unit layer stack may range from 2 to 1024, such as 8 to 128, although fewer and greater numbers of repetitions may also be employed.

본 발명은, 단위 층 스택이 선택적 소스 희생 재료 층(42S), 도핑된 반도체 소스 층(24), 채널 레벨 절연 층(32C), 도핑된 반도체 드레인 층(26), 선택적 드레인 희생 재료 층(42D), 및 트랜지스터간 레벨 절연 층(321)이 하단으로부터 상단까지 배열되는 층 스택을 포함하는 실시예를 채용하는 것으로 기술되지만, 도 1에 도시된 것과 역순으로 상단으로부터 하단까지 층 스택이 배열되는 실시예가 명확히 고려된다. 대체적으로, 소스 요소들(42S, 24)의 포지션들은 드레인 요소들(42D, 26)의 포지션들과 교환될 수 있는데, 그 이유는 소스 영역들 및 드레인 영역들이 전계 효과 트랜지스터들에서 대칭적일 수 있기 때문이다.In the present invention, the unit layer stack comprises a selective source sacrificial material layer 42S, a doped semiconductor source layer 24, a channel level insulating layer 32C, a doped semiconductor drain layer 26, and a selective drain sacrificial material layer 42D. ), and an embodiment wherein the inter-transistor level insulation layer 321 is described as employing an embodiment comprising a layer stack arranged from bottom to top, but in which the layer stack is arranged from top to bottom in the reverse order as shown in FIG. 1 . Examples are clearly contemplated. Alternatively, the positions of the source elements 42S, 24 may be exchanged with the positions of the drain elements 42D, 26, since the source and drain regions may be symmetrical in field effect transistors. Because.

채널 레벨 절연 층들(32C), 트랜지스터간 레벨 절연 층들(321), 최하단 절연 층(32B), 및 최상단 절연 층들(32T)은 집합적으로 절연 층들(32)로 지칭된다. 절연 층들(32) 각각은 도핑된 실리케이트 유리, 도핑되지 않은 실리케이트 유리(예컨대, 실리콘 산화물), 또는 유기실리케이트 유리와 같은 각자의 절연 재료를 포함한다. 채널 레벨 절연 층들(32C)의 재료 조성은 트랜지스터간 절연 층들(321)의 재료 조성과 동일할 수 있거나 또는 그와 상이할 수 있다. 일 실시예에서, 절연 층들(32) 각각은 전체에 걸쳐 동일한 유전체 재료 조성을 갖는다. 대안적으로, 채널 레벨 절연 층들(32C)은 전체에 걸쳐 제1 유전체 재료 조성을 가질 수 있고, 트랜지스터간 레벨 절연 층들(321)은 제1 유전체 재료 조성과 상이한 제2 유전체 재료 조성을 가질 수 있다. 각각의 채널 레벨 절연 층(32C)의 두께는 5 nm 내지 50 nm의 범위일 수 있고, 각각의 트랜지스터간 레벨 절연 층들(321)의 두께는 5 nm 내지 50 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 채용될 수 있다.The channel level insulating layers 32C, the inter-transistor level insulating layers 321 , the bottommost insulating layer 32B, and the topmost insulating layers 32T are collectively referred to as the insulating layers 32 . Each of the insulating layers 32 includes a respective insulating material, such as doped silicate glass, undoped silicate glass (eg, silicon oxide), or organosilicate glass. The material composition of the channel level insulating layers 32C may be the same as or different from the material composition of the inter-transistor insulating layers 321 . In one embodiment, each of the insulating layers 32 has the same dielectric material composition throughout. Alternatively, the channel level insulating layers 32C may have a first dielectric material composition throughout, and the inter-transistor level insulating layers 321 may have a second dielectric material composition different from the first dielectric material composition. The thickness of each channel level insulating layer 32C may range from 5 nm to 50 nm, and the thickness of each of the inter-transistor level insulating layers 321 may range from 5 nm to 50 nm, but smaller and Larger thicknesses may also be employed.

도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)은 도핑된 폴리실리콘, 또는 후속적으로 어닐링되어 도핑된 폴리실리콘을 형성할 수 있는 도핑된 비정질 실리콘과 같은 도핑된 반도체 재료를 포함한다. 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 전도형(conductivity type)은 본 명세서에서 제1 전도형으로 지칭되는데, 이는 p 형 또는 n 형일 수 있다.Doped semiconductor source layers 24 and doped semiconductor drain layers 26 include doped polysilicon, or doped semiconductor material, such as doped amorphous silicon, which may be subsequently annealed to form doped polysilicon. do. The conductivity type of doped semiconductor source layers 24 and doped semiconductor drain layers 26 is referred to herein as a first conductivity type, which may be p-type or n-type.

본 명세서에 사용되는 바와 같이, "반도체성 재료"는 1.0 × 10-5 S/m 내지 1.0 × 105 S/m 범위의 전기 전도도를 갖는 재료를 지칭한다. 본 명세서에 사용되는 바와 같이, "반도체 재료"는 전기 도펀트들이 그 내부에 존재하지 않을 시에 1.0 × 10-5 S/m 내지 1.0 S/m 범위의 전기 전도도를 갖는 재료를 지칭하며, 전기 도펀트를 이용한 적합한 도핑 시에 1.0 S/m 내지 1.0 × 105 S/m 범위의 전기 전도도를 갖는 도핑된 재료를 생성할 수 있다. 본 명세서에 사용되는 바와 같이, "전기 도펀트"는 밴드 구조 내의 가전자대에 홀을 추가하는 p 형 도펀트, 또는 밴드 구조 내의 전도대에 전자를 추가하는 n 형 도펀트를 지칭한다. 본 명세서에 사용되는 바와 같이, "전도성 재료"는 1.0 × 105 S/m 초과인 전기 전도도를 갖는 재료를 지칭한다. 본 명세서에 사용되는 바와 같이, "절연체 재료" 또는 "유전체 재료"는 1.0 × 10-5 S/m 미만인 전기 전도도를 갖는 재료를 지칭한다. 본 명세서에 사용되는 바와 같이, "고농도로 도핑된 반도체 재료"는, 결정질 재료로서 형성된 바와 같이 또는 (예를 들어, 초기 비정질 상태로부터) 어닐링 공정을 통해 결정질 재료로 변환되는 경우 전도성 재료가 되도록, 즉 1.0 × 105 S/cm 초과인 전기 전도도를 갖도록, 충분히 높은 원자 농도에서 전기 도펀트로 도핑된 반도체 재료를 지칭한다. "도핑된 반도체 재료"는 고농도로 도핑된 반도체 재료일 수 있거나, 또는 1.0 × 10-5 S/m 내지 1.0 × 105 S/m 범위의 전기 전도도를 제공하는 농도에서의 전기 도펀트(즉, p 형 도펀트 및/또는 n 형 도펀트)를 포함하는 반도체 재료일 수 있다. "진성 반도체 재료"는 전기 도펀트로 도핑되지 않는 반도체 재료를 지칭한다. 따라서, 반도체 재료는 반도체성 또는 전도성일 수 있고, 진성 반도체 재료 또는 도핑된 반도체 재료일 수 있다. 도핑된 반도체 재료는 그 내부의 전기 도펀트의 원자 농도에 따라 반도체성 또는 전도성일 수 있다. 본 명세서에 사용되는 바와 같이, "금속 재료"는 적어도 하나의 금속 원소를 그 내부에 포함하는 전도성 재료를 지칭한다. 전기 전도도에 대한 모든 측정은 표준 조건에서 이루어진다.As used herein, “semiconducting material” refers to a material having an electrical conductivity in the range of 1.0×10 −5 S/m to 1.0×10 5 S/m. As used herein, “semiconductor material” refers to a material having an electrical conductivity in the range of 1.0×10 −5 S/m to 1.0 S/m in the absence of electrical dopants therein, wherein the electrical dopant A doped material having an electrical conductivity in the range of 1.0 S/m to 1.0×10 5 S/m can be produced upon suitable doping with As used herein, “electrical dopant” refers to a p-type dopant that adds holes to the valence band in the band structure, or an n-type dopant adds electrons to the conduction band in the band structure. As used herein, “conductive material” refers to a material having an electrical conductivity greater than 1.0×10 5 S/m. As used herein, “insulator material” or “dielectric material” refers to a material having an electrical conductivity of less than 1.0×10 −5 S/m. As used herein, "heavily doped semiconductor material" refers to a conductive material as formed as a crystalline material or when converted to a crystalline material via an annealing process (e.g., from an initial amorphous state); It refers to a semiconductor material doped with an electrical dopant at a sufficiently high atomic concentration to have an electrical conductivity greater than 1.0×10 5 S/cm. A “doped semiconductor material” may be a highly doped semiconductor material, or an electrical dopant (i.e., p) at a concentration that provides an electrical conductivity ranging from 1.0×10 −5 S/m to 1.0×10 5 S/m. type dopant and/or n-type dopant). “Intrinsic semiconductor material” refers to a semiconductor material that is not doped with an electrical dopant. Accordingly, the semiconductor material may be semiconducting or conductive, and may be an intrinsic semiconducting material or a doped semiconducting material. The doped semiconductor material may be semiconducting or conductive, depending on the atomic concentration of the electrical dopant therein. As used herein, "metallic material" refers to a conductive material comprising therein at least one metallic element. All measurements of electrical conductivity are made under standard conditions.

도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26) 내의 제1 전도형의 도펀트들의 원자 농도는 5.0 × 1019/㎤ 내지 2.0 × 1021/㎤ 범위일 수 있지만, 더 작은 그리고 더 큰 원자 농도들이 또한 채용될 수 있다. 각각의 도핑된 반도체 소스 층(24)의 두께는 5 nm 내지 50 nm의 범위일 수 있고, 각각의 도핑된 반도체 드레인 층(26)의 두께는 5 nm 내지 50 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 채용될 수 있다.The atomic concentration of dopants of the first conductivity type in doped semiconductor source layers 24 and doped semiconductor drain layers 26 may range from 5.0×10 19 /cm 3 to 2.0×10 21 /cm 3 , but with smaller and more Large atomic concentrations may also be employed. The thickness of each doped semiconductor source layer 24 may range from 5 nm to 50 nm, and the thickness of each doped semiconductor drain layer 26 may range from 5 nm to 50 nm, although smaller And larger thicknesses may also be employed.

소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)은 존재할 수 있거나 존재하지 않을 수 있는 선택적 층들이다. 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)은 절연 층들(32), 도핑된 반도체 소스 층들(24), 및 도핑된 반도체 드레인 층들(26)의 재료들에 대해 선택적으로 제거될 수 있는 재료를 포함한다. 예를 들어, 절연 층들(32)이 도핑된 실리케이트 유리, 도핑되지 않은 실리케이트 유리, 또는 유기실리케이트 유리를 포함하면, 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)은 실리콘 질화물, 도핑되지 않은 비정질 실리콘, 또는 실리콘 게르마늄 합금을 포함할 수 있다. 각각의 소스 희생 재료 층(42S)의 두께는 5 nm 내지 50 nm의 범위일 수 있고, 각각의 드레인 희생 재료 층(42D)의 두께는 5 nm 내지 50 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 채용될 수 있다.Source sacrificial material layers 42S and drain sacrificial material layers 42D are optional layers that may or may not be present. The source sacrificial material layers 42S and the drain sacrificial material layers 42D may be selectively removed with respect to the materials of the insulating layers 32 , the doped semiconductor source layers 24 , and the doped semiconductor drain layers 26 . includes materials that are For example, if insulating layers 32 include doped silicate glass, undoped silicate glass, or organosilicate glass, source sacrificial material layers 42S and drain sacrificial material layers 42D may be silicon nitride, undoped It may include non-amorphous silicon, or a silicon germanium alloy. The thickness of each source sacrificial material layer 42S may range from 5 nm to 50 nm, and the thickness of each drain sacrificial material layer 42D may range from 5 nm to 50 nm, although smaller and larger Large thicknesses may also be employed.

단위 층 스택의 다수의 인스턴스들은, 채널 레벨 절연 층(32C) 및 트랜지스터간 레벨 절연 층(321)의 제2 교번하는 스택과 인터레이싱되는 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 제1 교번하는 스택을 포함할 수 있다. 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)이 생략되는 경우에, 각각의 도핑된 반도체 소스 층(24)은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 소스 영역으로서 기능하는 소스 층을 구성하고, 도핑된 반도체 드레인 층(26)은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 드레인 영역으로서 기능하는 드레인 층을 구성한다. 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)이 포함되는 경우에, 도핑된 반도체 소스 층(24) 및 후속적으로 소스 희생 재료 층(42S)을 대체하는 금속 소스 층의 각각의 연속적인 조합은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 소스 영역으로서 기능하는 소스 층을 구성하고, 도핑된 반도체 드레인 층(26) 및 후속적으로 드레인 희생 재료 층(42D)을 대체하는 금속 드레인 층의 각각의 연속적인 조합은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 드레인 영역으로서 기능하는 드레인 층을 구성한다.Multiple instances of the unit layer stack include doped semiconductor source layers 24 and doped semiconductor drain layers 24 interlaced with a second alternating stack of channel level insulating layer 32C and inter-transistor level insulating layer 321 ( 26). When the source sacrificial material layers 42S and drain sacrificial material layers 42D are omitted, each doped semiconductor source layer 24 serves as a source region for a respective two-dimensional array of vertical field effect transistors. constituting the layer, the doped semiconductor drain layer 26 constitutes the drain layer which functions as the drain region for the respective two-dimensional array of vertical field effect transistors. When source sacrificial material layers 42S and drain sacrificial material layers 42D are included, each successive doped semiconductor source layer 24 and subsequently a metal source layer replacing the source sacrificial material layer 42S This combination constitutes a source layer that functions as a source region for a respective two-dimensional array of vertical field effect transistors, a metal drain displacing a doped semiconductor drain layer 26 and subsequently a drain sacrificial material layer 42D. Each successive combination of layers constitutes a drain layer that serves as a drain region for a respective two-dimensional array of vertical field effect transistors.

절연 층들(32C, 321)은 도핑된 반도체 소스 층들(24)의 각자의 도핑된 반도체 소스 층(24) 및 도핑된 반도체 드레인 층들(26)의 각자의 도핑된 반도체 드레인 층(26)의 각각의 수직으로 이웃하는 쌍 사이에 형성된다. 채널 레벨 절연 층들(32C)은 각자의 수직으로 이웃하는 쌍의 도핑된 반도체 소스 층(24)과 도핑된 반도체 드레인 층(26) 사이에 형성된다.Insulating layers 32C and 321 are each of doped semiconductor source layer 24 of doped semiconductor source layers 24 and respective doped semiconductor drain layer 26 of doped semiconductor drain layers 26 respectively. It is formed between pairs of vertically neighboring pairs. Channel level insulating layers 32C are formed between each vertically adjacent pair of doped semiconductor source layer 24 and doped semiconductor drain layer 26 .

일 실시예에서, 소스 레벨 희생 재료 층들(42S) 각각은 도핑된 반도체 소스 층들(24)의 각자의 도핑된 반도체 소스 층 아래에 형성되고, 드레인 레벨 희생 재료 층들(42D) 각각은 도핑된 반도체 드레인 층들(26)의 각자의 도핑된 반도체 드레인 층 위에 형성된다. 제1 예시적인 구조물은, 메모리 요소들의 3차원 어레이가 후속적으로 형성될 적어도 하나의 메모리 어레이 영역(100), 및 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들의 스텝형 표면들이 후속적으로 형성될 계단 영역들(200A, 200B)을 포함할 수 있다. 계단 영역들은 소스 측 계단 영역(200A) 및 드레인 측 계단 영역(200B)을 포함할 수 있다.In one embodiment, each of the source level sacrificial material layers 42S is formed under a respective doped semiconductor source layer of the doped semiconductor source layers 24 , and each of the drain level sacrificial material layers 42D is a doped semiconductor drain. It is formed over the respective doped semiconductor drain layer of layers 26 . The first exemplary structure includes at least one memory array region 100 in which a three-dimensional array of memory elements will be subsequently formed, and multiple instances of unit layer stacks 42S, 24, 32C, 26, 42D, 321 . Stepped surfaces of the can include step regions 200A, 200B to be subsequently formed. The step regions may include a source-side step region 200A and a drain-side step region 200B.

도 2를 참조하면, 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들은 계단 영역들(200A, 200B) 내에 스텝형 표면들을 형성하도록 패턴화될 수 있다. 예를 들어, 트리밍가능(trimmable) 마스크 층(도시되지 않음)이 제1 예시적인 구조물 위에 형성될 수 있고, 각각의 메모리 어레이 영역(100) 및 계단 영역들(200A, 200B)의 인접 부분들을 커버하도록 패턴화되어 트리밍가능 마스크 층의 에지들이, 스텝형 표면들의 최외측 수직 스텝들이 후속적으로 형성될 위치에 형성되게 할 수 있다. 이방성 에칭 공정이 수행되어 트리밍가능 마스크 층에 의해 커버되지 않은 영역들 내에서 하나의 단위 층 스택(42S, 24, 32C, 26, 42D, 321)을 통해 에칭할 수 있다. 트리밍가능 마스크 층은, 스텝형 표면들의 제2 최외측 수직 스텝들이 후속적으로 형성될 위치에 트리밍가능 마스크 층의 에지들이 형성되도록 등방성으로 트리밍될 수 있다. 이방성 에칭 공정이 수행되어 트리밍가능 마스크 층에 의해 커버되지 않은 영역들 내에서 하나의 단위 층 스택(42S, 24, 32C, 26, 42D, 321)을 통해 에칭할 수 있다. 트리밍가능 마스크 층에 대한 등방성 트리밍 공정 및 이방성 에칭 공정이 반복적으로 수행되어 계단 영역들(200A, 200B) 각각 내에 스텝형 표면들을 형성할 수 있다.Referring to FIG. 2 , multiple instances of unit layer stack 42S, 24, 32C, 26, 42D, 321 may be patterned to form stepped surfaces within step regions 200A, 200B. For example, a trimmable mask layer (not shown) may be formed over the first exemplary structure, covering adjacent portions of each memory array region 100 and step regions 200A, 200B. can be patterned to allow the edges of the trimmable mask layer to be formed at locations where the outermost vertical steps of the stepped surfaces will be subsequently formed. An anisotropic etch process may be performed to etch through one unit layer stack 42S, 24, 32C, 26, 42D, 321 in regions not covered by the trimmable mask layer. The trimmable mask layer may be trimmed isotropically such that edges of the trimmable mask layer are formed at locations where the second outermost vertical steps of the stepped surfaces will be subsequently formed. An anisotropic etch process may be performed to etch through one unit layer stack 42S, 24, 32C, 26, 42D, 321 in regions not covered by the trimmable mask layer. An isotropic trimming process and anisotropic etching process for the trimmable mask layer may be iteratively performed to form stepped surfaces in each of the stepped regions 200A, 200B.

일 실시예에서, 메모리 어레이 영역(100)의 각각의 측(side)에 위치된 한 쌍의 계단 영역들(200A, 200B) 내의 스텝형 표면들은, 하나의 유형의 표면들이 계단 영역들(200A, 200B) 중 하나의 계단 영역에서 물리적으로 노출되고 다른 유형의 표면들이 계단 영역들(200A, 200B) 중 다른 하나의 계단 영역에서 물리적으로 노출되도록 수직으로 오프셋될 수 있다. 예를 들어, 도핑된 반도체 소스 층들(24)의 수평 표면들은 메모리 어레이 영역(100)의 일 측에 인접한 소스 측 계단 영역(200A)에서 물리적으로 노출될 수 있고, 드레인 레벨 희생 재료 층들(42D)의 수평 표면들은 메모리 어레이 영역(100)의 반대 측에 인접한 드레인 측 계단 영역(200B)에서 물리적으로 노출될 수 있다. 동일한 메모리 어레이 영역(100)의 대향 측들에 위치된 계단 영역들(200A, 200B)의 각각의 쌍 내의 수평 스텝들 사이의 수직 오프셋은 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 1/2의 두께, 예컨대 선택적 소스 희생 재료 층(42S)의 두께, 도핑된 반도체 소스 층(24)의 두께, 및 채널 레벨 절연 층(32C)의 두께의 합, 또는 도핑된 반도체 드레인 층(26)의 두께, 선택적 드레인 희생 재료 층(42D)의 두께, 및 트랜지스터간 레벨 절연 층(321)의 두께의 합과 동일할 수 있다. 이러한 경우에, 패턴화된 포토레지스트 층과 같은 에칭 마스크 층(도시되지 않음)이 메모리 어레이 영역(100) 및 계단 영역들 중 하나의 계단 영역(예컨대, 200A)을 커버할 수 있고, 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 1/2의 두께만큼 다른 계단 영역(예컨대, 200B)을 수직으로 리세스할 수 있다.In one embodiment, the stepped surfaces in a pair of stepped regions 200A, 200B located on each side of the memory array region 100 include one type of surfaces including the stepped regions 200A, 200B; 200B) and other types of surfaces may be vertically offset such that they are physically exposed in the other of the step regions 200A, 200B. For example, the horizontal surfaces of the doped semiconductor source layers 24 may be physically exposed in the source side step region 200A adjacent to one side of the memory array region 100 , and the drain level sacrificial material layers 42D Horizontal surfaces of can be physically exposed in the drain-side step region 200B adjacent to the opposite side of the memory array region 100 . The vertical offset between horizontal steps within each pair of step areas 200A, 200B located on opposite sides of the same memory array area 100 is the unit layer stack 42S, 24, 32C, 26, 42D, 321 . ½ of the thickness, such as the sum of the thickness of the selective source sacrificial material layer 42S, the thickness of the doped semiconductor source layer 24, and the thickness of the channel level insulating layer 32C, or the doped semiconductor drain layer ( 26 , the thickness of the optional drain sacrificial material layer 42D, and the thickness of the inter-transistor level insulating layer 321 . In this case, an etch mask layer (not shown), such as a patterned photoresist layer, may cover the memory array region 100 and one of the stepped regions (eg, 200A), and the unit layer stack Another step area (eg, 200B) may be vertically recessed by a thickness of 1/2 of (42S, 24, 32C, 26, 42D, 321).

스텝형 하단 표면들을 갖는 스텝형 공동들(69)이 계단 영역들(200A, 200B) 내에 형성될 수 있다. 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들 내의 각각의 유형의 층의 측방향 범위는 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들 상의 스텝형 표면들을 패턴화할 때 기판(9)으로부터의 수직 거리에 따라 감소할 수 있다. 그 결과, 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 교번하는 스택에서 도핑된 반도체 소스 층들(24)은 기판(9)으로부터의 수직 거리에 따라 감소하는 상이한 측방향 범위들을 갖는다. 마찬가지로, 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 교번하는 스택에서 도핑된 반도체 드레인 층들(26)은 기판(9)으로부터의 수직 거리에 따라 감소하는 상이한 측방향 범위들을 갖는다. 트리밍가능 마스크 층은 최상단 수직 스텝들을 형성한 후에 제거될 수 있다.Stepped cavities 69 having stepped bottom surfaces may be formed in step areas 200A, 200B. The lateral extent of each type of layer within the multiple instances of the unit layer stack 42S, 24, 32C, 26, 42D, 321 is the number of units in the unit layer stack 42S, 24, 32C, 26, 42D, 321. may decrease with the vertical distance from the substrate 9 when patterning stepped surfaces on instances of . As a result, the doped semiconductor source layers 24 in an alternating stack of doped semiconductor source layers 24 and doped semiconductor drain layers 26 have different lateral extents that decrease with vertical distance from the substrate 9 . have them Likewise, doped semiconductor drain layers 26 in an alternating stack of doped semiconductor source layers 24 and doped semiconductor drain layers 26 exhibit different lateral extents that decrease with vertical distance from substrate 9 . have The trimmable mask layer may be removed after forming the top vertical steps.

도 3a 및 도 3b를 참조하면, 역-스텝형(retro-stepped) 유전체 재료 부분(65)(즉, 절연 충전 재료 부분)은 그 내부에 유전체 재료의 침착에 의해 각각의 스텝형 공동(stepped cavity)(69) 내에 형성될 수 있다. 예를 들어, 실리콘 산화물과 같은 유전체 재료가 스텝형 공동 내에 침착될 수 있다. 침착된 유전체 재료의 과잉 부분들은, 예를 들어 화학적 기계적 평탄화(chemical mechanical planarization, CMP)에 의해, 최상단 절연 층(32T)의 상단 표면 위로부터 제거될 수 있다. 스텝형 공동을 충전하는 침착된 유전체 재료의 각각의 나머지 부분은 역-스텝형 유전체 재료 부분(65)을 구성한다. 본 명세서에 사용되는 바와 같이, "역-스텝형" 요소는, 스텝형 표면들, 및 요소가 존재하는 기판의 상단 표면으로부터의 수직 거리의 함수로서 단조적으로 증가하는 수평 단면적을 갖는 요소를 지칭한다. 실리콘 산화물이 역-스텝형 유전체 재료 부분(65)에 채용되는 경우, 역-스텝형 유전체 재료 부분(65)의 실리콘 산화물은 B, P 및/또는 F와 같은 도펀트들로 도핑될 수 있거나, 또는 도핑되지 않을 수 있다.3A and 3B , a retro-stepped dielectric material portion 65 (ie, an insulating fill material portion) is formed into each stepped cavity by deposition of dielectric material therein. ) (69). For example, a dielectric material such as silicon oxide may be deposited in the stepped cavity. Excess portions of the deposited dielectric material may be removed from over the top surface of the topmost insulating layer 32T, for example by chemical mechanical planarization (CMP). Each remaining portion of the deposited dielectric material filling the stepped cavity constitutes a reverse-stepped dielectric material portion 65 . As used herein, an “inverse-stepped” element refers to an element having stepped surfaces and a horizontal cross-sectional area that monotonically increases as a function of vertical distance from the top surface of the substrate on which the element is present do. When silicon oxide is employed in the reverse-stepped dielectric material portion 65, the silicon oxide in the reverse-stepped dielectric material portion 65 may be doped with dopants such as B, P and/or F, or may not be doped.

적어도 포토레지스트 층을 포함하는 리소그래피 재료 스택(도시되지 않음)이 최상단 절연 층(32T) 및 역-스텝형 유전체 재료 부분(65) 위에 형성될 수 있고, 리소그래피적으로 패턴화되어 그 내부에 개구들을 형성할 수 있다. 개구들은 메모리 어레이 영역(100) 위에 형성된 제1 세트의 개구들 및 계단 영역들(200A, 200B) 위에 형성된 선택적인 제2 세트의 개구들을 포함한다. 리소그래피 재료 스택 내의 패턴은, 패터닝된 리소그래피 재료 스택을 에칭 마스크로서 채용하는 적어도 하나의 이방성 에칭에 의해 최상단 절연 층(32T) 또는 역-스텝형 유전체 재료 부분(65), 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들, 및 최하단 절연 층(32B)을 통해 전사될 수 있다. 메모리 어레이 영역(100)에 위치되고, 패턴화된 리소그래피 재료 스택 내의 제1 세트의 개구들 아래에 놓인 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들의 부분들이 에칭되어 메모리 개구들(49)을 형성한다. 본 명세서에서 사용되는 바와 같이, "메모리 개구"는 메모리 스택 구조물과 같은 메모리 요소들이 후속으로 형성되는 구조물을 지칭한다. 메모리 개구들(49)은 메모리 어레이 영역(100) 내의 최상단 절연 층(32T) 및 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들의 전체를 통해 형성된다. 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들의 부분들 및 계단 영역들(200A, 200B) 내에 위치되고, 패턴화된 리소그래피 재료 스택 내의 제2 세트의 개구들 아래에 놓인 역-스텝형 유전체 층(65)이 에칭되어 도 3b에 도시된 선택적 지지 개구들(19)을 형성한다.A lithographic material stack (not shown) comprising at least a photoresist layer may be formed over the top insulating layer 32T and the reverse-stepped dielectric material portion 65 and lithographically patterned to provide openings therein. can be formed The openings include a first set of openings formed over the memory array region 100 and an optional second set of openings formed over the step regions 200A, 200B. The pattern in the lithographic material stack is formed by at least one anisotropic etch employing the patterned lithographic material stack as an etch mask, the top insulating layer 32T or inverse-stepped dielectric material portion 65, unit layer stacks 42S, 24 , 32C, 26, 42D, 321 , and may be transferred through the bottommost insulating layer 32B. Portions of multiple instances of the unit layer stack 42S, 24, 32C, 26, 42D, 321 located in the memory array region 100 and underlying a first set of openings in the patterned lithographic material stack are etched away. to form memory openings 49 . As used herein, “memory opening” refers to a structure in which memory elements, such as a memory stack structure, are subsequently formed. Memory openings 49 are formed throughout the top insulating layer 32T and multiple instances of the unit layer stacks 42S, 24, 32C, 26, 42D, 321 in the memory array region 100 . Portions of the multiple instances of the unit layer stack 42S, 24, 32C, 26, 42D, 321 and located within the step regions 200A, 200B, below the second set of openings in the patterned lithographic material stack A reverse-stepped dielectric layer 65 overlying is etched to form the optional support openings 19 shown in FIG. 3B .

메모리 개구들(49)은 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들 전체를 통해 연장된다. 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들의 재료들을 통해 에칭하기 위해 채용되는 이방성 에칭 공정의 화학물질(chemistry)은 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들에서 각자의 재료들의 에칭을 최적화하도록 교번할 수 있다. 이방성 에칭은, 예를 들어, 일련의 반응성 이온 에칭들일 수 있다. 메모리 개구들(49)의 측벽들은 실질적으로 수직일 수 있거나, 테이퍼(taper)질 수 있다. 패턴화된 리소그래피 재료 스택은, 예를 들어 애싱(ashing)에 의해 후속으로 제거될 수 있다.Memory openings 49 extend through all multiple instances of unit layer stack 42S, 24, 32C, 26, 42D, 321 . The chemistry of the anisotropic etching process employed to etch through the materials of multiple instances of the unit layer stack 42S, 24, 32C, 26, 42D, 321 is the unit layer stack 42S, 24, 32C, 26 , 42D, 321) may alternate to optimize the etching of the respective materials in multiple instances. The anisotropic etch may be, for example, a series of reactive ion etches. The sidewalls of the memory openings 49 may be substantially vertical or may be tapered. The patterned lithographic material stack may subsequently be removed, for example by ashing.

메모리 개구들(49)은 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들의 상단 표면으로부터 기판(9)의 최상단 표면을 포함하는 적어도 수평 평면까지 연장될 수 있다. 메모리 개구들(49) 각각은 기판(9)의 최상단 표면에 실질적으로 수직으로 연장되는 측벽(또는 복수의 측벽들)을 포함할 수 있다. 메모리 개구들(49)의 2차원 어레이는 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들을 통해 메모리 어레이 영역(100) 내에 형성될 수 있다. 따라서, 메모리 개구들(49)의 2차원 어레이는 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 교번하는 스택을 통해 형성될 수 있다. 일 실시예에서, 메모리 개구들(49)의 2차원 어레이는 육각형 어레이들과 같은 주기적인 2차원 어레이들의 클러스터들로서 형성될 수 있다.The memory openings 49 may extend from the top surface of the multiple instances of the unit layer stack 42S, 24, 32C, 26, 42D, 321 to at least a horizontal plane including the top surface of the substrate 9 . Each of the memory openings 49 may include a sidewall (or a plurality of sidewalls) extending substantially perpendicular to the top surface of the substrate 9 . A two-dimensional array of memory openings 49 may be formed within memory array region 100 through multiple instances of unit layer stacks 42S, 24, 32C, 26, 42D, 321 . Thus, a two-dimensional array of memory openings 49 may be formed through alternating stacks of doped semiconductor source layers 24 and doped semiconductor drain layers 26 . In one embodiment, the two-dimensional array of memory openings 49 may be formed as clusters of periodic two-dimensional arrays, such as hexagonal arrays.

도 4를 참조하면, 연속적 재료 층들이 메모리 개구들(49) 각각과 지지 개구들(19)(존재하는 경우) 각각에 순차적으로 침착될 수 있다. 연속적 재료 층들은 연속적 반도체 채널 층, 연속적 메모리 필름, 연속적 전도성 재료 층, 및 선택적 유전체 충전 재료 층을 포함할 수 있다. 연속적 재료 층들의 과잉 부분들은 평탄화 공정에 의해 최상단 절연 층들(32T)의 상단 표면 및 역-스텝형 유전체 재료 부분들의 상단 표면들을 포함하는 수평 평면 위로부터 제거될 수 있다. 평탄화 공정은 화학적 기계적 평탄화(CMP) 및/또는 적어도 하나의 리세스 에칭 공정을 채용할 수 있다. 메모리 개구(49) 내에 남아 있는 연속적 재료 층들의 각각의 부분은 메모리 개구 충전 구조물(58)을 구성한다. 지지 개구(49) 내에 남아 있는 연속적 재료 층들의 각각의 부분은 지지 필러(support pillar)(20)(도 6b에 도시됨)를 구성한다.Referring to FIG. 4 , successive layers of material may be sequentially deposited on each of the memory openings 49 and each of the support openings 19 (if present). The continuous material layers may include a continuous semiconductor channel layer, a continuous memory film, a continuous conductive material layer, and an optional dielectric fill material layer. Excess portions of the successive material layers may be removed from above a horizontal plane including the top surface of the topmost insulating layers 32T and the top surfaces of the reverse-stepped dielectric material portions by a planarization process. The planarization process may employ chemical mechanical planarization (CMP) and/or at least one recess etch process. Each portion of the continuous material layers remaining within the memory opening 49 constitutes a memory opening filling structure 58 . Each portion of the continuous material layers remaining within the support opening 49 constitutes a support pillar 20 (shown in FIG. 6B ).

각각의 메모리 개구 충전 구조물(58)은 연속적 반도체 채널 층(60L), 메모리 필름(54), 게이트 전극(66), 및 선택적 유전체 코어(62)를 포함한다. 각각의 연속적 반도체 채널 층(60L)은 평탄화 공정 후의 연속적 반도체 채널 층의 나머지 부분이다. 각각의 메모리 필름(54)은 평탄화 공정 후의 연속적 메모리 필름의 나머지 부분이다. 각각의 게이트 전극(66)은 평탄화 공정 후의 연속적 전도성 재료 층의 나머지 부분이다. 각각의 게이트 전극(66)은 NAND 메모리 디바이스의 제어 게이트 전극일 수 있다. 게이트 전극(66)은 후속 단계에서 형성될 위에 놓인 워드 라인에 전기적으로 접속될 수 있다. 각각의 유전체 코어(62)는 유전체 충전 재료 층의 나머지 부분이다.Each memory aperture filling structure 58 includes a continuous semiconductor channel layer 60L, a memory film 54 , a gate electrode 66 , and an optional dielectric core 62 . Each successive semiconductor channel layer 60L is the remainder of the successive semiconductor channel layer after the planarization process. Each memory film 54 is the remainder of the continuous memory film after the planarization process. Each gate electrode 66 is the remainder of the continuous conductive material layer after the planarization process. Each gate electrode 66 may be a control gate electrode of a NAND memory device. The gate electrode 66 may be electrically connected to an overlying word line to be formed in a subsequent step. Each dielectric core 62 is the remainder of the dielectric fill material layer.

연속적 반도체 채널 층(60L)은 제1 전도형과 반대인 제2 전도형의 도핑을 갖는 반도체 재료를 포함한다. 예를 들어, 제1 전도형이 n 형인 경우, 제2 전도형은 p 형이고, 그 반대로도 가능하다. 연속적 반도체 채널 층(60L) 내의 반도체 재료는 실리콘, 실리콘 게르마늄 합금, 또는 화합물 반도체 재료를 포함할 수 있다. 연속적 반도체 채널 층(60L) 내의 제2 전도형의 도펀트들의 원자 농도는 1.0 × 1014/㎤ 내지 3.0 × 1017/㎤의 범위일 수 있지만, 더 작은 그리고 더 큰 원자 농도들이 또한 채용될 수 있다. 연속적 반도체 채널 층(60L)의 두께는 1 nm 내지 30 nm, 예컨대 3 nm 내지 10 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 채용될 수 있다.The continuous semiconductor channel layer 60L includes a semiconductor material having a doping of a second conductivity type opposite to the first conductivity type. For example, if the first conductivity type is n-type, the second conductivity type is p-type, and vice versa. The semiconductor material in the continuous semiconductor channel layer 60L may include silicon, a silicon germanium alloy, or a compound semiconductor material. The atomic concentration of the dopants of the second conductivity type in the continuous semiconductor channel layer 60L may range from 1.0 × 10 14 /cm 3 to 3.0 × 10 17 /cm 3 , although smaller and larger atomic concentrations may also be employed. . The thickness of the continuous semiconductor channel layer 60L may range from 1 nm to 30 nm, such as 3 nm to 10 nm, although smaller and larger thicknesses may also be employed.

메모리 필름(54)은 트래핑된 전기 전하들 또는 분극 형태로 데이터 비트들을 저장할 수 있는 적어도 하나의 재료 층을 포함한다. 일 실시예에서, 메모리 필름들 각각은, 외측에서부터 내측으로, 반도체 채널 층(60L)과 접촉하는 터널링 유전체 층(542), 게이트 전극(66)과 접촉하는 차단 유전체 층(546), 및 터널링 유전체 층(542)과 차단 유전체 층(546) 사이에 위치되고 그들과 접촉하는 전하 저장 층(544)을 포함하는 층 스택을 포함한다. 터널링 유전체 층(542)은 실리콘 산화물 층 또는 ONO 스택(즉, 실리콘 산화물 층, 실리콘 질화물 층, 및 실리콘 산화물 층의 스택)과 같은 터널링 유전체 재료를 포함할 수 있다. 전하 저장 층(544)은 유전체 재료 내에 매립된 전하 저장 나노입자들 또는 실리콘 질화물과 같은 전하 저장 재료를 포함할 수 있다. 차단 유전체 층(546)은 실리콘 산화물 및/또는 유전체 금속 산화물, 예컨대 알루미늄 산화물과 같은 차단 유전체 재료를 포함할 수 있다. 다른 실시예에서, 메모리 필름들(54) 각각은 연속적 반도체 채널 층(60L) 또는 게이트 전극(66)과 접촉할 수 있는 적어도 하나의 선택적 유전체 재료 층(예컨대, 실리콘 산화물 층) 및 강유전성 재료 층(도시되지 않음)을 포함한다. 강유전성 재료 층은 하프늄 산화물(HfO2) 또는 하프늄 지르코늄 산화물(HfxZr1-xO2)을 포함할 수 있고, 여기서 0.01 < x < 0.99 이다. 강유전성 재료 층은 강유전성 특성들을 향상시키기 위해 Al, Zr, Y, Gd, La, Sr, 및/또는 Si 와 같은 적합한 도펀트를 포함할 수 있다.Memory film 54 includes at least one layer of material capable of storing data bits in the form of trapped electrical charges or polarization. In one embodiment, each of the memory films includes, from the outside to the inside, a tunneling dielectric layer 542 in contact with the semiconductor channel layer 60L, a blocking dielectric layer 546 in contact with the gate electrode 66 , and a tunneling dielectric. and a layer stack including a charge storage layer 544 positioned between and in contact with the layer 542 and the blocking dielectric layer 546 . Tunneling dielectric layer 542 may include a tunneling dielectric material such as a silicon oxide layer or an ONO stack (ie, a stack of silicon oxide layers, silicon nitride layers, and silicon oxide layers). Charge storage layer 544 may include charge storage materials such as silicon nitride or charge storage nanoparticles embedded within a dielectric material. The blocking dielectric layer 546 may include a blocking dielectric material such as silicon oxide and/or a dielectric metal oxide, such as aluminum oxide. In another embodiment, each of the memory films 54 includes at least one optional layer of dielectric material (eg, a silicon oxide layer) and a layer of ferroelectric material that may be in contact with a continuous semiconductor channel layer 60L or gate electrode 66 ( not shown). The ferroelectric material layer may include hafnium oxide (HfO 2 ) or hafnium zirconium oxide (H fx Z r1-x O 2 ), where 0.01 < x < 0.99. The ferroelectric material layer may include suitable dopants such as Al, Zr, Y, Gd, La, Sr, and/or Si to enhance the ferroelectric properties.

각각의 게이트 전극(66)은 금속 재료와 같은 전도성 재료를 포함한다. 예를 들어, 각각의 게이트 전극(66)은 금속(예컨대, W, Co, Mo, Ru, Cu, 또는 이들의 합금)을 포함하는 금속 충전 층 및 전도성 금속 질화물(예컨대, TiN, TaN, 또는 WN)을 포함하는 금속 질화물 라이너(예컨대, 배리어 층)의 층 스택을 포함할 수 있다.Each gate electrode 66 includes a conductive material, such as a metallic material. For example, each gate electrode 66 may have a metal filled layer comprising a metal (eg, W, Co, Mo, Ru, Cu, or alloys thereof) and a conductive metal nitride (eg, TiN, TaN, or WN). ) of a metal nitride liner (eg, a barrier layer).

각각의 선택적 유전체 코어(62)는 실리콘 산화물과 같은 유전체 충전 재료를 포함한다. 일 실시예에서, 유전체 코어들(62)은 에칭 공정에서 최상단 절연 층(32T)보다 더 높은 에칭 속도를 갖는 유전체 재료를 포함할 수 있다. 예를 들어, 유전체 코어들(62)은 보로실리케이트 유리, 유기실리케이트 유리, 또는 포스포실리케이트 유리를 포함할 수 있고, 최상단 절연 층(32T)은 고밀화된 도핑되지 않은 실리케이트 유리를 포함할 수 있다. 이러한 경우에, 유전체 코어들(62)의 재료는 최상단 절연 층(32T)의 재료에 대해 후속적으로 리세스될 수 있다.Each optional dielectric core 62 includes a dielectric filling material such as silicon oxide. In one embodiment, the dielectric cores 62 may include a dielectric material that has a higher etch rate than the topmost insulating layer 32T in the etch process. For example, dielectric cores 62 may include borosilicate glass, organosilicate glass, or phosphosilicate glass, and top insulating layer 32T may include densified undoped silicate glass. In this case, the material of the dielectric cores 62 may be subsequently recessed relative to the material of the topmost insulating layer 32T.

도핑된 반도체 소스 층(24)의 하단 표면으로부터 도핑된 반도체 드레인 층(26)의 상단 표면까지 연장되는 연속적 반도체 채널 층(60L)의 각각의 부분이 반도체 채널을 구성한다. 각각의 연속적 반도체 채널 층(60L)은 반도체 채널들의 수직 스택을 포함한다. 반도체 채널들 각각은 도핑된 반도체 소스 층(24) 및 도핑된 반도체 드레인 층(26)의 각자의 수직으로 이웃하는 쌍의 원통형 측벽들 상에 형성된다. 반도체 채널들 각각은 또한 채널 레벨 절연 층(32C)의 각자의 채널 레벨 절연 층의 원통형 측벽 상에 형성된다. 제1 실시예에서, 반도체 채널들의 각각의 수직 스택은 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 영역들(26)의 교번하는 스택 내의 각각의 도핑된 반도체 소스 층(24) 및 각각의 도핑된 반도체 드레인 층(26)을 통해 연장되는 연속적 반도체 채널 층(60L)으로서 형성된다. 반도체 채널들 각각은 도핑된 반도체 소스 층(24) 및 도핑된 반도체 드레인 층(26)의 각자의 수직으로 이웃하는 쌍에 접속된다. 각각의 연속적 반도체 채널 층(60L)은 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 교번하는 스택 내의 각각의 도핑된 반도체 소스 층(24) 및 각각의 도핑된 반도체 드레인 층(26)을 통해 연장된다.Each portion of the continuous semiconductor channel layer 60L extending from the bottom surface of the doped semiconductor source layer 24 to the top surface of the doped semiconductor drain layer 26 constitutes a semiconductor channel. Each successive semiconductor channel layer 60L includes a vertical stack of semiconductor channels. Each of the semiconductor channels is formed on a respective vertically neighboring pair of cylindrical sidewalls of doped semiconductor source layer 24 and doped semiconductor drain layer 26 . Each of the semiconductor channels is also formed on a cylindrical sidewall of a respective channel level insulating layer of the channel level insulating layer 32C. In a first embodiment, each vertical stack of semiconductor channels includes a respective doped semiconductor source layer 24 and a respective doped semiconductor source layer 24 in an alternating stack of doped semiconductor source layers 24 and doped semiconductor drain regions 26 It is formed as a continuous semiconductor channel layer 60L extending through the doped semiconductor drain layer 26 . Each of the semiconductor channels is connected to a respective vertically neighboring pair of doped semiconductor source layer 24 and doped semiconductor drain layer 26 . Each successive semiconductor channel layer 60L is a respective doped semiconductor source layer 24 and a respective doped semiconductor drain layer in an alternating stack of doped semiconductor source layers 24 and doped semiconductor drain layers 26 . (26) is extended through.

메모리 필름(54)이 반도체 채널들의 수직 스택들 각각 상에 형성되고, 게이트 전극(66)이 메모리 필름들(54) 각각 상에 형성된다. 게이트 전극들(66) 각각은 교번하는 스택의 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26) 각각을 통해 수직으로 연장된다. 각각의 게이트 전극(66)은 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 교번하는 스택 내의 각각의 도핑된 반도체 소스 층(24) 및 각각의 도핑된 반도체 드레인 층(26)을 통해 연장된다.A memory film 54 is formed on each of the vertical stacks of semiconductor channels, and a gate electrode 66 is formed on each of the memory films 54 . Each of the gate electrodes 66 extends vertically through each of the doped semiconductor source layers 24 and doped semiconductor drain layers 26 of the alternating stack. Each gate electrode 66 has a respective doped semiconductor source layer 24 and a respective doped semiconductor drain layer 26 in an alternating stack of doped semiconductor source layers 24 and doped semiconductor drain layers 26 . ) is extended through

각각의 연속적 반도체 채널 층(60L)은 중공 원통형 부분(hollow cylindrical portion) 및 원통형 부분의 하단 주연부(periphery)에 인접하는 하단 캡 부분을 포함한다. 각각의 메모리 필름(54)은 중공 원통형 부분 및 원통형 부분의 하단 주연부에 인접하는 하단 캡 부분을 포함한다. 각각의 게이트 전극(66)은 중공 원통형 부분 및 원통형 부분의 하단 주연부에 인접하는 하단 캡 부분을 포함할 수 있다. 게이트 전극들(66)은 메모리 필름들(54)의 수평 부분들에 의해 기판(9)으로부터 수직으로 이격될 수 있다. 게이트 전극들(66) 각각은, 게이트 전극들(66)이 중공 원통형 부분을 포함하는 경우 각자의 유전체 코어(62)를 측방향으로 둘러쌀 수 있다. 대안적으로, 유전체 코어(62)는 게이트 전극(66)이 충전된 원통(cylinder)을 포함하는 경우 생략될 수 있다. 원통형 메모리 개구들(49)을 충전하는 원통들 및 원통형 부분들이 전술되어 있지만, 메모리 개구들이 원통형과 다른 형상을 갖는 경우(예컨대, 메모리 개구들(49)이 다각형 수평 단면 형상을 갖는 경우) 메모리 개구들(49)을 충전하는 다른 형상들이 형성될 수 있다.Each continuous semiconductor channel layer 60L includes a hollow cylindrical portion and a bottom cap portion adjacent a bottom periphery of the cylindrical portion. Each memory film 54 includes a hollow cylindrical portion and a bottom cap portion adjacent the lower periphery of the cylindrical portion. Each gate electrode 66 may include a hollow cylindrical portion and a bottom cap portion adjacent a lower periphery of the cylindrical portion. The gate electrodes 66 may be vertically spaced from the substrate 9 by horizontal portions of the memory films 54 . Each of the gate electrodes 66 may laterally surround a respective dielectric core 62 when the gate electrodes 66 include a hollow cylindrical portion. Alternatively, the dielectric core 62 may be omitted if the gate electrode 66 comprises a filled cylinder. Although the cylinders and cylindrical portions filling the cylindrical memory openings 49 have been described above, the memory opening when the memory openings have a shape other than the cylindrical shape (eg, when the memory openings 49 have a polygonal horizontal cross-sectional shape). Other shapes of filling fields 49 may be formed.

도 5를 참조하면, 유전체 코어들(62)의 상단 부분들이 수직으로 리세스되어 공동 영역들을 형성할 수 있다. 금속 재료와 같은 전도성 재료가 공동 영역들 내에 침착될 수 있다. 전도성 재료의 과잉 부분들은 최상단 절연 층(32T)의 상단 표면들을 포함하는 수평 평면 위로부터 제거될 수 있다. 전도성 재료의 각각의 나머지 부분은 콘택 패드 구조물(68)을 구성한다.Referring to FIG. 5 , top portions of dielectric cores 62 may be vertically recessed to form hollow regions. A conductive material, such as a metallic material, may be deposited in the cavity regions. Excess portions of conductive material may be removed from above a horizontal plane including the top surfaces of top insulating layer 32T. Each remaining portion of the conductive material constitutes the contact pad structure 68 .

일 실시예에서, 콘택 패드 구조물들(68)은 금속 질화물 라이너(TaN, TiN, 또는 WN) 및 전도성 충전 재료, 예컨대 금속 재료(예컨대, W, Ru, Co, 또는 Mo), 도핑된 반도체 재료, 및/또는 금속 규화물 재료를 포함할 수 있다. 각각의 콘택 패드 구조물(68)은 각자의 게이트 전극(66)의 상단 단부와 접촉하고, 각자의 메모리 필름(54)에 의해 각자의 연속적 반도체 채널 층(60L)으로부터 측방향으로 이격된다. 대안적으로, 게이트 전극들(66)이 충전된 원통을 포함하면, 콘택 패드 구조물(68)은 생략될 수 있다.In one embodiment, the contact pad structures 68 are formed of a metal nitride liner (TaN, TiN, or WN) and a conductive fill material, such as a metallic material (eg, W, Ru, Co, or Mo), a doped semiconductor material, and/or a metal silicide material. Each contact pad structure 68 contacts a top end of a respective gate electrode 66 and is laterally spaced from a respective continuous semiconductor channel layer 60L by a respective memory film 54 . Alternatively, if the gate electrodes 66 comprise a filled cylinder, the contact pad structure 68 may be omitted.

도 6a 및 도 6b를 참조하면, 포토레지스트 층(도시되지 않음)이 최상단 절연 층(32T) 위에 도포될 수 있고, 메모리 개구 충전 구조물들(58)의 클러스터들과 지지 필러들(20) 사이의 영역들 내에 개구들을 형성하도록 리소그래피적으로 패턴화된다. 지지 필러들(20)은 간략함을 위해 후속 도면들에 도시되지 않는다. 포토레지스트 층 내의 패턴은 이방성 에칭을 채용하여 단위 층 스택(42S, 24, 32C, 26, 42D, 321)의 다수의 인스턴스들 및 최상단 절연 층(32T)을 통해 전사되어 백사이드 트렌치들(79)을 형성할 수 있고, 이는 최상단 절연 층(32T)의 상단 표면으로부터 적어도 기판(9)의 상단 표면까지 수직으로 연장되고, 메모리 어레이 영역(100) 및 계단 영역들(200A, 200B)을 통해 측방향으로 연장된다.6A and 6B , a photoresist layer (not shown) may be applied over the top insulating layer 32T, between the clusters of memory aperture filling structures 58 and the support pillars 20 . It is lithographically patterned to form openings in the regions. Support pillars 20 are not shown in subsequent figures for the sake of simplicity. The pattern in the photoresist layer employs an anisotropic etch to transfer through multiple instances of the unit layer stack 42S, 24, 32C, 26, 42D, 321 and the top insulating layer 32T to leave the backside trenches 79. may form, which extends vertically from the top surface of the topmost insulating layer 32T to at least the top surface of the substrate 9 and laterally through the memory array region 100 and the step regions 200A, 200B. is extended

일 실시예에서, 백사이드 트렌치들(79)은 제1 수평 방향(hd1)을 따라 측방향으로 연장될 수 있고, 제1 수평 방향(hd1)에 수직인 제2 수평 방향(hd2)을 따라 서로 측방향으로 이격될 수 있다. 메모리 개구 충전 구조물들(58)은 제1 수평 방향(hd1)을 따라 연장되는 로우들로 배열될 수 있다.In one embodiment, the backside trenches 79 may extend laterally along the first horizontal direction hd1 and lateral to each other along the second horizontal direction hd2 perpendicular to the first horizontal direction hd1 . direction may be spaced apart. The memory opening filling structures 58 may be arranged in rows extending along the first horizontal direction hd1 .

각각의 백사이드 트렌치(79)는 길이방향을 따라(즉, 제1 수평 방향(hd1)을 따라) 변화하지 않는 균일한 폭을 가질 수 있다. 메모리 개구 충전 구조물들(58)의 다수의 로우들이 백사이드 트렌치들(79)의 이웃하는 쌍 사이에 위치될 수 있다. 포토레지스트 층은, 예를 들어 애싱에 의해 제거될 수 있다.Each backside trench 79 may have a uniform width that does not vary along the longitudinal direction (ie, along the first horizontal direction hd1 ). Multiple rows of memory aperture fill structures 58 may be positioned between adjacent pairs of backside trenches 79 . The photoresist layer may be removed, for example, by ashing.

도 7을 참조하면, 절연 층들(32), 도핑된 반도체 소스 층들(24), 도핑된 반도체 드레인 층들(26), 역-스텝형 유전체 재료 부분들(65), 및 연속적 반도체 채널 층들(60L)의 재료들에 대하여 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)의 재료들을 선택적으로 에칭하는 에천트(etchant)가, 예를 들어 에칭 공정을 채용하여 백사이드 트렌치들(79) 안으로 도입될 수 있다. 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)이 제거되는 체적들 내에 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)이 각각 형성된다. 일 실시예에서, 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)은 실리콘 질화물을 포함할 수 있고, 절연 층들(32) 및 역-스텝형 유전체 재료 부분(65)의 재료들은 실리콘 산화물을 포함할 수 있다.7, insulating layers 32, doped semiconductor source layers 24, doped semiconductor drain layers 26, reverse-stepped dielectric material portions 65, and continuous semiconductor channel layers 60L An etchant that selectively etches the materials of the source sacrificial material layer 42S and the drain sacrificial material layers 42D relative to the materials of the etchant is introduced into the backside trenches 79 employing, for example, an etching process. can be Source level backside recesses 43S and drain level backside recesses 43D are respectively formed in the volumes from which the source sacrificial material layer 42S and drain sacrificial material layers 42D are removed. In one embodiment, the source sacrificial material layers 42S and the drain sacrificial material layers 42D may include silicon nitride, and the insulating layers 32 and materials of the inverse-stepped dielectric material portion 65 are silicon oxide. may include.

에칭 공정은 습식 에칭 용액을 채용하는 습식 에칭 공정일 수 있거나, 또는 에천트가 증기 상(vapor phase)으로 백사이드 트렌치들(79) 내로 도입되는 기체 상(gas phase)(건식) 에칭 공정일 수 있다. 예를 들어, 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)이 실리콘 질화물을 포함하는 경우, 에칭 공정은, 예시적인 구조물이 인산(phosphoric acid)을 포함하는 습식 에칭 탱크 내에 침지되는 습식 에칭 공정일 수 있고, 이는 실리콘 산화물, 실리콘, 및 다양한 다른 재료들에 대해 선택적으로 실리콘 질화물을 에칭한다.The etching process may be a wet etching process employing a wet etching solution, or it may be a gas phase (dry) etching process in which the etchant is introduced into the backside trenches 79 in the vapor phase. . For example, if the source sacrificial material layer 42S and the drain sacrificial material layers 42D include silicon nitride, the etching process may be performed in a wet etch tank in which the exemplary structure is immersed in a wet etch tank containing phosphoric acid. It may be an etch process, which etches silicon nitride selectively to silicon oxide, silicon, and various other materials.

각각의 백사이드 리세스(43S, 43D)는 공동의 수직 범위보다 더 큰 측방향 치수를 갖는 측방향으로 연장되는 공동일 수 있다. 다시 말하면, 각각의 백사이드 리세스(43S, 43D)의 측방향 치수는 백사이드 리세스(43S, 43D)의 높이보다 더 클 수 있다. 소스 희생 재료 층(42S) 및 드레인 희생 재료 층(42D)의 재료들이 제거되는 체적들 내에 복수의 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)이 형성될 수 있다. 메모리 개구 충전 구조물들(58)이 형성되는 메모리 개구들은 본 명세서에서, 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)과 대조적으로 전면(front side) 개구들 또는 전면 공동들로 지칭된다. 복수의 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D) 각각은 기판(9)의 상단 표면에 실질적으로 평행하게 연장될 수 있다. 일 실시예에서, 각각의 백사이드 리세스(43S, 43D)는 전체에 걸쳐 균일한 높이를 가질 수 있다.Each backside recess 43S, 43D may be a laterally extending cavity having a lateral dimension greater than the vertical extent of the cavity. In other words, the lateral dimension of each backside recess 43S, 43D may be greater than the height of the backside recess 43S, 43D. A plurality of source level backside recesses 43S and drain level backside recesses 43D may be formed in the volumes from which the materials of the source sacrificial material layer 42S and the drain sacrificial material layer 42D are removed. The memory openings from which the memory opening filling structures 58 are formed are herein front side openings or front cavity as opposed to the source level backside recesses 43S and drain level backside recesses 43D are referred to as Each of the plurality of source level backside recesses 43S and drain level backside recesses 43D may extend substantially parallel to the top surface of the substrate 9 . In one embodiment, each backside recess 43S, 43D may have a uniform height throughout.

도 8을 참조하면, 적어도 하나의 금속 재료가 백사이드 트렌치들(79)을 통해 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D) 내에 침착될 수 있다. 적어도 하나의 금속 재료는 금속 라이너를 형성하는 금속 질화물 재료(예컨대, TaN, TiN, 또는 WN) 및 금속 라이너에 의해 충전되지 않는 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)의 나머지 체적들을 충전하는 금속 충전 재료(예컨대, W, Co, Ru, 또는 Mo)를 포함할 수 있다. 적어도 하나의 금속 재료는 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)의 모든 체적들을 충전한다. 백사이드 트렌치들(79)의 주변 부분들에 또는 최상단 절연 층(32T) 위에 침착된 적어도 하나의 금속 재료의 부분들은 에칭 공정에 의해 제거될 수 있는데, 이는 등방성 에칭 공정 및/또는 이방성 에칭 공정을 포함할 수 있다. 소스 레벨 백사이드 리세스들(43S)을 충전하는 적어도 하나의 금속 재료의 나머지 부분들은 금속 소스 층들(즉, 전기 전도성 소스 라인들)(22)을 구성한다. 드레인 레벨 백사이드 리세스들(43D)을 충전하는 적어도 하나의 금속 재료의 나머지 부분들은 금속 드레인 층들(즉, 전기 전도성 비트 라인들)(28)을 구성한다. 도핑된 반도체 소스 층(24)과 금속 소스 층(22)의 각각의 인접한 조합은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 소스 영역 및 소스 라인으로서 기능하는 소스 층(22, 24)을 구성한다. 도핑된 반도체 드레인층(26) 및 금속 드레인 층(28)의 각각의 인접한 조합은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 드레인 영역 및 비트 라인으로서 기능하는 드레인 층(26, 28)을 구성한다.Referring to FIG. 8 , at least one metallic material may be deposited in the source level backside recesses 43S and the drain level backside recesses 43D through the backside trenches 79 . The at least one metal material includes a metal nitride material (eg, TaN, TiN, or WN) forming a metal liner and source level backside recesses 43S and drain level backside recesses 43D not filled by the metal liner. ) may include a metal filling material (eg, W, Co, Ru, or Mo) that fills the remaining volumes. At least one metallic material fills all volumes of the source level backside recesses 43S and the drain level backside recesses 43D. Portions of at least one metallic material deposited on peripheral portions of backside trenches 79 or over top insulating layer 32T may be removed by an etching process, including an isotropic etching process and/or an anisotropic etching process. can do. The remaining portions of the at least one metal material filling the source level backside recesses 43S constitute the metal source layers (ie, electrically conductive source lines) 22 . The remaining portions of the at least one metal material filling the drain level backside recesses 43D constitute the metal drain layers (ie, electrically conductive bit lines) 28 . Each adjacent combination of doped semiconductor source layer 24 and metal source layer 22 constitutes source layers 22, 24 that serve as source regions and source lines for respective two-dimensional arrays of vertical field effect transistors. do. Each adjacent combination of doped semiconductor drain layer 26 and metal drain layer 28 constitutes drain layers 26 and 28 that serve as drain regions and bit lines for respective two-dimensional arrays of vertical field effect transistors. do.

상기에 논의된 바와 같이, 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)은 생략될 수 있는 선택적 요소들이다. 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)이 존재하는 경우에, 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)은 각각 금속 소스 층들(22) 및 금속 드레인 층들(28)(즉, 소스 라인들 및 비트 라인들)로 대체된다. 이러한 경우에, 소스 층들(22, 24) 각각은 도핑된 반도체 소스 층(24) 및 금속 소스 층(22)의 수직 스택을 포함하고, 드레인 층들(26, 28) 각각은 도핑된 반도체 드레인 층(26) 및 금속 드레인 층(28)의 수직 스택을 포함한다. 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)이 생략된 경우에, 소스 층들 각각은 도핑된 반도체 소스 층(24)으로 이루어지고, 각각의 드레인 층은 도핑된 반도체 드레인 층(26)으로 이루어진다. 이러한 경우에, 도핑된 반도체 소스 층(24)은 소스 영역 및 소스 라인 둘 모두로서 기능하고, 도핑된 반도체 드레인 층(26)은 드레인 영역들 및 비트 라인 둘 모두로서 기능한다. 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)을 금속 소스 층들(22) 및 금속 드레인 층들(28)로 각각 대체하는 데 채용되는 공정 단계들이 모든 그러한 실시예들에서 생략된다는 것이 이해된다.As discussed above, the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are optional elements that may be omitted. When the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are present, the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are the metal source layers 22 and the metal drain layers, respectively. (28) (ie, source lines and bit lines). In this case, each of the source layers 22, 24 comprises a vertical stack of a doped semiconductor source layer 24 and a metal source layer 22, and each of the drain layers 26, 28 is a doped semiconductor drain layer ( 26 ) and a vertical stack of metal drain layers 28 . When the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are omitted, each of the source layers consists of a doped semiconductor source layer 24 , and each drain layer consists of a doped semiconductor drain layer 26 . ) is made of In this case, the doped semiconductor source layer 24 functions as both the source region and the source line, and the doped semiconductor drain layer 26 functions as both the drain regions and the bit line. It is understood that the process steps employed to replace the source sacrificial material layer 42S and the drain level sacrificial material layers 42D with the metal source layers 22 and the metal drain layers 28, respectively, are omitted in all such embodiments. do.

각각의 수직으로 이웃하는 쌍의 소스 층(22, 24)과 드레인 층(26, 28) 사이에 절연 층들(32C, 321)이 위치된다. 일 실시예에서, 절연 층들(32C, 321)은 전체에 걸쳐 동일한 유전체 재료 조성을 가질 수 있고, 이는 도핑된 실리케이트 유리, 도핑되지 않은 실리케이트 유리, 또는 유기실리케이트 유리의 조성일 수 있다. 다른 실시예에서, 채널 레벨 절연 층들(32C)은 트랜지스터간 레벨 절연 층들(321)과 상이한 조성을 가질 수 있다. 일 실시예에서, 각각의 반도체 채널은 각자의 수직으로 이웃하는 쌍의 소스 층(22, 24)과 드레인 층(26, 28) 사이에 위치된 각자의 채널 레벨 절연 층(32C)의 원통형 측벽과 접촉한다.Insulating layers 32C, 321 are positioned between each vertically neighboring pair of source layers 22, 24 and drain layers 26, 28. In one embodiment, the insulating layers 32C, 321 may have the same dielectric material composition throughout, which may be a composition of doped silicate glass, undoped silicate glass, or organosilicate glass. In another embodiment, the channel level insulating layers 32C may have a different composition from the inter-transistor level insulating layers 321 . In one embodiment, each semiconductor channel comprises a cylindrical sidewall of a respective channel level insulating layer 32C positioned between a respective vertically neighboring pair of source layers 22, 24 and drain layers 26 and 28; contact

유전체 재료, 예컨대 실리콘 산화물이 각각의 백사이드 트렌치 내에 침착되어 백사이드 트렌치 충전 구조물들(76)을 형성할 수 있다. 최상단 절연 층(32T) 위에 침착되는 유전체 재료의 부분들이 콘택 레벨 유전체 층(80)을 구성할 수 있다. 각각의 백사이드 트렌치 충전 구조물(76)은 금속 소스 층(22), 도핑된 반도체 소스 층(24), 채널 레벨 절연 층(32C), 도핑된 반도체 드레인 층(26), 금속 드레인 층(28), 및 트랜지스터간 레벨 절연 층(321)을 포함하는 단위 층 스택의 다수의 인스턴스들을 통해 수직으로 연장될 수 있다.A dielectric material, such as silicon oxide, may be deposited in each backside trench to form backside trench fill structures 76 . Portions of dielectric material deposited over top insulating layer 32T may constitute contact level dielectric layer 80 . Each backside trench fill structure 76 includes a metal source layer 22, a doped semiconductor source layer 24, a channel level insulating layer 32C, a doped semiconductor drain layer 26, a metal drain layer 28, and an inter-transistor level insulating layer 321 , which may extend vertically through multiple instances of the unit layer stack.

도 9a 및 도 9b를 참조하면, 콘택 비아 구조물들(88, 86)이 콘택 레벨 유전체 층(80)을 통해, 그리고 선택적으로 역-스텝형 유전체 재료 부분(65)을 통해 형성될 수 있다. 콘택 비아 구조물들(88, 86)은 워드 라인 콘택 비아 구조물들(88)을 포함하는데, 이들 각각은 콘택 패드 구조물들(68)의 각자의 콘택 패드 구조물과 접촉하고 각자의 게이트 전극(66)에 전기적으로 접속된다. 또한, 콘택 비아 구조물들(88, 86)은 각자의 계단 영역들(200A, 200B) 내의 소스 층들(22, 24) 및 드레인 층들(26, 28)의 각자의 층과 접촉하는 층 콘택 비아 구조물들(86)(예컨대, 86S, 86D)을 포함한다. 구체적으로, 층 콘택 비아 구조물들(86S, 86D) 각각은 계단 영역들(200A, 200B) 내의 스텝형 표면들에 노출된 소스 층들(22, 24) 또는 드레인 층들(26, 28)의 각자의 층의 수평 표면과 접촉할 수 있다. 이어서, 워드 라인들(98)이 콘택 레벨 유전체 층(80) 위에(그리고 게이트 전극들(66), 소스 층들(22, 24) 및 드레인 층들(26, 28) 위에) 형성되고, 콘택 비아 구조물들(88) 및 선택적 콘택 패드 구조물들(68)을 통해 게이트 전극들(66)과 전기 접촉한다. 워드 라인들(98)은 도 9b에 도시된 바와 같이, 백사이드 트렌치들(79)의 길이 방향에 수직인 수평 방향을 따라 연장되는 2차원 어레이로서 배열된다. 도 9b에서, 메모리 개구 충전 구조물들(58)의 위치들은 명확한 원들로서 개략적으로 도시되어 있는 한편, 백사이드 트렌치들(79)의 위치들은 명확한 라인들로서 개략적으로 도시되어 있다.9A and 9B , contact via structures 88 , 86 may be formed through the contact level dielectric layer 80 and optionally through the reverse-stepped dielectric material portion 65 . Contact via structures 88 , 86 include word line contact via structures 88 , each in contact with a respective contact pad structure of contact pad structures 68 and at a respective gate electrode 66 . electrically connected. In addition, contact via structures 88 and 86 are layered contact via structures in contact with respective layers of source layers 22 and 24 and drain layers 26 and 28 in respective stepped regions 200A, 200B. (86) (eg, 86S, 86D). Specifically, each of the layer contact via structures 86S, 86D is a respective layer of the source layers 22, 24 or the drain layers 26, 28 exposed to stepped surfaces in the stepped regions 200A, 200B. may be in contact with the horizontal surface of Word lines 98 are then formed over contact level dielectric layer 80 (and over gate electrodes 66 , source layers 22 , 24 , and drain layers 26 , 28 ) and contact via structures Electrical contact with gate electrodes 66 through 88 and optional contact pad structures 68 . The word lines 98 are arranged as a two-dimensional array extending along a horizontal direction perpendicular to the longitudinal direction of the backside trenches 79 , as shown in FIG. 9B . In FIG. 9B , the locations of the memory aperture fill structures 58 are schematically shown as clear circles, while the locations of the backside trenches 79 are schematically shown as clear lines.

반도체 채널들의 각각의 수직 스택은 소스 층들(22, 24) 및 드레인 층들(26, 28)의 교번하는 스택 내의 각각의 소스 층(22, 24) 및 각각의 드레인 층(26, 28)을 통해 연장되는 연속적 반도체 채널 층(60L)의 부분들로서 형성되고, 콘택 비아 구조물들(86, 88)의 형성 후에 남아 있다. 층 콘택 비아 구조물들(86)은 소스 층 콘택 비아 구조물들(86S) 및 드레인 층 콘택 비아 구조물들(86D)을 포함한다. 각각의 소스 층 콘택 비아 구조물(86S)은 소스 층들(22, 24)의 각자의 소스 층과 접촉한다. 각각의 드레인 층 콘택 비아 구조물(86D)은 드레인 층들(26, 28)의 각자의 드레인 층과 접촉한다.Each vertical stack of semiconductor channels extends through a respective source layer 22 , 24 and a respective drain layer 26 , 28 in an alternating stack of source layers 22 , 24 and drain layers 26 , 28 . formed as portions of the continuous semiconductor channel layer 60L, which remains after formation of the contact via structures 86 and 88. Layer contact via structures 86 include source layer contact via structures 86S and drain layer contact via structures 86D. Each source layer contact via structure 86S is in contact with a respective source layer of the source layers 22 , 24 . Each drain layer contact via structure 86D is in contact with a respective drain layer of the drain layers 26 and 28 .

일 실시예에서, 소스 층들(22, 24) 및 드레인 층들(26, 28)의 교번하는 스택 내의 소스 층들(22, 24)은 기판(9)으로부터의 수직 거리에 따라 감소하는 상이한 측방향 범위들을 갖고, 소스 층들(22, 24) 및 드레인 층들(26, 28)의 교번하는 스택 내의 드레인 층들(26, 28)은 기판(9)으로부터의 수직 거리에 따라 감소하는 상이한 측방향 범위들을 갖는다. 제1 역-스텝형 유전체 재료 부분(65)이 교번하는 스택 내의 소스 층들(22, 24)의 수평 표면들과 접촉하고, 소스 층 콘택 비아 구조물들(86S)을 측방향으로 둘러싼다. 제2 역-스텝형 유전체 재료 부분(65)이 교번하는 스택 내의 드레인 층들(26, 28)의 수평 표면들과 접촉하고, 드레인 층 콘택 비아 구조물들(86D)을 측방향으로 둘러싼다.In one embodiment, source layers 22 , 24 in an alternating stack of source layers 22 , 24 and drain layers 26 , 28 have different lateral extents that decrease with vertical distance from substrate 9 . and drain layers 26 , 28 in an alternating stack of source layers 22 , 24 and drain layers 26 , 28 have different lateral extents that decrease with vertical distance from the substrate 9 . A first counter-stepped dielectric material portion 65 contacts the horizontal surfaces of the source layers 22 , 24 in the alternating stack and laterally surrounds the source layer contact via structures 86S. A second counter-stepped dielectric material portion 65 contacts the horizontal surfaces of drain layers 26 , 28 in the alternating stack and laterally surrounds drain layer contact via structures 86D.

도 10을 참조하면, 본 발명의 제2 실시예에 따른 제2 예시적인 구조물은 트랜지스터간 레벨 절연 층들(321)을 희생 재료 층들로 대체함으로써 도 1의 제1 예시적인 구조물로부터 도출될 수 있고, 이는 본 명세서에서 트랜지스터간 레벨 희생 재료 층들(142)로 지칭된다. 따라서, 단위 층 스택의 다수의 인스턴스들 내의 단위 층 스택의 각각의 인스턴스는 소스 희생 재료 층(42S), 도핑된 반도체 소스 층(24), 채널 레벨 절연 층(32C), 도핑된 반도체 드레인 층(26), 드레인 희생 재료 층(42D), 및 트랜지스터간 레벨 희생 재료 층(142)을 포함한다.Referring to FIG. 10 , a second exemplary structure according to a second embodiment of the present invention can be derived from the first exemplary structure of FIG. 1 by replacing the inter-transistor level insulating layers 321 with sacrificial material layers, These are referred to herein as inter-transistor level sacrificial material layers 142 . Thus, each instance of the unit layer stack within the plurality of instances of the unit layer stack is a source sacrificial material layer 42S, a doped semiconductor source layer 24, a channel level insulating layer 32C, a doped semiconductor drain layer ( 26 ), a drain sacrificial material layer 42D, and an inter-transistor level sacrificial material layer 142 .

소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)은 채널 레벨 절연 층들(32C), 최상단 절연 층(32), 및 최상단 절연 층(32T)의 재료에 대해 선택적으로, 그리고 트랜지스터간 레벨 희생 재료 층들(142)의 재료에 대해 선택적으로 제거될 수 있는 희생 재료를 포함한다. 예를 들어, 채널 레벨 절연 층(32C), 최하단 절연 층(32), 및 최상단 절연 층(32T)은 도핑되지 않은 실리케이트 유리, 도핑된 실리케이트 유리, 또는 유기실리케이트 유리를 포함할 수 있고; 트랜지스터간 레벨 희생 재료 층들(142)은 유전체 금속 산화물(예컨대, 알루미늄 산화물)과 같은 재료, 20% 초과의 게르마늄의 원자 농도를 갖는 실리콘 게르마늄 합금, 폴리머 재료, 또는 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)을 후속적으로 대체하는 금속 소스 층들 및 금속 드레인 층들에 대해 채용될 금속 재료들과는 상이한 금속 재료를 포함할 수 있고; 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)은 실리콘 질화물을 포함할 수 있다. 각각의 트랜지스터간 레벨 희생 재료 층(142)은 5 nm 내지 50 nm 범위의 두께를 가질 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 채용될 수 있다. 대안적인 구성에서, 소스 희생 재료 층들(42S) 및/또는 드레인 희생 재료 층(42D) 중 하나 또는 둘 모두가 생략될 수 있다.Source sacrificial material layers 42S and drain sacrificial material layers 42D are selective with respect to the material of channel level insulating layers 32C, top insulating layer 32, and top insulating layer 32T, and inter-transistor level sacrificial material. and a sacrificial material that can be selectively removed with respect to the material of the material layers 142 . For example, channel level insulating layer 32C, bottom insulating layer 32, and top insulating layer 32T may include undoped silicate glass, doped silicate glass, or organosilicate glass; Inter-transistor level sacrificial material layers 142 may include a material such as a dielectric metal oxide (eg, aluminum oxide), a silicon germanium alloy having an atomic concentration of germanium greater than 20%, a polymer material, or source sacrificial material layers 42S and drain may include a metal material different from the metal materials to be employed for the metal source layers and metal drain layers that subsequently replace the sacrificial material layers 42D; The source sacrificial material layers 42S and the drain sacrificial material layers 42D may include silicon nitride. Each inter-transistor level sacrificial material layer 142 may have a thickness in the range of 5 nm to 50 nm, although smaller and larger thicknesses may also be employed. In an alternative configuration, one or both of the source sacrificial material layers 42S and/or the drain sacrificial material layer 42D may be omitted.

단위 층 스택의 다수의 인스턴스들은 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 교번하는 스택을 포함한다. 채널 레벨 절연 층들(32C)은 각각의 수직으로 이웃하는 쌍의 아래에 놓인 도핑된 반도체 소스 층(24)과 위에 놓인 도핑된 반도체 드레인 층(26) 사이에 제공될 수 있다. 트랜지스터간 레벨 희생 재료 층들(142)은 수직으로 이웃하는 쌍들의 위에 놓인 도핑된 반도체 소스 층(24)과 아래에 놓인 도핑된 반도체 드레인 층(26) 사이에 형성된다.Multiple instances of the unit layer stack include an alternating stack of doped semiconductor source layers 24 and doped semiconductor drain layers 26 . Channel level insulating layers 32C may be provided between the underlying doped semiconductor source layer 24 and the overlying doped semiconductor drain layer 26 of each vertically neighboring pair. Intertransistor level sacrificial material layers 142 are formed between an overlying doped semiconductor source layer 24 and an underlying doped semiconductor drain layer 26 of vertically adjacent pairs.

도 11을 참조하면, 도 2의 공정 단계들이 수행되어 계단 영역들(200A, 200B) 내에 스텝형 공동들(69)을 형성할 수 있다. 계단 영역들(200A, 200B) 내에는 스텝형 표면들이 형성된다. 스텝형 표면들을 형성하기 위한 이방성 에칭 공정들의 단계들은 트랜지스터간 레벨 희생 재료 층들(142)을 효과적으로 에칭하는 에칭 화학물질을 제공하도록 수정될 수 있다.Referring to FIG. 11 , the process steps of FIG. 2 may be performed to form stepped cavities 69 in step regions 200A, 200B. Stepped surfaces are formed in the step areas 200A, 200B. The steps of the anisotropic etching processes to form the stepped surfaces may be modified to provide an etching chemistry that effectively etches the inter-transistor level sacrificial material layers 142 .

도 12a 및 도 12b를 참조하면, 도 3a 및 도 3b의 공정 단계들이 수행되어 단위 층 스택의 다수의 인스턴스들 내의 각각의 층을 통해 메모리 개구들(49)을 형성할 수 있다. 위에서 논의된 바와 같이, 제2 예시적인 구조물의 단위 층 스택은 소스 희생 재료 층(42S), 도핑된 반도체 소스 층(24), 채널 레벨 절연 층(32C), 도핑된 반도체 드레인 층(26), 드레인 희생 재료 층(42D), 및 트랜지스터간 레벨 희생 재료 층(142)을 포함할 수 있다. 메모리 개구들(49)을 형성하기 위한 이방성 에칭 공정들의 단계들은 트랜지스터간 레벨 희생 재료 층들(142)을 효과적으로 에칭하는 에칭 화학물질을 제공하도록 수정될 수 있다.12A and 12B , the process steps of FIGS. 3A and 3B may be performed to form memory openings 49 through each layer in multiple instances of a unit layer stack. As discussed above, the unit layer stack of the second exemplary structure comprises a source sacrificial material layer 42S, a doped semiconductor source layer 24 , a channel level insulating layer 32C, a doped semiconductor drain layer 26 , a drain sacrificial material layer 42D, and an inter-transistor level sacrificial material layer 142 . The steps of the anisotropic etching processes to form the memory openings 49 may be modified to provide an etching chemistry that effectively etches the inter-transistor level sacrificial material layers 142 .

도 13을 참조하면, 도 4의 공정 단계들이 수행되어 메모리 개구 충전 구조물들(58)을 형성할 수 있다. 제2 예시적인 구조물의 메모리 개구 충전 구조물들(58) 각각은 도 4의 메모리 개구 충전 구조물들(58)과 동일한 세트의 요소들을 가질 수 있다.Referring to FIG. 13 , the process steps of FIG. 4 may be performed to form memory aperture filling structures 58 . Each of the memory aperture filling structures 58 of the second exemplary structure may have the same set of elements as the memory aperture filling structures 58 of FIG. 4 .

도 14를 참조하면, 도 5의 공정 단계들이 수행되어 콘택 패드 구조물들(68)을 형성할 수 있다. 각각의 메모리 개구 충전 구조물(58)은 게이트 전극들(66)의 각자의 게이트 전극의 최상단 단부와 접촉하는 각자의 콘택 패드 구조물(68)을 포함할 수 있다.Referring to FIG. 14 , the process steps of FIG. 5 may be performed to form contact pad structures 68 . Each memory aperture filling structure 58 may include a respective contact pad structure 68 in contact with a top end of a respective gate electrode of the gate electrodes 66 .

도 15a 및 도 15b를 참조하면, 도 6a 및 도 6b의 공정 단계들이 수행되어 단위 층 스택의 다수의 인스턴스들을 통해 백사이드 트렌치들(79)을 형성할 수 있다. 백사이드 트렌치들(79)을 형성하기 위한 이방성 에칭 공정들의 단계들은 트랜지스터간 레벨 희생 재료 층들(142)을 효과적으로 에칭하는 에칭 화학물질을 제공하도록 수정될 수 있다.15A and 15B , the process steps of FIGS. 6A and 6B may be performed to form backside trenches 79 through multiple instances of the unit layer stack. The steps of the anisotropic etch processes to form the backside trenches 79 may be modified to provide an etch chemistry that effectively etches the inter-transistor level sacrificial material layers 142 .

도 16을 참조하면, 절연 층들(32), 트랜지스터간 레벨 희생 재료 층들(142), 도핑된 반도체 소스 층들(24), 도핑된 반도체 드레인 층들(26), 역-스텝형 유전체 재료 부분들(65), 및 연속적 반도체 채널 층들(60L)의 재료들에 대하여 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)의 재료들을 선택적으로 에칭하는 에천트가, 예를 들어 에칭 공정을 채용하여 백사이드 트렌치들(79) 안으로 도입될 수 있다. 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)이 제거되는 체적들 내에 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)이 각각 형성된다. 일 실시예에서, 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)은 실리콘 질화물을 포함할 수 있고; 트랜지스터간 레벨 희생 재료 층들(142)은 유전체 금속 산화물(예컨대, 알루미늄 산화물)과 같은 재료, 20% 초과의 게르마늄의 원자 농도를 갖는 실리콘 게르마늄 합금, 폴리머 재료, 또는 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)을 후속적으로 대체하는 금속 소스 층들 및 금속 드레인 층들에 대해 채용될 금속 재료들과는 상이한 금속 재료를 포함할 수 있고; 채널 레벨 절연 층들(32) 및 역-스텝형 유전체 재료 부분(65)의 재료들은 실리콘 산화물을 포함할 수 있다.Referring to FIG. 16 , insulating layers 32 , inter-transistor level sacrificial material layers 142 , doped semiconductor source layers 24 , doped semiconductor drain layers 26 , reverse-stepped dielectric material portions 65 , ), and an etchant that selectively etches the materials of the source sacrificial material layer 42S and the drain sacrificial material layers 42D with respect to the materials of the successive semiconductor channel layers 60L, employing an etching process, for example can be introduced into trenches 79 . Source level backside recesses 43S and drain level backside recesses 43D are respectively formed in the volumes from which the source sacrificial material layer 42S and drain sacrificial material layers 42D are removed. In one embodiment, the source sacrificial material layer 42S and the drain sacrificial material layers 42D may include silicon nitride; Inter-transistor level sacrificial material layers 142 may include a material such as a dielectric metal oxide (eg, aluminum oxide), a silicon germanium alloy having an atomic concentration of germanium greater than 20%, a polymer material, or source sacrificial material layers 42S and drain may include a metal material different from the metal materials to be employed for the metal source layers and metal drain layers that subsequently replace the sacrificial material layers 42D; The materials of the channel level insulating layers 32 and the inverse-stepped dielectric material portion 65 may include silicon oxide.

에칭 공정은 습식 에칭 용액을 채용하는 습식 에칭 공정일 수 있거나, 또는 에천트가 증기 상으로 백사이드 트렌치들(79) 내로 도입되는 기체 상(건식) 에칭 공정일 수 있다. 예를 들어, 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)이 실리콘 질화물을 포함하는 경우, 에칭 공정은, 예시적인 구조물이 인산을 포함하는 습식 에칭 탱크 내에 침지되는 습식 에칭 공정일 수 있고, 이는 실리콘 산화물, 실리콘, 및 다양한 다른 재료들에 대해 선택적인 실리콘 질화물을 에칭한다.The etching process may be a wet etching process employing a wet etching solution, or it may be a gas phase (dry) etching process in which the etchant is introduced into the backside trenches 79 in the vapor phase. For example, if source sacrificial material layers 42S and drain sacrificial material layers 42D include silicon nitride, the etching process may be a wet etching process in which the exemplary structure is immersed in a wet etch tank containing phosphoric acid , which etches silicon nitride selective to silicon oxide, silicon, and various other materials.

도 17을 참조하면, 적어도 하나의 금속 재료가 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D) 내에 침착될 수 있다. 적어도 하나의 금속 재료는 금속 라이너를 형성하는 금속 질화물 재료(예컨대, TaN, TiN, or WN) 및 금속 라이너에 의해 충전되지 않는 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)의 나머지 체적들을 충전하는 금속 충전 재료(예컨대, W, Co, Ru, 또는 Mo)를 포함할 수 있다. 적어도 하나의 금속 재료는 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)의 모든 체적들을 충전한다. 백사이드 트렌치들(79)의 주변 부분들에 또는 최상단 절연 층(32T) 위에 침착된 적어도 하나의 금속 재료의 부분들은 에칭 공정에 의해 제거될 수 있는데, 이는 등방성 에칭 공정 및/또는 이방성 에칭 공정을 포함할 수 있다. 소스 레벨 백사이드 리세스들(43S)을 충전하는 적어도 하나의 금속 재료의 나머지 부분들은 금속 소스 층들(22)을 구성한다. 드레인 레벨 백사이드 리세스들(43D)을 충전하는 적어도 하나의 금속 재료의 나머지 부분들은 금속 드레인 층들(28)을 구성한다. 도핑된 반도체 소스 층(24)과 금속 소스 층(22)의 각각의 인접한 조합은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 소스 영역들 및 소스 라인으로서 기능하는 소스 층(22, 24)을 구성한다. 도핑된 반도체 드레인층(26) 및 금속 드레인 층(28)의 각각의 인접한 조합은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 드레인 영역들 및 비트 라인으로서 기능하는 드레인 층(26, 28)을 구성한다.Referring to FIG. 17 , at least one metal material may be deposited in the source level backside recesses 43S and the drain level backside recesses 43D. The at least one metal material includes a metal nitride material (eg, TaN, TiN, or WN) forming a metal liner and source level backside recesses 43S and drain level backside recesses 43D not filled by the metal liner. ) may include a metal filling material (eg, W, Co, Ru, or Mo) that fills the remaining volumes. At least one metallic material fills all volumes of the source level backside recesses 43S and the drain level backside recesses 43D. Portions of at least one metallic material deposited on peripheral portions of backside trenches 79 or over top insulating layer 32T may be removed by an etching process, including an isotropic etching process and/or an anisotropic etching process. can do. The remaining portions of the at least one metal material filling the source level backside recesses 43S constitute the metal source layers 22 . The remaining portions of the at least one metal material filling the drain level backside recesses 43D constitute the metal drain layers 28 . Each adjacent combination of doped semiconductor source layer 24 and metal source layer 22 forms source layers 22, 24 that serve as source regions and source lines for a respective two-dimensional array of vertical field effect transistors. make up Each adjacent combination of doped semiconductor drain layer 26 and metal drain layer 28 forms drain layers 26 and 28 that serve as bit lines and drain regions for a respective two-dimensional array of vertical field effect transistors. make up

소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)은 생략될 수 있는 선택적 요소들이다. 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)이 존재하는 경우에, 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)은 각각 금속 소스 층들(22) 및 금속 드레인 층들(28)로 대체된다. 이러한 경우에, 소스 층들(22, 24) 각각은 도핑된 반도체 소스 층(24) 및 금속 소스 층(22)의 수직 스택을 포함하고, 드레인 층들(26, 28) 각각은 도핑된 반도체 드레인 층(26) 및 금속 드레인 층(28)의 수직 스택을 포함한다. 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)이 생략된 경우에, 소스 층들 각각은 도핑된 반도체 소스 층(24) 또는 도핑된 반도체 드레인 층(26)으로 이루어진다.Source sacrificial material layer 42S and drain level sacrificial material layers 42D are optional elements that may be omitted. When the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are present, the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are the metal source layers 22 and metal drain layers respectively is replaced by (28). In this case, each of the source layers 22, 24 comprises a vertical stack of a doped semiconductor source layer 24 and a metal source layer 22, and each of the drain layers 26, 28 is a doped semiconductor drain layer ( 26 ) and a vertical stack of metal drain layers 28 . When the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are omitted, each of the source layers consists of a doped semiconductor source layer 24 or a doped semiconductor drain layer 26 .

도 18을 참조하면, 트랜지스터간 백사이드 리세스들(143)은 도핑된 반도체 소스 층들(24), 도핑된 반도체 드레인 층들(26), 채널 레벨 절연 층들(32C), 금속 소스 층들(22), 및 금속 드레인 층들(28)의 재료들에 대해 선택적으로 트랜지스터간 레벨 희생 재료 층들(142)을 제거함으로써 형성될 수 있다. 일 실시예에서, 트랜지스터간 레벨 희생 재료 층들(142)은 유전체 금속 산화물(예컨대, 알루미늄 산화물)과 같은 재료, 20% 초과의 게르마늄의 원자 농도를 갖는 실리콘 게르마늄 합금, 폴리머 재료, 또는 금속 소스 층들(22) 및 금속 드레인 층들(28)의 금속 재료들과는 상이한 금속 재료를 포함할 수 있고, 채널 레벨 절연 층들(32C)은 실리콘 산화물을 포함할 수 있다.Referring to FIG. 18 , the inter-transistor backside recesses 143 include doped semiconductor source layers 24 , doped semiconductor drain layers 26 , channel level insulating layers 32C, metal source layers 22 , and may be formed by removing the inter-transistor level sacrificial material layers 142 selectively with respect to the materials of the metal drain layers 28 . In one embodiment, the inter-transistor level sacrificial material layers 142 are made of a material such as a dielectric metal oxide (eg, aluminum oxide), a silicon germanium alloy having an atomic concentration of germanium greater than 20%, a polymer material, or metal source layers ( 22) and a metal material different from the metal materials of the metal drain layers 28, and the channel level insulating layers 32C may include silicon oxide.

도 19를 참조하면, 등방성 에칭 공정이 수행되어 트랜지스터간 백사이드 리세스들(143)에서 노출되는 연속적 반도체 채널 층들(60L)의 물리적으로 노출된 부분들을 제거할 수 있다. 연속적 반도체 채널 층들(60L)의 물리적으로 노출된 부분들의 제거는 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 재료들에 대해 선택적일 수 있다. 예를 들어, 연속적 반도체 채널 층(60L)은 1.0 × 1014/㎤ 내지 3.0 × 1017/㎤ 범위의 원자 농도로 제2 전도형(예컨대, p 형)의 도펀트들을 포함하는 비정질 실리콘을 포함할 수 있고, 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)은 5.0 × 1019/㎤ 내지 2.0 × 1021/㎤ 범위의 원자 농도로 제1 전도형(예컨대, n 형)의 도펀트들을 포함하는 폴리실리콘 또는 비정질 실리콘을 포함할 수 있다. 이러한 경우에, 연속적 반도체 채널 층(60L)의 물리적으로 노출된 부분들을 에칭하는 등방성 에칭 공정은 고온 트리메틸-2 하이드록시 에틸 암모늄 하이드록사이드("고온 TMY") 또는 테트라메틸 암모늄 하이드록사이드(TMAH)를 채용하는 습식 에칭 공정을 채용할 수 있다. 대안적으로, 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 물리적으로 노출된 표면 부분들은 연속적 반도체 채널 층들(60L)의 물리적으로 노출된 부분들의 제거 동안 부수적으로(collaterally) 에칭될 수 있다.Referring to FIG. 19 , an isotropic etching process may be performed to remove physically exposed portions of the continuous semiconductor channel layers 60L exposed in the inter-transistor backside recesses 143 . Removal of the physically exposed portions of the continuous semiconductor channel layers 60L may be selective for the materials of the doped semiconductor source layers 24 and doped semiconductor drain layers 26 . For example, continuous semiconductor channel layer 60L may include amorphous silicon comprising dopants of a second conductivity type (eg, p-type) at an atomic concentration ranging from 1.0 × 10 14 /cm 3 to 3.0 × 10 17 /cm 3 and doped semiconductor source layers 24 and doped semiconductor drain layers 26 are of a first conductivity type (eg, n-type) at an atomic concentration ranging from 5.0 × 10 19 /cm 3 to 2.0 × 10 21 /cm 3 It may include polysilicon or amorphous silicon with dopants. In this case, an isotropic etching process that etches physically exposed portions of the continuous semiconductor channel layer 60L is high temperature trimethyl-2 hydroxyethyl ammonium hydroxide (“hot TMY”) or tetramethyl ammonium hydroxide (TMAH). ), a wet etching process using Alternatively, physically exposed surface portions of doped semiconductor source layers 24 and doped semiconductor drain layers 26 collaterally during removal of physically exposed portions of successive semiconductor channel layers 60L can be etched.

트랜지스터간 레벨 백사이드 리세스들(143)에 물리적으로 노출되는 각각의 연속적 반도체 채널 층(60L)의 부분들이 등방성 에칭 공정에 의해 제거될 수 있다. 따라서, 등방성 에칭 공정은 각각의 연속적 반도체 채널 층(60L)을 서로 수직으로 이격되는 한 세트의 개별 반도체 채널들(60)로 분할할 수 있다. 다시 말하면, 각각의 연속적 반도체 채널 층(60L)의 나머지 부분들은 서로 직접 접촉하지 않는 한 세트의 개별 반도체 채널들(60)을 포함한다. 개별 반도체 채널들(60) 각각은 소스 층들(22, 24)의 각자의 소스 층의 원통형 수직 표면 및 드레인 층들(26, 28)의 각자의 드레인 층의 원통형 수직 표면과 접촉한다.Portions of each successive semiconductor channel layer 60L that are physically exposed to the inter-transistor level backside recesses 143 may be removed by an isotropic etch process. Accordingly, the isotropic etch process may split each successive semiconductor channel layer 60L into a set of individual semiconductor channels 60 spaced apart vertically from each other. In other words, the remaining portions of each successive semiconductor channel layer 60L include a set of individual semiconductor channels 60 that are not in direct contact with each other. Each of the individual semiconductor channels 60 is in contact with the cylindrical vertical surface of the respective source layer of the source layers 22 , 24 and the cylindrical vertical surface of the respective drain layer of the drain layers 26 , 28 .

도 20을 참조하면, 유전체 재료가 컨포멀(conformal) 침착 공정에 의해 트랜지스터간 백사이드 리세스들(143) 내에 침착될 수 있다. 트랜지스터간 레벨 백사이드 리세스들(143)을 충전하는 유전체 재료의 부분들은 대체 절연 층들을 구성하는데, 이는 본 명세서에서 트랜지스터간 레벨 절연 층들(176)로 지칭된다. 백사이드 트렌치들(79)을 충전하는 유전체 재료의 부분들은 백사이드 트렌치 충전 구조물들(76)을 구성한다. 최상단 절연 층(32T) 위에 놓이는 유전체 충전 재료의 부분들이 콘택 레벨 유전체 층(80)을 구성한다. 트랜지스터간 레벨 절연 층들(176), 백사이드 트렌치 충전 구조물(76), 및 콘택 레벨 유전체 층(80)의 유전체 재료는 전체에 걸쳐 균일한 재료 조성을 가질 수 있고, 도핑된 실리케이트 유리, 도핑되지 않은 실리케이트 유리, 또는 유기실리케이트 유리를 포함할 수 있다.Referring to FIG. 20 , a dielectric material may be deposited in the inter-transistor backside recesses 143 by a conformal deposition process. Portions of dielectric material filling the inter-transistor level backside recesses 143 constitute replacement insulating layers, referred to herein as inter-transistor level insulating layers 176 . Portions of dielectric material filling backside trenches 79 constitute backside trench filling structures 76 . Portions of dielectric filling material overlying the topmost insulating layer 32T constitute the contact level dielectric layer 80 . The dielectric material of the inter-transistor level insulating layers 176 , the backside trench fill structure 76 , and the contact level dielectric layer 80 may have a uniform material composition throughout, doped silicate glass, undoped silicate glass. , or organosilicate glass.

각각의 수직으로 이웃하는 쌍의 소스 층(22, 24)과 드레인 층(26, 28) 사이에 절연 층들(32C, 176)이 제공된다. 일 실시예에서, 절연 층들(32C, 176)은 전체에 걸쳐 동일한 유전체 재료 조성을 가질 수 있고, 이는 도핑된 실리케이트 유리, 도핑되지 않은 실리케이트 유리, 또는 유기실리케이트 유리의 조성일 수 있다. 다른 실시예에서, 채널 레벨 절연 층들(32C)은 트랜지스터간 레벨 절연 층들(176)과 상이한 조성을 가질 수 있다. 예를 들어, 반도체 채널들(60)의 각자의 반도체 채널의 측벽과 접촉하는 채널 레벨 절연 층들(32C)은 제1 유전체 재료 조성을 가질 수 있고, 반도체 채널들(60)의 측벽들과 접촉하지 않는 트랜지스터간 레벨 절연 층들(176)은 제1 유전체 재료 조성과 상이한 제2 유전체 재료 조성을 가질 수 있다. 일 실시예에서, 각각의 반도체 채널(60)은 각자의 수직으로 이웃하는 쌍의 소스 층(22, 24)과 드레인 층(26, 28) 사이에 위치된 각자의 채널 레벨 절연 층(32C)의 원통형 측벽과 접촉한다.Insulating layers 32C, 176 are provided between each vertically neighboring pair of source layers 22,24 and drain layers 26,28. In one embodiment, the insulating layers 32C and 176 may have the same dielectric material composition throughout, which may be a composition of doped silicate glass, undoped silicate glass, or organosilicate glass. In another embodiment, the channel level insulating layers 32C may have a different composition than the inter-transistor level insulating layers 176 . For example, the channel level insulating layers 32C in contact with the sidewall of a respective semiconductor channel of the semiconductor channels 60 may have a first dielectric material composition and not in contact with the sidewalls of the semiconductor channels 60 . The inter-transistor level insulating layers 176 may have a second dielectric material composition different from the first dielectric material composition. In one embodiment, each semiconductor channel 60 comprises a respective channel level insulating layer 32C positioned between a respective vertically neighboring pair of source layers 22 and 24 and drain layers 26 and 28 . in contact with the cylindrical sidewall.

도 21을 참조하면, 도 9a의 공정 단계들이 수행되어 콘택 레벨 유전체 층(80)을 통해 그리고 선택적으로 역-스텝형 유전체 재료 부분(65)을 통해 콘택 비아 구조물들(88, 86)을 형성할 수 있다. 콘택 비아 구조물들(88, 86)은 워드 라인 콘택 비아 구조물들(88)을 포함하는데, 이들 각각은 콘택 패드 구조물들(68)의 각자의 콘택 패드 구조물과 접촉하고 각자의 게이트 전극(66)에 전기적으로 접속된다. 또한, 콘택 비아 구조물들(88, 86)은 각자의 계단 영역들(200A, 200B) 내의 소스 층들(22, 24) 및 드레인 층들(26, 28)의 각자의 층과 접촉하는 층 콘택 비아 구조물들(86)(예컨대, 86S, 86D)을 포함한다. 구체적으로, 층 콘택 비아 구조물들(86S, 86D) 각각은 계단 영역들(200A, 200B) 내의 스텝형 표면들에 노출된 소스 층들(22, 24) 또는 드레인 층들(26, 28)의 각자의 층의 수평 표면과 접촉할 수 있다. 이어서, 워드 라인들(98)이 콘택 레벨 유전체 층(80) 위에(그리고 게이트 전극들(66), 소스 층들(22, 24) 및 드레인 층들(26, 28) 위에) 형성되고, 콘택 비아 구조물(88) 및 선택적 콘택 패드 구조물들(68)을 통해 게이트 전극들(66)과 전기 접촉한다.Referring to FIG. 21 , the process steps of FIG. 9A may be performed to form contact via structures 88 , 86 through contact level dielectric layer 80 and optionally through reverse-stepped dielectric material portion 65 . can Contact via structures 88 , 86 include word line contact via structures 88 , each in contact with a respective contact pad structure of contact pad structures 68 and at a respective gate electrode 66 . electrically connected. In addition, contact via structures 88 and 86 are layered contact via structures in contact with respective layers of source layers 22 and 24 and drain layers 26 and 28 in respective stepped regions 200A, 200B. (86) (eg, 86S, 86D). Specifically, each of the layer contact via structures 86S, 86D is a respective layer of the source layers 22, 24 or the drain layers 26, 28 exposed to stepped surfaces in the stepped regions 200A, 200B. may be in contact with the horizontal surface of Word lines 98 are then formed over contact level dielectric layer 80 (and over gate electrodes 66, source layers 22, 24, and drain layers 26, 28), and a contact via structure ( 88 and optional contact pad structures 68 , in electrical contact with the gate electrodes 66 .

제1 및 제2 실시예들의 제1 예시적인 구조물 및 제2 예시적인 구조물의 모든 도면들을 참조하면, 3차원 메모리 디바이스가 제공되는데, 이는, 기판(9) 위에 위치된 소스 층들(24, 선택적으로 22) 및 드레인 층들(26, 선택적으로 28)의 교번하는 스택; 교번하는 스택의 소스 층들(24, 선택적으로 22) 및 드레인 층들(26, 선택적으로 28) 각각을 통해 수직으로 연장되는 게이트 전극들(66); 게이트 전극들(66)의 각자의 게이트 전극을 각각 측방향으로 둘러싸는 메모리 필름들(54); 및 소스 층들(24, 선택적으로 22)의 소스 층(24, 선택적으로 22) 및 드레인 층들(26, 선택적으로 28)의 드레인 층(26, 선택적으로 28)의 각자의 수직으로 이웃하는 쌍의 측벽들과 접촉하고 메모리 필름들(54)의 각자의 메모리 필름을 각각 측방향으로 둘러싸는 반도체 채널들(60 또는 층(60L)의 부분들)을 포함한다.With reference to all drawings of the first exemplary structure and the second exemplary structure of the first and second embodiments, a three-dimensional memory device is provided, comprising source layers 24 , optionally located over a substrate 9 , 22) and an alternating stack of drain layers 26, optionally 28; gate electrodes 66 extending vertically through each of the source layers 24 (optionally 22) and drain layers 26 (optionally 28) of the alternating stack; memory films 54 laterally surrounding a respective gate electrode of the gate electrodes 66, respectively; and a respective vertically neighboring pair of sidewalls of the source layer 24 (optionally 22) of the source layers 24 (optionally 22) and the drain layer 26 (optionally 28) of the drain layers 26 (optionally 28). and semiconductor channels (or portions of layer 60L) contacting the semiconductor channels and laterally surrounding a respective memory film of memory films 54, respectively.

일 실시예에서, 워드 라인들(98)은 게이트 전극들(66) 위에 그리고 소스 층들 및 드레인 층들의 교번하는 스택 위에 위치되고, 여기서 워드 라인들은 게이트 전극들에 전기적으로 접속된다. 원통형 메모리 개구들과 같은 메모리 개구들(49)의 어레이는 교번하는 스택을 통해 수직으로 연장될 수 있고, 게이트 전극들(66) 각각은 메모리 개구들(49)의 각자의 메모리 개구 내에 위치된다. 반도체 채널들 각각은 중공 원통 형상을 가질 수 있다.In one embodiment, word lines 98 are positioned over gate electrodes 66 and over an alternating stack of source and drain layers, where the word lines are electrically connected to the gate electrodes. An array of memory openings 49 , such as cylindrical memory openings, may extend vertically through an alternating stack, each of gate electrodes 66 positioned within a respective memory opening of memory openings 49 . Each of the semiconductor channels may have a hollow cylindrical shape.

각각의 게이트 전극(66)은 기판(9) 위에 위치된 적어도 4개의 소스 층들(24, 선택적으로 22) 및 적어도 4개의 드레인 층들(26, 선택적으로 28)의 교번하는 스택을 통해 연장될 수 있다.Each gate electrode 66 may extend through an alternating stack of at least four source layers 24 , optionally 22 and at least four drain layers 26 , optionally 28 positioned over the substrate 9 . .

절연 층들{(32C, 321) 또는(32C, 176)}은 각각의 수직으로 이웃하는 쌍의 제1 소스 층(24, 선택적으로 22)과 드레인 층(26, 선택적으로 28) 사이에 위치될 수 있다. 일 실시예에서, 절연 층들{(32C, 321) 또는 (32C, 176)}은 전체에 걸쳐 동일한 유전체 재료 조성을 갖는다. 다른 실시예에서, 절연 층들{(32C, 321) 또는 (32C, 176)}은 반도체 채널들(60 또는 층(60L)의 부분들)의 각자의 반도체 채널과 접촉하고 제1 유전체 재료 조성을 갖는 채널 레벨 절연 층들(32C); 및 반도체 채널들(60 또는 층(60L)의 부분들) 중 어떠한 반도체 채널과도 접촉하지 않고 제1 유전체 재료 조성과 상이한 제2 유전체 재료 조성을 갖는 트랜지스터간 레벨 절연 층들(176)을 포함한다.Insulating layers (32C, 321 or 32C, 176) may be positioned between each vertically neighboring pair of first source layer 24 (optionally 22) and drain layer 26 (optionally 28). have. In one embodiment, the insulating layers (32C, 321 or 32C, 176) have the same dielectric material composition throughout. In another embodiment, the insulating layers (32C, 321 or 32C, 176) contact a respective semiconductor channel of the semiconductor channels 60 or portions of the layer 60L and have a first dielectric material composition. level insulating layers 32C; and inter-transistor level insulating layers 176 having a second dielectric material composition different from the first dielectric material composition without contacting any of the semiconductor channels 60 or portions of layer 60L.

일 실시예에서, 반도체 채널은 교번하는 스택 내의 각각의 소스 층(24, 선택적으로 22) 및 각각의 드레인 층(26, 선택적으로 28)을 통해 연장되는 연속적 반도체 채널 층(60L)의 각자의 부분을 포함한다.In one embodiment, the semiconductor channel is a respective portion of a continuous semiconductor channel layer 60L extending through each source layer 24 (optionally 22) and each drain layer 26 (optionally 28) in an alternating stack. includes

다른 실시예에서, 반도체 채널들 각각은 서로 수직으로 이격되는 한 세트의 개별 반도체 채널들(60) 중 하나의 채널(60)을 포함한다. 일 실시예에서, 개별 반도체 채널들(60) 각각은, 각자의 위에 놓인 트랜지스터간 절연 층(176)의 하단 표면과 접촉하는 환상 상단 표면, 및 각자의 아래에 놓인 트랜지스터간 절연 층(176)과 접촉하는 환상 하단 표면을 포함한다.In another embodiment, each of the semiconductor channels includes one channel 60 of a set of individual semiconductor channels 60 spaced apart vertically from each other. In one embodiment, each of the individual semiconductor channels 60 has an annular top surface in contact with the bottom surface of a respective overlying inter-transistor insulating layer 176, and a respective underlying inter-transistor insulating layer 176; and an annular bottom surface in contact.

일 실시예에서, 반도체 채널들(60 또는 60L의 부분들) 각각은 각자의 수직으로 이웃하는 쌍의 소스 층(24, 선택적으로 22)과 드레인 층(26, 선택적으로 28) 사이에 위치된 각자의 채널 레벨 절연 층(32C)의 원통형 측벽과 접촉한다.In one embodiment, each of the semiconductor channels (portions of 60 or 60L) is each positioned between the source layer 24 (optionally 22) and the drain layer 26 (optionally 28) of a respective vertically neighboring pair. in contact with the cylindrical sidewall of the channel level insulating layer 32C.

일 실시예에서, 소스 층들(24, 22) 각각은 도핑된 반도체 소스 층(24) 및 금속 소스 층(22)의 수직 스택을 포함하고; 드레인 층들(26, 28) 각각은 도핑된 반도체 드레인 층(26) 및 금속 드레인 층(28)의 수직 스택을 포함한다.In one embodiment, each of the source layers 24 , 22 comprises a vertical stack of a doped semiconductor source layer 24 and a metal source layer 22 ; Each of the drain layers 26 , 28 includes a vertical stack of a doped semiconductor drain layer 26 and a metal drain layer 28 .

일 실시예에서, 메모리 필름들(54) 각각은, 터널링 유전체 층(542); 터널링 유전체 층(542)과 접촉하는 전하 저장 층(544); 전하 저장 층(544)과 접촉하는 차단 유전체 층(546)을 포함하는 네스트형 층 스택(nested layer stack)을 포함한다. 다른 실시예에서, 메모리 필름들(54) 각각은 강유전성 재료 층을 포함하고/포함하거나 강유전성 재료 층으로 이루어진다.In one embodiment, each of the memory films 54 includes a tunneling dielectric layer 542 ; a charge storage layer 544 in contact with the tunneling dielectric layer 542 ; a nested layer stack including a blocking dielectric layer 546 in contact with a charge storage layer 544 . In another embodiment, each of the memory films 54 includes and/or consists of a layer of ferroelectric material.

일 실시예에서, 3차원 메모리 디바이스는 소스 층들(24, 선택적으로 22)의 각자의 소스 층과 접촉하는 소스 층 콘택 비아 구조물들(86S); 및 드레인 층들(26, 선택적으로 28)의 각자의 드레인 층과 접촉하는 드레인 층 콘택 비아 구조물들(86D)을 포함한다.In one embodiment, the three-dimensional memory device includes source layer contact via structures 86S in contact with a respective source layer of source layers 24, optionally 22; and drain layer contact via structures 86D in contact with a respective drain layer of drain layers 26 , optionally 28 .

일 실시예에서, 교번하는 스택 내의 소스 층들(24, 선택적으로 22)은 기판(9)으로부터의 수직 거리에 따라 감소하는 상이한 측방향 범위들을 갖고; 교번하는 스택 내의 드레인 층들(26, 선택적으로 28)은 기판(9)으로부터의 수직 거리에 따라 감소하는 상이한 측방향 범위들을 갖고; 제1 역-스텝형 유전체 재료 부분(65)은 교번하는 스택 내의 소스 층들(24, 선택적으로 22)의 수평 표면들과 접촉하고, 소스 층 콘택 비아 구조물들(86S)을 측방향으로 둘러싸고; 그리고, 제2 역-스텝형 유전체 재료 부분(65)은 교번하는 스택 내의 드레인 층들(26, 선택적으로 28)의 수평 표면들과 접촉하고, 드레인 층 콘택 비아 구조물들(86D)을 통해 측방향으로 둘러싼다.In one embodiment, the source layers 24 , optionally 22 in the alternating stack, have different lateral extents that decrease with vertical distance from the substrate 9 ; The drain layers 26 , optionally 28 in the alternating stack, have different lateral extents that decrease with vertical distance from the substrate 9 ; a first reverse-stepped dielectric material portion 65 contacts the horizontal surfaces of the source layers 24 , optionally 22 in the alternating stack, and laterally surrounds the source layer contact via structures 86S; Then, the second inverse-stepped dielectric material portion 65 contacts the horizontal surfaces of the drain layers 26 , optionally 28 in the alternating stack, and laterally through the drain layer contact via structures 86D. surround

일 실시예에서, 게이트 전극들(66)은 메모리 필름들(54)의 수평 부분들에 의해 기판(9)으로부터 수직으로 이격되고; 게이트 전극들(66) 각각은 각자의 유전체 코어(62)를 측방향으로 둘러싸고; 그리고, 콘택 패드 구조물들(68)은 게이트 전극들(66)의 각자의 게이트 전극의 상단 단부와 접촉한다.In one embodiment, the gate electrodes 66 are vertically spaced from the substrate 9 by horizontal portions of the memory films 54 ; each of the gate electrodes 66 laterally surrounds a respective dielectric core 62; Then, the contact pad structures 68 contact the top end of the respective gate electrode of the gate electrodes 66 .

도 22를 참조하면, 본 발명의 제3 실시예에 따른 제3 예시적인 구조물은 트랜지스터간 레벨 절연 층들(32C)을 트랜지스터간 레벨 절연 층들(321)과는 상이한 재료 조성을 갖는 채널 레벨 절연 층들(132)로 대체함으로써 도 1의 제1 예시적인 구조물로부터 도출될 수 있다. 따라서, 단위 층 스택의 다수의 인스턴스들 내의 단위 층 스택의 각각의 인스턴스는 소스 희생 재료 층(42S), 도핑된 반도체 소스 층(24), 채널 레벨 절연 층(132), 도핑된 반도체 드레인 층(26), 드레인 희생 재료 층(42D), 및 트랜지스터간 레벨 절연 층(321)을 포함한다. 대안적인 구성에서, 소스 희생 재료 층들(42S) 및/또는 드레인 희생 재료 층(42D) 중 하나 또는 둘 모두가 생략될 수 있다.Referring to FIG. 22 , a third exemplary structure in accordance with a third embodiment of the present invention comprises inter-transistor level insulating layers 32C and channel level insulating layers 132 having a different material composition from the inter-transistor level insulating layers 321 ) can be derived from the first exemplary structure of FIG. 1 by substituting Thus, each instance of the unit layer stack within the plurality of instances of the unit layer stack comprises a source sacrificial material layer 42S, a doped semiconductor source layer 24, a channel level insulating layer 132, a doped semiconductor drain layer ( 26), a drain sacrificial material layer 42D, and an inter-transistor level insulating layer 321 . In an alternative configuration, one or both of the source sacrificial material layers 42S and/or the drain sacrificial material layer 42D may be omitted.

채널 레벨 절연 층들(132)은 제1 절연 재료를 포함하고, 트랜지스터간 레벨 절연 층들(321)은 등방성 에칭 공정에서 더 작은 에칭 속도를 제공할 수 있는 제2 절연 재료를 포함한다. 예를 들어, 채널 레벨 절연 층들(132)은 보로실리케이트 유리 또는 유기실리케이트 유리를 포함할 수 있고, 트랜지스터간 레벨 절연 층들(321)은 도핑되지 않은 실리케이트 유리를 포함할 수 있다. 이러한 경우에, 100:1로 희석된 플루오르화수소산 내의 채널 레벨 절연 층들(132)의 재료의 에칭 속도는 100:1로 희석된 플루오르화수소산 내의 트랜지스터간 레벨 절연 층들(321)의 재료의 에칭 속도의 적어도 10배, 예컨대 100배 이상일 수 있다. 각각의 채널 레벨 절연 층들(132)은 5 nm 내지 50 nm 범위의 두께를 가질 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 채용될 수 있다. 대안적으로, 채널 레벨 절연 층들(132)은 알루미늄 산화물을 포함할 수 있고, 트랜지스터간 레벨 절연 층들(321)은 알루미늄 산화물 선택적 에칭이 사용될 경우 도핑되지 않은 실리케이트 유리(즉, 실리콘 산화물)를 포함할 수 있다.The channel level insulating layers 132 include a first insulating material, and the inter-transistor level insulating layers 321 include a second insulating material that can provide a smaller etch rate in an isotropic etch process. For example, the channel level insulating layers 132 may include borosilicate glass or organosilicate glass, and the inter-transistor level insulating layers 321 may include undoped silicate glass. In this case, the etch rate of the material of the channel level insulating layers 132 in 100:1 diluted hydrofluoric acid is the etch rate of the material of the intertransistor level insulating layers 321 in 100:1 diluted hydrofluoric acid. It may be at least 10 times, for example, 100 times or more. Each of the channel level insulating layers 132 may have a thickness in the range of 5 nm to 50 nm, although smaller and larger thicknesses may also be employed. Alternatively, the channel level insulating layers 132 may comprise aluminum oxide, and the inter-transistor level insulating layers 321 may comprise undoped silicate glass (i.e., silicon oxide) when aluminum oxide selective etching is used. can

단위 층 스택의 다수의 인스턴스들은 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 교번하는 스택을 포함한다. 채널 레벨 절연 층들(132)은 후속적으로 형성될 반도체 채널들의 레벨들에서 형성된다. 예를 들어, 채널 레벨 절연 층들(132)은 각각의 수직으로 이웃하는 쌍의 아래에 놓인 도핑된 반도체 소스 층(24)과 위에 놓인 도핑된 반도체 드레인 층(26) 사이에 형성될 수 있다. 트랜지스터간 레벨 절연 층들(321)은 후속적으로 형성될 트랜지스터간 갭들의 레벨들에서 제공될 수 있다. 예를 들어, 트랜지스터간 레벨 절연 층들(321)은 수직으로 이웃하는 쌍들의 위에 놓인 도핑된 반도체 소스 층(24)과 아래에 놓인 도핑된 반도체 드레인 층(26) 사이에 형성될 수 있다. 따라서, 각각의 수직으로 이웃하는 쌍의 도핑된 반도체 소스 층(24)과 도핑된 반도체 드레인 층(26) 사이에 절연 층들(131, 321)이 형성된다.Multiple instances of the unit layer stack include an alternating stack of doped semiconductor source layers 24 and doped semiconductor drain layers 26 . Channel level insulating layers 132 are formed at the levels of semiconductor channels to be subsequently formed. For example, channel level insulating layers 132 may be formed between an underlying doped semiconductor source layer 24 and an overlying doped semiconductor drain layer 26 of each vertically neighboring pair. Inter-transistor level insulating layers 321 may be provided at the levels of inter-transistor gaps to be subsequently formed. For example, inter-transistor level insulating layers 321 may be formed between an overlying doped semiconductor source layer 24 and an underlying doped semiconductor drain layer 26 of vertically adjacent pairs. Accordingly, insulating layers 131 , 321 are formed between each vertically neighboring pair of doped semiconductor source layer 24 and doped semiconductor drain layer 26 .

도 23을 참조하면, 도 2의 공정 단계들이 수행되어 계단 영역들(200A, 200B) 내에 스텝형 공동들(69)을 형성할 수 있다. 계단 영역들(200A, 200B) 내에는 스텝형 표면들이 형성된다. 스텝형 표면들을 형성하기 위한 이방성 에칭 공정들의 단계들은 채널 레벨 절연 층들(132)을 효과적으로 에칭하는 에칭 화학물질을 제공하도록 수정될 수 있다.Referring to FIG. 23 , the process steps of FIG. 2 may be performed to form stepped cavities 69 in step regions 200A, 200B. Stepped surfaces are formed in the step areas 200A, 200B. The steps of the anisotropic etch processes to form the stepped surfaces can be modified to provide an etch chemistry that effectively etches the channel level insulating layers 132 .

도 24a 및 도 24b를 참조하면, 도 3a 및 도 3b의 공정 단계들이 수행되어 단위 층 스택의 다수의 인스턴스들 내의 각각의 층을 통해 메모리 개구들(49)을 형성할 수 있다. 위에서 논의된 바와 같이, 제2 예시적인 구조물의 단위 층 스택은 소스 희생 재료 층(42S), 도핑된 반도체 소스 층(24), 채널 레벨 절연 층(132), 도핑된 반도체 드레인 층(26), 드레인 희생 재료 층(42D), 및 트랜지스터간 레벨 절연 층(321)을 포함할 수 있다. 메모리 개구들(49)을 형성하기 위한 이방성 에칭 공정들의 단계들은 채널 레벨 절연 층들(132)을 효과적으로 에칭하는 에칭 화학물질을 제공하도록 수정될 수 있다.24A and 24B , the process steps of FIGS. 3A and 3B may be performed to form memory openings 49 through each layer in multiple instances of a unit layer stack. As discussed above, the unit layer stack of the second exemplary structure includes a source sacrificial material layer 42S, a doped semiconductor source layer 24 , a channel level insulating layer 132 , a doped semiconductor drain layer 26 , a drain sacrificial material layer 42D, and an inter-transistor level insulating layer 321 . The steps of the anisotropic etch processes to form the memory openings 49 may be modified to provide an etch chemistry that effectively etches the channel level insulating layers 132 .

도 25를 참조하면, 측방향 환상 공동들(349)은 트랜지스터간 레벨 절연 층들(321), 도핑된 반도체 소스 층들(24), 도핑된 반도체 드레인 층들(26), 소스 희생 재료 층들(42S), 및 드레인 희생 재료 층들(42D)에 대해 채널 레벨 절연 층들(132)을 측방향으로 리세스함으로써 채널 레벨 절연 층들(132)의 각각의 레벨에서 형성될 수 있다. 위에서 논의된 바와 같이, 채널 레벨 절연 층들(132)의 제1 절연 재료는 등방성 에칭 공정에서 트랜지스터간 레벨 절연 층들(321)의 제2 절연 재료보다 더 큰 에칭 속도를 제공한다. 일 실시예에서, 채널 레벨 절연 층들(132)의 제1 절연 재료는 보로실리케이트 유리 또는 유기실리케이트 유리를 포함할 수 있고, 트랜지스터간 레벨 절연 층들(321)의 제2 절연 재료는 도핑되지 않은 실리케이트 유리를 포함할 수 있다.25 , the lateral annular cavities 349 include inter-transistor level insulating layers 321, doped semiconductor source layers 24, doped semiconductor drain layers 26, source sacrificial material layers 42S, and laterally recessing the channel level insulating layers 132 with respect to the drain sacrificial material layers 42D. As discussed above, the first insulating material of the channel level insulating layers 132 provides a greater etch rate than the second insulating material of the inter-transistor level insulating layers 321 in an isotropic etching process. In one embodiment, the first insulating material of the channel level insulating layers 132 may include borosilicate glass or organosilicate glass, and the second insulating material of the inter-transistor level insulating layers 321 is undoped silicate glass. may include.

이러한 경우에, 100:1로 희석된 플루오르화수소산 내의 채널 레벨 절연 층들(132)의 제1 절연 재료의 에칭 속도는 100:1로 희석된 플루오르화수소산 내의 트랜지스터간 레벨 절연 층들(321)의 제2 절연 재료의 에칭 속도의 적어도 10배, 예컨대 100배 이상일 수 있다. 등방성 에칭 공정은 100:1로 희석된 플루오르화수소산을 채용하는 습식 에칭 공정일 수 있다. 환상 공동들(349) 각각의 측방향 리세스 거리는 5 nm 내지 100 nm, 예컨대 10 nm 내지 50 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 거리들이 또한 채용될 수 있다.In this case, the etch rate of the first insulating material of the channel level insulating layers 132 in 100:1 dilute hydrofluoric acid is the same as that of the intertransistor level insulating layers 321 in 100:1 dilute hydrofluoric acid. 2 It may be at least 10 times the etch rate of the insulating material, such as 100 times or more. The isotropic etching process may be a wet etching process employing hydrofluoric acid diluted 100:1. The lateral recess distance of each of the annular cavities 349 may range from 5 nm to 100 nm, such as 10 nm to 50 nm, although smaller and larger distances may also be employed.

도 26을 참조하면, 화학적 증착 공정과 같은 컨포멀 침착 공정에 의해 환상 공동들(349) 내에 반도체 재료가 침착될 수 있다. 환상 공동들(349) 내에 침착된 반도체 재료는 제1 예시적인 구조물에 사용되는 연속적 반도체 채널 층들(60L)과 동일한 재료 조성을 가질 수 있다. 컨포멀하게 침착된 반도체 재료의 부분들은 이방성 에칭 공정을 수행함으로써 환상 공동들(349) 외부로부터 제거될 수 있다. 환상 공동들(349) 내의 컨포멀하게 침착된 반도체 재료의 나머지 부분들은 반도체 채널들(360)의 수직 스택들을 구성한다. 반도체 채널들(360)의 각각의 수직 스택은 환상 공동들(349) 내에 위치되고 서로 수직으로 이격된, 즉 서로 직접 접촉하지 않는 한 세트의 개별 반도체 채널들로서 형성된다. 각각의 반도체 채널(360)은 환상 형상(예컨대, 중공 디스크 형상)을 가질 수 있다. 예를 들어, 각각의 반도체 채널(360)은 내측 원통형 측벽, 외측 원통형 측벽, 상단 환상 표면, 및 하단 환상 표면을 가질 수 있다.Referring to FIG. 26 , semiconductor material may be deposited within the annular cavities 349 by a conformal deposition process, such as a chemical vapor deposition process. The semiconductor material deposited in the annular cavities 349 may have the same material composition as the continuous semiconductor channel layers 60L used in the first exemplary structure. Portions of conformally deposited semiconductor material may be removed from outside the annular cavities 349 by performing an anisotropic etch process. The remaining portions of conformally deposited semiconductor material within the annular cavities 349 constitute vertical stacks of semiconductor channels 360 . Each vertical stack of semiconductor channels 360 is formed as a set of individual semiconductor channels located within the annular cavities 349 and spaced apart vertically from each other, ie not in direct contact with each other. Each semiconductor channel 360 may have an annular shape (eg, a hollow disk shape). For example, each semiconductor channel 360 may have an inner cylindrical sidewall, an outer cylindrical sidewall, a top annular surface, and a bottom annular surface.

개별 반도체 채널들(360) 각각은 채널 레벨 절연 층들(132)의 각자의 채널 레벨 절연 층의 원통형 측벽과 접촉한다. 단위 층 스택의 다수의 인스턴스들에서의 절연 층들(132, 321)은 반도체 채널들(360)의 각자의 반도체 채널의 측벽과 접촉하는 채널 레벨 절연 층들(132), 및 반도체 채널들(360) 중 어떠한 반도체 채널과도 접촉하지 않는 트랜지스터간 레벨 절연 층들(321)을 포함한다. 채널 레벨 절연 층들(132)의 측벽들은 트랜지스터간 레벨 절연 층들(321)의 측벽들에 대해 그리고 각각의 메모리 개구(49) 주위의 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 측벽들에 대해 외측으로 측방향으로 리세스된다. 채널 레벨 절연 층들(132) 각각은 도핑된 반도체 소스 층들(24)의 각자의 도핑된 반도체 소스 층 및 도핑된 반도체 드레인 층들(26)의 각자의 도핑된 반도체 드레인 층의 수평 표면들과 직접 접촉할 수 있다.Each of the individual semiconductor channels 360 contacts a cylindrical sidewall of a respective channel level insulating layer of the channel level insulating layers 132 . Insulating layers 132 , 321 in multiple instances of the unit layer stack are channel level insulating layers 132 in contact with a sidewall of a respective semiconductor channel of semiconductor channels 360 , and one of semiconductor channels 360 . Inter-transistor level insulating layers 321 that are not in contact with any semiconductor channel. The sidewalls of the channel level insulating layers 132 are doped semiconductor source layers 24 and doped semiconductor drain layers 26 with respect to the sidewalls of the inter-transistor level insulating layers 321 and around each memory opening 49 . is laterally recessed outward relative to the sidewalls of the Each of the channel level insulating layers 132 will be in direct contact with the horizontal surfaces of the respective doped semiconductor source layer of the doped semiconductor source layers 24 and the respective doped semiconductor drain layer of the doped semiconductor drain layers 26 . can

반도체 채널들(360) 각각은, 메모리 필름들 및 게이트 전극들의 형성 이전에 도핑된 반도체 소스 층(24) 및 도핑된 반도체 드레인 층(26)의 각자의 수직으로 이웃하는 쌍의 수평 평면들 상에 형성된다. 반도체 채널들(360)의 수직 스택이 메모리 개구들(49) 각각에 형성된다. 반도체 채널들(360) 각각은 도핑된 반도체 소스 층(24) 및 도핑된 반도체 드레인 층(26)의 각자의 수직으로 이웃하는 쌍에 접속된다.Each of the semiconductor channels 360 is on a respective vertically neighboring pair of horizontal planes of the doped semiconductor source layer 24 and the doped semiconductor drain layer 26 prior to formation of the memory films and gate electrodes. is formed A vertical stack of semiconductor channels 360 is formed in each of the memory openings 49 . Each of the semiconductor channels 360 is connected to a respective vertically neighboring pair of a doped semiconductor source layer 24 and a doped semiconductor drain layer 26 .

일 실시예에서, 각각의 반도체 채널(360)의 외측 측벽 전체는 각자의 수직으로 이웃하는 쌍의 도핑된 반도체 소스 층 (24)과 도핑된 반도체 드레인 층 (26) 사이에 위치된 각자의 채널 레벨 절연 층(132)의 원통형 측벽과 접촉한다. 일 실시예에서, 외측 측벽은 각자의 수직으로 이웃하는 쌍 내의 도핑된 반도체 소스 층(24) 및 도핑된 반도체 드레인 층(26) 중 하나의 층의 수평 표면과 접촉하는 상부 주연부를 포함하고, 각자의 수직으로 이웃하는 쌍 내의 도핑된 반도체 소스 층(24) 및 도핑된 반도체 드레인 층(26)의 다른 층의 수평 표면과 접촉하는 하부 주연부를 포함한다.In one embodiment, the entire outer sidewall of each semiconductor channel 360 is at a respective channel level positioned between a respective vertically neighboring pair of doped semiconductor source layer 24 and doped semiconductor drain layer 26 . It contacts the cylindrical sidewall of the insulating layer 132 . In one embodiment, the outer sidewall comprises an upper perimeter in contact with the horizontal surface of one of the doped semiconductor source layer 24 and the doped semiconductor drain layer 26 in a respective vertically neighboring pair, each a lower perimeter in contact with the horizontal surface of the other layers of doped semiconductor source layer 24 and doped semiconductor drain layer 26 in a vertically neighboring pair of

도 27을 참조하면, 연속적 재료 층들이 메모리 개구들(49) 각각에 순차적으로 침착될 수 있다. 연속적 재료 층들은 연속적 메모리 필름, 연속적 전도성 재료 층, 및 선택적 유전체 충전 재료 층을 포함할 수 있다. 연속적 재료 층들의 과잉 부분들은 평탄화 공정에 의해 최상단 절연 층들(32T)의 상단 표면 및 역-스텝형 유전체 재료 부분들의 상단 표면들을 포함하는 수평 평면 위로부터 제거될 수 있다. 평탄화 공정은 화학적 기계적 평탄화 및/또는 적어도 하나의 리세스 에칭 공정을 채용할 수 있다. 메모리 개구(49) 내에 남아 있는 연속적 재료 층들의 각각의 부분은 메모리 개구 충전 구조물(58)을 구성한다.Referring to FIG. 27 , successive layers of material may be sequentially deposited into each of the memory openings 49 . The continuous material layers may include a continuous memory film, a continuous conductive material layer, and an optional dielectric fill material layer. Excess portions of the successive material layers may be removed from above a horizontal plane including the top surface of the topmost insulating layers 32T and the top surfaces of the reverse-stepped dielectric material portions by a planarization process. The planarization process may employ chemical mechanical planarization and/or at least one recess etch process. Each portion of the continuous material layers remaining within the memory opening 49 constitutes a memory opening filling structure 58 .

각각의 메모리 개구 충전 구조물(58)은 메모리 필름(54), 게이트 전극(66), 및 선택적 유전체 코어(62)를 포함한다. 각각의 메모리 필름(54)은 평탄화 공정 후의 연속적 메모리 필름의 나머지 부분이다. 각각의 게이트 전극(66)은 평탄화 공정 후의 연속적 전도성 재료 층의 나머지 부분이다. 각각의 유전체 코어(62)는 유전체 충전 재료 층의 나머지 부분이다. 반도체 채널들(360)의 각각의 수직 스택은 각자의 메모리 필름(54) 및 각자의 게이트 전극(66)을 측방향으로 둘러싼다.Each memory aperture filling structure 58 includes a memory film 54 , a gate electrode 66 , and an optional dielectric core 62 . Each memory film 54 is the remainder of the continuous memory film after the planarization process. Each gate electrode 66 is the remainder of the continuous conductive material layer after the planarization process. Each dielectric core 62 is the remainder of the dielectric fill material layer. Each vertical stack of semiconductor channels 360 laterally surrounds a respective memory film 54 and a respective gate electrode 66 .

메모리 필름(54)은 트래핑된 전기 전하들, 분극, 또는 자기 모멘트들의 형태로 데이터 비트들을 저장할 수 있는 적어도 하나의 재료 층을 포함한다. 일 실시예에서, 메모리 필름들 각각은, 외측에서부터 내측으로, 터널링 유전체 층(542), 터널링 유전체 층(542)과 접촉하는 전하 저장 층(544), 및 전하 저장 층(544)과 접촉하는 차단 유전체 층(546)을 포함한다. 터널링 유전체 층(542)은 실리콘 산화물 또는 ONO 스택(즉, 실리콘 산화물 층, 실리콘 질화물 층, 및 실리콘 산화물 층의 스택)과 같은 터널링 유전체 재료를 포함할 수 있다. 전하 저장 층(544)은 실리콘 질화물과 같은 전하 저장 재료를 포함할 수 있다. 차단 유전체 층(546)은 실리콘 산화물 및/또는 유전체 금속 산화물과 같은 차단 유전체 재료를 포함할 수 있다. 다른 실시예에서, 메모리 필름들(54) 각각은 반도체 채널들(360) 또는 게이트 전극(66)과 접촉할 수 있는 적어도 하나의 선택적 유전체 재료 층(예컨대, 실리콘 산화물 층) 및 강유전성 재료 층(도시되지 않음)을 포함한다.Memory film 54 includes at least one material layer capable of storing data bits in the form of trapped electrical charges, polarization, or magnetic moments. In one embodiment, each of the memory films comprises, from the outside to the inside, a tunneling dielectric layer 542 , a charge storage layer 544 in contact with the tunneling dielectric layer 542 , and a blocking contact with the charge storage layer 544 . dielectric layer 546 . Tunneling dielectric layer 542 may include a tunneling dielectric material such as silicon oxide or an ONO stack (ie, a stack of silicon oxide layers, silicon nitride layers, and silicon oxide layers). Charge storage layer 544 may include a charge storage material such as silicon nitride. Blocking dielectric layer 546 may include a blocking dielectric material such as silicon oxide and/or dielectric metal oxide. In another embodiment, each of the memory films 54 includes at least one optional layer of dielectric material (eg, a silicon oxide layer) and a layer of ferroelectric material (as shown) that may be in contact with the semiconductor channels 360 or the gate electrode 66 . not included).

각각의 게이트 전극(66)은 금속 재료와 같은 전도성 재료를 포함한다. 예를 들어, 각각의 게이트 전극(66)은 금속(예컨대, W, Co, Mo, Ru, Cu, 또는 이들의 합금)을 포함하는 금속 층 및 전도성 금속 질화물(예컨대, TiN, TaN, 또는 WN)을 포함하는 금속 질화물 라이너의 층 스택을 포함할 수 있다.Each gate electrode 66 includes a conductive material, such as a metallic material. For example, each gate electrode 66 may have a metal layer comprising a metal (eg, W, Co, Mo, Ru, Cu, or alloys thereof) and a conductive metal nitride (eg, TiN, TaN, or WN). and a layer stack of metal nitride liners comprising:

각각의 유전체 코어(62)는 실리콘 산화물과 같은 유전체 충전 재료를 포함한다. 일 실시예에서, 유전체 코어들(62)은 에칭 공정에서 최상단 절연 층(32T)보다 더 높은 에칭 속도를 갖는 유전체 재료를 포함할 수 있다. 예를 들어, 유전체 코어들(62)은 보로실리케이트 유리, 유기실리케이트 유리, 또는 포스포실리케이트 유리를 포함할 수 있고, 최상단 절연 층(32T)은 고밀화된 도핑되지 않은 실리케이트 유리를 포함할 수 있다. 이러한 경우에, 유전체 코어들(62)의 재료는 최상단 절연 층(32T)의 재료에 대해 후속적으로 리세스될 수 있다.Each dielectric core 62 includes a dielectric filling material such as silicon oxide. In one embodiment, the dielectric cores 62 may include a dielectric material that has a higher etch rate than the topmost insulating layer 32T in the etch process. For example, dielectric cores 62 may include borosilicate glass, organosilicate glass, or phosphosilicate glass, and top insulating layer 32T may include densified undoped silicate glass. In this case, the material of the dielectric cores 62 may be subsequently recessed relative to the material of the topmost insulating layer 32T.

메모리 필름(54)이 반도체 채널들(360)의 수직 스택들 각각 상에 형성되고, 게이트 전극(66)이 메모리 필름들(54) 각각 상에 형성된다. 메모리 필름들(54) 각각은 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 교번하는 스택의 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26) 각각을 통해 연장된다. 게이트 전극들(66) 각각은 교번하는 스택의 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26) 각각을 통해 수직으로 연장된다. 각각의 게이트 전극(66)은 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 교번하는 스택 내의 각각의 도핑된 반도체 소스 층(24) 및 각각의 도핑된 반도체 드레인 층(26)을 통해 연장된다.A memory film 54 is formed on each of the vertical stacks of semiconductor channels 360 , and a gate electrode 66 is formed on each of the memory films 54 . Each of the memory films 54 passes through each of doped semiconductor source layers 24 and doped semiconductor drain layers 26 of an alternating stack of doped semiconductor source layers 24 and doped semiconductor drain layers 26 . is extended Each of the gate electrodes 66 extends vertically through each of the doped semiconductor source layers 24 and doped semiconductor drain layers 26 of the alternating stack. Each gate electrode 66 has a respective doped semiconductor source layer 24 and a respective doped semiconductor drain layer 26 in an alternating stack of doped semiconductor source layers 24 and doped semiconductor drain layers 26 . ) is extended through

각각의 메모리 필름(54)은 원통형 부분 및 원통형 부분의 하단 주연부에 인접하는 하단 캡 부분을 포함한다. 각각의 게이트 전극(66)은 원통형 부분 및 원통형 부분의 하단 주연부에 인접하는 하단 캡 부분을 포함할 수 있다. 게이트 전극들(66)은 메모리 필름들(54)의 수평 부분들에 의해 기판(9)으로부터 수직으로 이격될 수 있다. 게이트 전극들(66) 각각은 각자의 유전체 코어(62)를 측방향으로 둘러쌀 수 있다.Each memory film 54 includes a cylindrical portion and a bottom cap portion adjacent the lower periphery of the cylindrical portion. Each gate electrode 66 may include a cylindrical portion and a bottom cap portion adjacent a lower periphery of the cylindrical portion. The gate electrodes 66 may be vertically spaced from the substrate 9 by horizontal portions of the memory films 54 . Each of the gate electrodes 66 may laterally surround a respective dielectric core 62 .

도 28을 참조하면, 도 5의 공정 단계들이 수행되어 콘택 패드 구조물들(68)을 형성할 수 있다. 각각의 메모리 개구 충전 구조물(58)은 게이트 전극들(66)의 각자의 게이트 전극의 최상단 단부와 접촉하는 각자의 콘택 패드 구조물(68)을 포함할 수 있다.Referring to FIG. 28 , the process steps of FIG. 5 may be performed to form contact pad structures 68 . Each memory aperture filling structure 58 may include a respective contact pad structure 68 in contact with a top end of a respective gate electrode of the gate electrodes 66 .

도 29a 및 도 29b를 참조하면, 도 6a 및 도 6b의 공정 단계들이 수행되어 단위 층 스택의 다수의 인스턴스들을 통해 백사이드 트렌치들(79)을 형성할 수 있다. 백사이드 트렌치들(79)을 형성하기 위한 이방성 에칭 공정들의 단계들은 채널 레벨 절연 층들(132)을 효과적으로 에칭하는 에칭 화학물질을 제공하도록 수정될 수 있다.29A and 29B , the process steps of FIGS. 6A and 6B may be performed to form backside trenches 79 through multiple instances of the unit layer stack. The steps of the anisotropic etch processes to form the backside trenches 79 may be modified to provide an etch chemistry that effectively etches the channel level insulating layers 132 .

도 30을 참조하면, 절연 층들(132, 321), 도핑된 반도체 소스 층들(24), 도핑된 반도체 드레인 층들(26), 역-스텝형 유전체 재료 부분들(65), 및 반도체 채널들(360)의 재료들에 대하여 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)의 재료들을 선택적으로 에칭하는 에천트가, 예를 들어 에칭 공정을 채용하여 백사이드 트렌치들(79) 안으로 도입될 수 있다. 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)이 제거되는 체적들 내에 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)이 각각 형성된다. 일 실시예에서, 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)은 실리콘 질화물, 및 채널 레벨 절연 층들(132), 트랜지스터간 레벨 절연 층들(321)의 재료들을 포함할 수 있고, 역-스텝형 유전체 재료 부분(65)은 도핑되지 않은 실리케이트 유리, 도핑된 실리케이트 유리, 및/또는 유기실리케이트 유리와 같은 실리케이트 유리 재료들을 포함할 수 있다.Referring to FIG. 30 , insulating layers 132 , 321 , doped semiconductor source layers 24 , doped semiconductor drain layers 26 , reverse-stepped dielectric material portions 65 , and semiconductor channels 360 . An etchant that selectively etches the materials of the source sacrificial material layer 42S and the drain sacrificial material layers 42D with respect to the materials of . have. Source level backside recesses 43S and drain level backside recesses 43D are respectively formed in the volumes from which the source sacrificial material layer 42S and drain sacrificial material layers 42D are removed. In one embodiment, the source sacrificial material layer 42S and the drain sacrificial material layers 42D may include silicon nitride, and materials of the channel level insulating layers 132 , the inter-transistor level insulating layers 321 , and vice versa. -Stepped dielectric material portion 65 may include silicate glass materials such as undoped silicate glass, doped silicate glass, and/or organosilicate glass.

에칭 공정은 습식 에칭 용액을 채용하는 습식 에칭 공정일 수 있거나, 또는 에천트가 증기 상으로 백사이드 트렌치들(79) 내로 도입되는 기체 상(건식) 에칭 공정일 수 있다. 예를 들어, 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)이 실리콘 질화물을 포함하는 경우, 에칭 공정은, 예시적인 구조물이 인산을 포함하는 습식 에칭 탱크 내에 침지되는 습식 에칭 공정일 수 있고, 이는 실리콘 산화물, 실리콘, 및 본 기술에서 채용된 다양한 다른 재료들에 대해 선택적인 실리콘 질화물을 에칭한다.The etching process may be a wet etching process employing a wet etching solution, or it may be a gas phase (dry) etching process in which the etchant is introduced into the backside trenches 79 in the vapor phase. For example, if the source sacrificial material layers 42S and the drain sacrificial material layers 42D include silicon nitride, the etching process may be a wet etching process in which the exemplary structure is immersed in a wet etch tank containing phosphoric acid. , which etches silicon nitride selective to silicon oxide, silicon, and various other materials employed in the present technology.

도 31을 참조하면, 적어도 하나의 금속 재료가 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D) 내에 침착될 수 있다. 적어도 하나의 금속 재료는 금속 라이너를 형성하는 금속 질화물 재료(예컨대, TaN, TiN, or WN) 및 금속 라이너에 의해 충전되지 않는 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)의 나머지 체적들을 충전하는 금속 충전 재료(예컨대, W, Co, Ru, 또는 Mo)를 포함할 수 있다. 적어도 하나의 금속 재료는 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)의 모든 체적들을 충전한다. 백사이드 트렌치들(79)의 주변 부분들에 또는 최상단 절연 층(32T) 위에 침착된 적어도 하나의 금속 재료의 부분들은 에칭 공정에 의해 제거될 수 있는데, 이는 등방성 에칭 공정 및/또는 이방성 에칭 공정을 포함할 수 있다. 소스 레벨 백사이드 리세스들(43S)을 충전하는 적어도 하나의 금속 재료의 나머지 부분들은 금속 소스 층들(22)을 구성한다. 드레인 레벨 백사이드 리세스들(43D)을 충전하는 적어도 하나의 금속 재료의 나머지 부분들은 금속 드레인 층들(28)을 구성한다. 도핑된 반도체 소스 층(24)과 금속 소스 층(22)의 각각의 인접한 조합은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 소스 영역들 및 소스 라인으로서 기능하는 소스 층(22, 24)을 구성한다. 도핑된 반도체 드레인층(26) 및 금속 드레인 층(28)의 각각의 인접한 조합은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 드레인 영역들 및 비트 라인으로서 기능하는 드레인 층(26, 28)을 구성한다.Referring to FIG. 31 , at least one metal material may be deposited in the source level backside recesses 43S and the drain level backside recesses 43D. The at least one metal material includes a metal nitride material (eg, TaN, TiN, or WN) forming a metal liner and source level backside recesses 43S and drain level backside recesses 43D not filled by the metal liner. ) may include a metal filling material (eg, W, Co, Ru, or Mo) that fills the remaining volumes. At least one metallic material fills all volumes of the source level backside recesses 43S and the drain level backside recesses 43D. Portions of at least one metallic material deposited on peripheral portions of backside trenches 79 or over top insulating layer 32T may be removed by an etching process, including an isotropic etching process and/or an anisotropic etching process. can do. The remaining portions of the at least one metal material filling the source level backside recesses 43S constitute the metal source layers 22 . The remaining portions of the at least one metal material filling the drain level backside recesses 43D constitute the metal drain layers 28 . Each adjacent combination of doped semiconductor source layer 24 and metal source layer 22 forms source layers 22, 24 that serve as source regions and source lines for a respective two-dimensional array of vertical field effect transistors. make up Each adjacent combination of doped semiconductor drain layer 26 and metal drain layer 28 forms drain layers 26 and 28 that serve as bit lines and drain regions for a respective two-dimensional array of vertical field effect transistors. make up

소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)은 생략될 수 있는 선택적 요소들이다. 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)이 존재하는 경우에, 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)은 각각 금속 소스 층들(22) 및 금속 드레인 층들(28)로 대체된다. 이러한 경우에, 소스 층들(22, 24) 각각은 도핑된 반도체 소스 층(24) 및 금속 소스 층(22)의 수직 스택을 포함하고, 드레인 층들(26, 28) 각각은 도핑된 반도체 드레인 층(26) 및 금속 드레인 층(28)의 수직 스택을 포함한다. 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)이 생략된 경우에, 소스 층들 각각은 도핑된 반도체 소스 층(24) 또는 도핑된 반도체 드레인 층(26)으로 이루어진다.Source sacrificial material layer 42S and drain level sacrificial material layers 42D are optional elements that may be omitted. When the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are present, the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are the metal source layers 22 and metal drain layers respectively is replaced by (28). In this case, each of the source layers 22, 24 comprises a vertical stack of a doped semiconductor source layer 24 and a metal source layer 22, and each of the drain layers 26, 28 is a doped semiconductor drain layer ( 26 ) and a vertical stack of metal drain layers 28 . When the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are omitted, each of the source layers consists of a doped semiconductor source layer 24 or a doped semiconductor drain layer 26 .

유전체 재료가 백사이드 트렌치들(79) 내에 그리고 최상단 절연 층(32T) 위에 침착될 수 있다. 백사이드 트렌치들(79)을 충전하는 유전체 재료의 부분들은 백사이드 트렌치 충전 구조물들(76)을 구성한다. 최상단 절연 층(32T) 위에 놓이는 유전체 충전 재료의 부분들이 콘택 레벨 유전체 층(80)을 구성한다.A dielectric material may be deposited in the backside trenches 79 and over the top insulating layer 32T. Portions of dielectric material filling backside trenches 79 constitute backside trench filling structures 76 . Portions of dielectric filling material overlying the topmost insulating layer 32T constitute the contact level dielectric layer 80 .

도 32를 참조하면, 도 9a의 공정 단계들이 수행되어 콘택 레벨 유전체 층(80)을 통해 그리고 선택적으로 역-스텝형 유전체 재료 부분(65)을 통해 콘택 비아 구조물들(88, 86)을 형성할 수 있다. 콘택 비아 구조물들(88, 86)은 워드 라인 콘택 비아 구조물들(88)을 포함하는데, 이들 각각은 콘택 패드 구조물들(68)의 각자의 콘택 패드 구조물과 접촉하고 각자의 게이트 전극(66)에 전기적으로 접속된다. 또한, 콘택 비아 구조물들(88, 86)은 각자의 계단 영역들(200A, 200B) 내의 소스 층들(22, 24) 및 드레인 층들(26, 28)의 각자의 층과 접촉하는 층 콘택 비아 구조물들(86)(예컨대, 86S, 86D)을 포함한다. 구체적으로, 층 콘택 비아 구조물들(86S, 86D) 각각은 계단 영역들(200A, 200B) 내의 스텝형 표면들에 노출된 소스 층들(22, 24) 또는 드레인 층들(26, 28)의 각자의 층의 수평 표면과 접촉할 수 있다. 이어서, 워드 라인들(98)이 콘택 레벨 유전체 층(80) 위에(그리고 게이트 전극들(66), 소스 층들(22, 24) 및 드레인 층들(26, 28) 위에) 형성되고, 콘택 비아 구조물(88) 및 선택적 콘택 패드 구조물들(68)을 통해 게이트 전극들(66)과 전기 접촉한다.Referring to FIG. 32 , the process steps of FIG. 9A may be performed to form contact via structures 88 , 86 through contact level dielectric layer 80 and optionally through reverse-stepped dielectric material portion 65 . can Contact via structures 88 , 86 include word line contact via structures 88 , each in contact with a respective contact pad structure of contact pad structures 68 and at a respective gate electrode 66 . electrically connected. In addition, contact via structures 88 and 86 are layered contact via structures in contact with respective layers of source layers 22 and 24 and drain layers 26 and 28 in respective stepped regions 200A, 200B. (86) (eg, 86S, 86D). Specifically, each of the layer contact via structures 86S, 86D is a respective layer of the source layers 22, 24 or the drain layers 26, 28 exposed to stepped surfaces in the stepped regions 200A, 200B. may be in contact with the horizontal surface of Word lines 98 are then formed over contact level dielectric layer 80 (and over gate electrodes 66, source layers 22, 24, and drain layers 26, 28), and a contact via structure ( 88 and optional contact pad structures 68 , in electrical contact with the gate electrodes 66 .

도 33을 참조하면, 본 발명의 제4 실시예에 따른 제4 예시적인 구조물은 채널 레벨 절연 층들(32C)을 채널 레벨 희생 재료 층들(232)로 대체함으로써 도 1의 제1 예시적인 구조물로부터 도출될 수 있다. 따라서, 단위 층 스택의 다수의 인스턴스들 내의 단위 층 스택의 각각의 인스턴스는 소스 희생 재료 층(42S), 도핑된 반도체 소스 층(24), 채널 레벨 희생 재료 층들(232), 도핑된 반도체 드레인 층(26), 드레인 희생 재료 층(42D), 및 트랜지스터간 레벨 절연 층(321)을 포함한다.Referring to FIG. 33 , a fourth exemplary structure according to a fourth embodiment of the present invention is derived from the first exemplary structure of FIG. 1 by replacing the channel level insulating layers 32C with the channel level sacrificial material layers 232 . can be Thus, each instance of the unit layer stack within the plurality of instances of the unit layer stack is a source sacrificial material layer 42S, doped semiconductor source layer 24, channel level sacrificial material layers 232, doped semiconductor drain layer. 26 , a drain sacrificial material layer 42D, and an inter-transistor level insulating layer 321 .

채널 레벨 희생 재료 층들(232)은 도핑된 반도체 소스 층들(24), 도핑된 반도체 드레인 층들(26), 및 트랜지스터간 레벨 절연 층들(321)의 재료들에 선택적으로, 그리고 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)을 후속적으로 대체하는 금속 소스 층들 및 금속 드레인 층들에 대해 채용될 금속 재료들에 선택적으로 제거될 수 있는 재료를 포함한다. 예를 들어, 채널 레벨 희생 재료 층들(232)은 유전체 금속 산화물(예컨대, 알루미늄 산화물)과 같은 재료, 20% 초과의 게르마늄의 원자 농도를 갖는 실리콘 게르마늄 합금, 폴리머 재료, 또는 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)을 후속적으로 대체하는 금속 소스 층들 및 금속 드레인 층들에 대해 채용될 금속 재료들과는 상이한 금속 재료를 포함할 수 있다. 각각의 채널 레벨 희생 재료 층들(232)은 5 nm 내지 50 nm 범위의 두께를 가질 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 채용될 수 있다.Channel level sacrificial material layers 232 are optionally in materials of doped semiconductor source layers 24 , doped semiconductor drain layers 26 , and inter-transistor level insulating layers 321 , and source sacrificial material layers 42S ) and a material that can be selectively removed in metal materials to be employed for the metal source layers and metal drain layers subsequently replacing the drain sacrificial material layers 42D. For example, the channel level sacrificial material layers 232 may include a material such as a dielectric metal oxide (eg, aluminum oxide), a silicon germanium alloy having an atomic concentration of germanium greater than 20%, a polymer material, or the source sacrificial material layers 42S ) and a metal material different from the metal materials to be employed for the metal source layers and metal drain layers subsequently replacing the drain sacrificial material layers 42D. Each of the channel level sacrificial material layers 232 may have a thickness in the range of 5 nm to 50 nm, although smaller and larger thicknesses may also be employed.

단위 층 스택의 다수의 인스턴스들은 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 교번하는 스택을 포함한다. 채널 레벨 희생 재료 층들(232)은 후속적으로 형성될 반도체 채널들의 레벨들에서 형성된다. 예를 들어, 채널 레벨 희생 재료 층들(232)은 각각의 수직으로 이웃하는 쌍의 아래에 놓인 도핑된 반도체 소스 층(24)과 위에 놓인 도핑된 반도체 드레인 층(26) 사이에 형성될 수 있다. 트랜지스터간 레벨 절연 층들(321)은 후속적으로 형성될 트랜지스터간 갭들의 레벨들에서 제공될 수 있다. 예를 들어, 트랜지스터간 레벨 절연 층들(321)은 수직으로 이웃하는 쌍들의 위에 놓인 도핑된 반도체 소스 층(24)과 아래에 놓인 도핑된 반도체 드레인 층(26) 사이에 형성될 수 있다.Multiple instances of the unit layer stack include an alternating stack of doped semiconductor source layers 24 and doped semiconductor drain layers 26 . Channel level sacrificial material layers 232 are formed at the levels of semiconductor channels to be subsequently formed. For example, channel level sacrificial material layers 232 may be formed between an underlying doped semiconductor source layer 24 and an overlying doped semiconductor drain layer 26 of each vertically neighboring pair. Inter-transistor level insulating layers 321 may be provided at the levels of inter-transistor gaps to be subsequently formed. For example, inter-transistor level insulating layers 321 may be formed between an overlying doped semiconductor source layer 24 and an underlying doped semiconductor drain layer 26 of vertically adjacent pairs.

도 34를 참조하면, 도 2의 공정 단계들이 수행되어 계단 영역들(200A, 200B) 내에 스텝형 공동들(69)을 형성할 수 있다. 계단 영역들(200A, 200B) 내에는 스텝형 표면들이 형성된다. 스텝형 표면들을 형성하기 위한 이방성 에칭 공정들의 단계들은 채널 레벨 희생 재료 층들(232)을 효과적으로 에칭하는 에칭 화학물질을 제공하도록 수정될 수 있다.Referring to FIG. 34 , the process steps of FIG. 2 may be performed to form stepped cavities 69 in step regions 200A, 200B. Stepped surfaces are formed in the step areas 200A, 200B. The steps of the anisotropic etch processes to form stepped surfaces may be modified to provide an etch chemistry that effectively etches the channel level sacrificial material layers 232 .

도 35a 및 도 35b를 참조하면, 도 3a 및 도 3b의 공정 단계들이 수행되어 단위 층 스택의 다수의 인스턴스들 내의 각각의 층을 통해 메모리 개구들(49)을 형성할 수 있다. 위에서 논의된 바와 같이, 제2 예시적인 구조물의 단위 층 스택은 소스 희생 재료 층(42S), 도핑된 반도체 소스 층(24), 채널 레벨 희생 재료 층들(232), 도핑된 반도체 드레인 층(26), 드레인 희생 재료 층(42D), 및 트랜지스터간 레벨 절연 층(321)을 포함할 수 있다. 메모리 개구들(49)을 형성하기 위한 이방성 에칭 공정들의 단계들은 채널 레벨 희생 재료 층들(232)을 효과적으로 에칭하는 에칭 화학물질을 제공하도록 수정될 수 있다.35A and 35B , the process steps of FIGS. 3A and 3B may be performed to form memory openings 49 through each layer in multiple instances of a unit layer stack. As discussed above, the unit layer stack of the second exemplary structure includes a source sacrificial material layer 42S, doped semiconductor source layer 24 , channel level sacrificial material layers 232 , doped semiconductor drain layer 26 . , a drain sacrificial material layer 42D, and an inter-transistor level insulating layer 321 . The steps of the anisotropic etch processes to form the memory openings 49 may be modified to provide an etch chemistry that effectively etches the channel level sacrificial material layers 232 .

도 36을 참조하면, 연속적 재료 층들이 메모리 개구들(49) 각각에 순차적으로 침착될 수 있다. 연속적 재료 층들은 연속적 메모리 필름, 연속적 전도성 재료 층, 및 선택적 유전체 충전 재료 층을 포함할 수 있다. 연속적 재료 층들의 과잉 부분들은 평탄화 공정에 의해 최상단 절연 층들(32T)의 상단 표면 및 역-스텝형 유전체 재료 부분들의 상단 표면들을 포함하는 수평 평면 위로부터 제거될 수 있다. 평탄화 공정은 화학적 기계적 평탄화 및/또는 적어도 하나의 리세스 에칭 공정을 채용할 수 있다. 메모리 개구(49) 내에 남아 있는 연속적 재료 층들의 각각의 부분은 메모리 개구 충전 구조물(58)을 구성한다.Referring to FIG. 36 , successive layers of material may be sequentially deposited into each of the memory openings 49 . The continuous material layers may include a continuous memory film, a continuous conductive material layer, and an optional dielectric fill material layer. Excess portions of the successive material layers may be removed from above a horizontal plane including the top surface of the topmost insulating layers 32T and the top surfaces of the reverse-stepped dielectric material portions by a planarization process. The planarization process may employ chemical mechanical planarization and/or at least one recess etch process. Each portion of the continuous material layers remaining within the memory opening 49 constitutes a memory opening filling structure 58 .

각각의 메모리 개구 충전 구조물(58)은 메모리 필름(54), 게이트 전극(66), 및 선택적 유전체 코어(62)를 포함한다. 각각의 메모리 필름(54)은 평탄화 공정 후의 연속적 메모리 필름의 나머지 부분이다. 각각의 게이트 전극(66)은 평탄화 공정 후의 연속적 전도성 재료 층의 나머지 부분이다. 각각의 유전체 코어(62)는 유전체 충전 재료 층의 나머지 부분이다.Each memory aperture filling structure 58 includes a memory film 54 , a gate electrode 66 , and an optional dielectric core 62 . Each memory film 54 is the remainder of the continuous memory film after the planarization process. Each gate electrode 66 is the remainder of the continuous conductive material layer after the planarization process. Each dielectric core 62 is the remainder of the dielectric fill material layer.

메모리 필름(54)은 트래핑된 전기 전하들, 분극, 또는 자기 모멘트들의 형태로 데이터 비트들을 저장할 수 있는 적어도 하나의 재료 층을 포함한다. 일 실시예에서, 메모리 필름들 각각은, 외측에서부터 내측으로, 터널링 유전체 층(542), 터널링 유전체 층(542)과 접촉하는 전하 저장 층(544), 및 전하 저장 층(544)과 접촉하는 차단 유전체 층(546)을 포함한다. 터널링 유전체 층(542)은 실리콘 산화물 층 또는 ONO 스택(즉, 실리콘 산화물 층, 실리콘 질화물 층, 및 실리콘 산화물 층의 스택)과 같은 터널링 유전체 재료를 포함할 수 있다. 전하 저장 층(544)은 실리콘 질화물과 같은 전하 저장 재료를 포함할 수 있다. 차단 유전체 층(546)은 실리콘 산화물 및/또는 유전체 금속 산화물과 같은 차단 유전체 재료를 포함할 수 있다. 다른 실시예에서, 메모리 필름들(54) 각각은 채널 레벨 희생 재료 층들(232) 또는 게이트 전극(66)과 접촉할 수 있는 적어도 하나의 선택적 유전체 재료 층(예컨대, 실리콘 산화물 층) 및 강유전성 재료 층(도시되지 않음)을 포함한다.Memory film 54 includes at least one material layer capable of storing data bits in the form of trapped electrical charges, polarization, or magnetic moments. In one embodiment, each of the memory films comprises, from the outside to the inside, a tunneling dielectric layer 542 , a charge storage layer 544 in contact with the tunneling dielectric layer 542 , and a blocking contact with the charge storage layer 544 . dielectric layer 546 . Tunneling dielectric layer 542 may include a tunneling dielectric material such as a silicon oxide layer or an ONO stack (ie, a stack of silicon oxide layers, silicon nitride layers, and silicon oxide layers). Charge storage layer 544 may include a charge storage material such as silicon nitride. Blocking dielectric layer 546 may include a blocking dielectric material such as silicon oxide and/or dielectric metal oxide. In another embodiment, each of the memory films 54 includes at least one optional layer of dielectric material (eg, a silicon oxide layer) and a layer of ferroelectric material that can contact the channel level sacrificial material layers 232 or the gate electrode 66 (not shown).

각각의 게이트 전극(66)은 금속 재료와 같은 전도성 재료를 포함한다. 예를 들어, 각각의 게이트 전극(66)은 금속(예컨대, W, Co, Mo, Ru, Cu, 또는 이들의 합금)을 포함하는 금속 층 및 전도성 금속 질화물(예컨대, TiN, TaN, 또는 WN)을 포함하는 금속 질화물 라이너의 층 스택을 포함할 수 있다.Each gate electrode 66 includes a conductive material, such as a metallic material. For example, each gate electrode 66 may have a metal layer comprising a metal (eg, W, Co, Mo, Ru, Cu, or alloys thereof) and a conductive metal nitride (eg, TiN, TaN, or WN). and a layer stack of metal nitride liners comprising:

각각의 유전체 코어(62)는 실리콘 산화물과 같은 유전체 충전 재료를 포함한다. 일 실시예에서, 유전체 코어들(62)은 에칭 공정에서 최상단 절연 층(32T)보다 더 높은 에칭 속도를 갖는 유전체 재료를 포함할 수 있다. 예를 들어, 유전체 코어들(62)은 보로실리케이트 유리, 유기실리케이트 유리, 또는 포스포실리케이트 유리를 포함할 수 있고, 최상단 절연 층(32T)은 고밀화된 도핑되지 않은 실리케이트 유리를 포함할 수 있다. 이러한 경우에, 유전체 코어들(62)의 재료는 최상단 절연 층(32T)의 재료에 대해 후속적으로 리세스될 수 있다.Each dielectric core 62 includes a dielectric filling material such as silicon oxide. In one embodiment, the dielectric cores 62 may include a dielectric material that has a higher etch rate than the topmost insulating layer 32T in the etch process. For example, dielectric cores 62 may include borosilicate glass, organosilicate glass, or phosphosilicate glass, and top insulating layer 32T may include densified undoped silicate glass. In this case, the material of the dielectric cores 62 may be subsequently recessed relative to the material of the topmost insulating layer 32T.

메모리 필름(54)이 단위 층 스택의 다수의 반복들의 각각의 층의 측벽들 상에 형성될 수 있고, 게이트 전극(66)이 메모리 필름들(54) 각각 상에 형성될 수 있다. 메모리 필름들(54) 각각은 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26)의 교번하는 스택의 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26) 각각을 통해 연장된다. 게이트 전극들(66) 각각은 교번하는 스택의 도핑된 반도체 소스 층들(24) 및 도핑된 반도체 드레인 층들(26) 각각을 통해 수직으로 연장된다.A memory film 54 may be formed on the sidewalls of each layer of the multiple iterations of the unit layer stack, and a gate electrode 66 may be formed on each of the memory films 54 . Each of the memory films 54 passes through each of doped semiconductor source layers 24 and doped semiconductor drain layers 26 of an alternating stack of doped semiconductor source layers 24 and doped semiconductor drain layers 26 . is extended Each of the gate electrodes 66 extends vertically through each of the doped semiconductor source layers 24 and doped semiconductor drain layers 26 of the alternating stack.

각각의 메모리 필름(54)은 원통형 부분 및 원통형 부분의 하단 주연부에 인접하는 하단 캡 부분을 포함한다. 각각의 게이트 전극(66)은 원통형 부분 및 원통형 부분의 하단 주연부에 인접하는 하단 캡 부분을 포함할 수 있다. 게이트 전극들(66)은 메모리 필름들(54)의 수평 부분들에 의해 기판(9)으로부터 수직으로 이격될 수 있다. 게이트 전극들(66) 각각은 각자의 유전체 코어(62)를 측방향으로 둘러쌀 수 있다.Each memory film 54 includes a cylindrical portion and a bottom cap portion adjacent the lower periphery of the cylindrical portion. Each gate electrode 66 may include a cylindrical portion and a bottom cap portion adjacent a lower periphery of the cylindrical portion. The gate electrodes 66 may be vertically spaced from the substrate 9 by horizontal portions of the memory films 54 . Each of the gate electrodes 66 may laterally surround a respective dielectric core 62 .

도 37을 참조하면, 도 5의 공정 단계들이 수행되어 콘택 패드 구조물들(68)을 형성할 수 있다. 각각의 메모리 개구 충전 구조물(58)은 게이트 전극들(66)의 각자의 게이트 전극의 최상단 단부와 접촉하는 각자의 콘택 패드 구조물(68)을 포함할 수 있다. 도 38a 및 도 38b를 참조하면, 도 6a 및 도 6b의 공정 단계들이 수행되어 단위 층 스택의 다수의 인스턴스들을 통해 백사이드 트렌치들(79)을 형성할 수 있다. 백사이드 트렌치들(79)을 형성하기 위한 이방성 에칭 공정들의 단계들은 채널 레벨 희생 재료 층들(232)을 효과적으로 에칭하는 에칭 화학물질을 제공하도록 수정될 수 있다.Referring to FIG. 37 , the process steps of FIG. 5 may be performed to form contact pad structures 68 . Each memory aperture filling structure 58 may include a respective contact pad structure 68 in contact with a top end of a respective gate electrode of the gate electrodes 66 . 38A and 38B , the process steps of FIGS. 6A and 6B may be performed to form backside trenches 79 through multiple instances of the unit layer stack. The steps of the anisotropic etch processes to form the backside trenches 79 may be modified to provide an etch chemistry that effectively etches the channel level sacrificial material layers 232 .

도 39를 참조하면, 채널 레벨 희생 재료 층들(232), 트랜지스터간 레벨 절연 층들(321), 도핑된 반도체 소스 층들(24), 도핑된 반도체 드레인 층들(26), 및 역-스텝형 유전체 재료 부분들(65)의 재료들에 대하여 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)의 재료들을 선택적으로 에칭하는 에천트가, 예를 들어 에칭 공정을 채용하여 백사이드 트렌치들(79) 안으로 도입될 수 있다. 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)이 제거되는 체적들 내에 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)이 각각 형성된다. 일 실시예에서, 소스 희생 재료 층(42S) 및 드레인 희생 재료 층들(42D)은 실리콘 질화물을 포함할 수 있고, 트랜지스터간 레벨 절연 층들(321) 및 역-스텝형 유전체 재료 부분(65)의 재료들은 도핑되지 않은 실리케이트 유리, 도핑된 실리케이트 유리, 및/또는 유기실리케이트 유리와 같은 실리케이트 유리 재료들을 포함할 수 있고, 채널 레벨 희생 재료 층들(232)의 재료는 유전체 금속 산화물(예컨대, 알루미늄 산화물)과 같은 재료, 20% 초과의 게르마늄의 원자 농도를 갖는 실리콘 게르마늄 합금, 폴리머 재료, 또는 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)을 후속적으로 대체하는 금속 소스 층들 및 금속 드레인 층들에 대해 채용될 금속 재료들과는 상이한 금속 재료를 포함할 수 있다.Referring to FIG. 39 , channel level sacrificial material layers 232 , inter-transistor level insulating layers 321 , doped semiconductor source layers 24 , doped semiconductor drain layers 26 , and a reverse-stepped dielectric material portion An etchant that selectively etches the materials of the source sacrificial material layer 42S and the drain sacrificial material layers 42D relative to the materials of the 65 , for example employing an etching process, into the backside trenches 79 . can be introduced. Source level backside recesses 43S and drain level backside recesses 43D are respectively formed in the volumes from which the source sacrificial material layer 42S and drain sacrificial material layers 42D are removed. In one embodiment, the source sacrificial material layer 42S and the drain sacrificial material layers 42D may include silicon nitride, the material of the inter-transistor level insulating layers 321 and the inverse-stepped dielectric material portion 65 . These may include silicate glass materials, such as undoped silicate glass, doped silicate glass, and/or organosilicate glass, the material of the channel level sacrificial material layers 232 being a dielectric metal oxide (eg, aluminum oxide) and In the same material, a silicon germanium alloy having an atomic concentration of germanium greater than 20%, a polymer material, or metal source layers and metal drain layers subsequently replacing the source sacrificial material layers 42S and the drain sacrificial material layers 42D. It may include a different metal material than the metal materials to be employed for it.

에칭 공정은 습식 에칭 용액을 채용하는 습식 에칭 공정일 수 있거나, 또는 에천트가 증기 상으로 백사이드 트렌치들(79) 내로 도입되는 기체 상(건식) 에칭 공정일 수 있다. 예를 들어, 소스 희생 재료 층들(42S) 및 드레인 희생 재료 층들(42D)이 실리콘 질화물을 포함하는 경우, 에칭 공정은, 예시적인 구조물이 인산을 포함하는 습식 에칭 탱크 내에 침지되는 습식 에칭 공정일 수 있고, 이는 실리콘 산화물, 실리콘, 및 본 기술에서 채용된 다양한 다른 재료들에 대해 선택적인 실리콘 질화물을 에칭한다.The etching process may be a wet etching process employing a wet etching solution, or it may be a gas phase (dry) etching process in which the etchant is introduced into the backside trenches 79 in the vapor phase. For example, if the source sacrificial material layers 42S and the drain sacrificial material layers 42D include silicon nitride, the etching process may be a wet etching process in which the exemplary structure is immersed in a wet etch tank containing phosphoric acid. , which etches silicon nitride selective to silicon oxide, silicon, and various other materials employed in the present technology.

도 40을 참조하면, 적어도 하나의 금속 재료가 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D) 내에 침착될 수 있다. 적어도 하나의 금속 재료는 금속 라이너를 형성하는 금속 질화물 재료(예컨대, TaN, TiN, or WN) 및 금속 라이너에 의해 충전되지 않는 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)의 나머지 체적들을 충전하는 금속 충전 재료(예컨대, W, Co, Ru, 또는 Mo)를 포함할 수 있다. 적어도 하나의 금속 재료는 소스 레벨 백사이드 리세스들(43S) 및 드레인 레벨 백사이드 리세스들(43D)의 모든 체적들을 충전한다. 백사이드 트렌치들(79)의 주변 부분들에 또는 최상단 절연 층(32T) 위에 침착된 적어도 하나의 금속 재료의 부분들은 에칭 공정에 의해 제거될 수 있는데, 이는 등방성 에칭 공정 및/또는 이방성 에칭 공정을 포함할 수 있다. 소스 레벨 백사이드 리세스들(43S)을 충전하는 적어도 하나의 금속 재료의 나머지 부분들은 금속 소스 층들(22)을 구성한다. 드레인 레벨 백사이드 리세스들(43D)을 충전하는 적어도 하나의 금속 재료의 나머지 부분들은 금속 드레인 층들(28)을 구성한다. 도핑된 반도체 소스 층(24)과 금속 소스 층(22)의 각각의 인접한 조합은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 소스 영역들 및 소스 라인으로서 기능하는 소스 층(22, 24)을 구성한다.Referring to FIG. 40 , at least one metal material may be deposited in the source level backside recesses 43S and the drain level backside recesses 43D. The at least one metal material includes a metal nitride material (eg, TaN, TiN, or WN) forming a metal liner and source level backside recesses 43S and drain level backside recesses 43D not filled by the metal liner. ) may include a metal filling material (eg, W, Co, Ru, or Mo) that fills the remaining volumes. At least one metallic material fills all volumes of the source level backside recesses 43S and the drain level backside recesses 43D. Portions of at least one metallic material deposited on peripheral portions of backside trenches 79 or over top insulating layer 32T may be removed by an etching process, including an isotropic etching process and/or an anisotropic etching process. can do. The remaining portions of the at least one metal material filling the source level backside recesses 43S constitute the metal source layers 22 . The remaining portions of the at least one metal material filling the drain level backside recesses 43D constitute the metal drain layers 28 . Each adjacent combination of doped semiconductor source layer 24 and metal source layer 22 forms source layers 22, 24 that serve as source regions and source lines for a respective two-dimensional array of vertical field effect transistors. make up

도핑된 반도체 드레인층(26) 및 금속 드레인 층(28)의 각각의 인접한 조합은 수직 전계 효과 트랜지스터들의 각자의 2차원 어레이에 대한 드레인 영역들 및 비트 라인으로서 기능하는 드레인 층(26, 28)을 구성한다.Each adjacent combination of doped semiconductor drain layer 26 and metal drain layer 28 forms drain layers 26 and 28 that serve as bit lines and drain regions for a respective two-dimensional array of vertical field effect transistors. make up

소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)은 생략될 수 있는 선택적 요소들이다. 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)이 존재하는 경우에, 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)은 각각 금속 소스 층들(22) 및 금속 드레인 층들(28)로 대체된다. 이러한 경우에, 소스 층들(22, 24) 각각은 도핑된 반도체 소스 층(24) 및 금속 소스 층(22)의 수직 스택을 포함하고, 드레인 층들(26, 28) 각각은 도핑된 반도체 드레인 층(26) 및 금속 드레인 층(28)의 수직 스택을 포함한다. 소스 희생 재료 층(42S) 및 드레인 레벨 희생 재료 층들(42D)이 생략된 경우에, 하기에서 도 45 내지 도 47에 대하여 더 상세히 기술되는 바와 같이, 소스 층들 각각은 도핑된 반도체 소스 층(24) 또는 도핑된 반도체 드레인 층(26)으로 이루어진다. 층들(42S, 42D)이 생략되면, 채널 레벨 희생 재료 층들(232)은 실리콘 질화물을 포함할 수 있다.Source sacrificial material layer 42S and drain level sacrificial material layers 42D are optional elements that may be omitted. When the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are present, the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are the metal source layers 22 and metal drain layers respectively is replaced by (28). In this case, each of the source layers 22, 24 comprises a vertical stack of a doped semiconductor source layer 24 and a metal source layer 22, and each of the drain layers 26, 28 is a doped semiconductor drain layer ( 26 ) and a vertical stack of metal drain layers 28 . In the case where the source sacrificial material layer 42S and the drain level sacrificial material layers 42D are omitted, each of the source layers is a doped semiconductor source layer 24, as described in greater detail with respect to FIGS. 45-47 below. or a doped semiconductor drain layer 26 . If layers 42S and 42D are omitted, channel level sacrificial material layers 232 may include silicon nitride.

도 41을 참조하면, 채널 레벨 백사이드 리세스들(233)은 도핑된 반도체 소스 층들(24), 도핑된 반도체 드레인 층들(26), 트랜지스터간 레벨 절연 층들(321), 선택적 금속 소스 층들(22), 및 선택적 금속 드레인 층들(28)의 재료들에 선택적으로 채널 레벨 희생 재료 층들(232)을 제거함으로써 형성될 수 있고, 기판(9)의 표면들(이는, 반도체 표면들일 수 있음)에 물리적으로 노출될 수 있다. 일 실시예에서, 채널 레벨 희생 재료 층들(232)은 유전체 금속 산화물(예컨대, 알루미늄 산화물)과 같은 재료, 20% 초과의 게르마늄의 원자 농도를 갖는 실리콘 게르마늄 합금, 폴리머 재료, 또는 금속 소스 층들(22) 및 금속 드레인 층들(28)의 금속 재료들과는 상이한 금속 재료를 포함할 수 있고, 트랜지스터간 레벨 절연 층들(321)은 실리콘 산화물을 포함할 수 있다. 대안적으로, 금속 소스 층들(22) 및 금속 드레인 층들(28)이 생략되면, 채널 레벨 희생 재료 층들(232)은 실리콘 질화물을 포함할 수 있고, 인산 에칭에 의해 선택적으로 제거될 수 있다.Referring to FIG. 41 , the channel level backside recesses 233 include doped semiconductor source layers 24 , doped semiconductor drain layers 26 , inter-transistor level insulating layers 321 , and optional metal source layers 22 . , and by removing the channel level sacrificial material layers 232 selectively to the materials of the optional metal drain layers 28 , physically attached to the surfaces of the substrate 9 (which may be semiconductor surfaces). may be exposed. In one embodiment, the channel level sacrificial material layers 232 are a material such as a dielectric metal oxide (eg, aluminum oxide), a silicon germanium alloy having an atomic concentration of germanium greater than 20%, a polymer material, or metal source layers 22 ) and a metal material different from the metal materials of the metal drain layers 28 , and the inter-transistor level insulating layers 321 may include silicon oxide. Alternatively, if the metal source layers 22 and metal drain layers 28 are omitted, the channel level sacrificial material layers 232 may include silicon nitride and may be selectively removed by phosphoric acid etching.

도 42를 참조하면, 연속적 도핑된 반도체 재료 층이 채널 레벨 백사이드 리세스들(233) 내에, 백사이드 트렌치들(79)의 주변 영역들에, 그리고 최상단 절연 층(32T)의 상단 표면 위에 컨포멀하게 침착될 수 있다. 연속적 도핑된 반도체 재료 층은 제1 전도형과 반대인 제2 전도형의 도핑을 갖는 반도체 재료를 포함한다.Referring to FIG. 42 , a continuous doped semiconductor material layer is conformally within the channel level backside recesses 233 , in the peripheral regions of the backside trenches 79 , and over the top surface of the topmost insulating layer 32T. can be calm. The continuously doped semiconductor material layer comprises a semiconductor material having a doping of a second conductivity type opposite to the first conductivity type.

연속적 도핑된 반도체 채널 층 내의 반도체 재료는 실리콘, 실리콘 게르마늄 합금, 또는 화합물 반도체 재료를 포함할 수 있다. 연속적 도핑된 반도체 재료 층 내의 제2 전도형의 도펀트들의 원자 농도는 1.0 × 1014/㎤ 내지 3.0 × 1017/㎤의 범위일 수 있지만, 더 작은 그리고 더 큰 원자 농도들이 또한 채용될 수 있다. 연속적 도핑된 반도체 재료 층의 두께는 채널 레벨 백사이드 리세스들(233)의 최소 높이의 1/2 미만일 수 있고, 1 nm 내지 20 nm, 예컨대 3 nm 내지 10 nm의 범위일 수 있지만, 더 작은 그리고 더 큰 두께들이 또한 채용될 수 있다.The semiconductor material in the continuously doped semiconductor channel layer may include silicon, a silicon germanium alloy, or a compound semiconductor material. The atomic concentration of dopants of the second conductivity type in the continuously doped semiconductor material layer may range from 1.0 x 10 14 /cm 3 to 3.0 x 10 17 /cm 3 , although smaller and larger atomic concentrations may also be employed. The thickness of the continuously doped semiconductor material layer may be less than half the minimum height of the channel level backside recesses 233 and may range from 1 nm to 20 nm, such as 3 nm to 10 nm, but smaller and Larger thicknesses may also be employed.

이방성 에칭 공정이 수행되어, 백사이드 트렌치들(79) 내에 그리고 최상단 절연 층(32T) 위에 형성되는 연속적 도핑된 반도체 재료 층의 부분들을 제거할 수 있다. 채널 레벨 백사이드 리세스들(233) 내의 연속적 도핑된 반도체 재료 층의 각각의 나머지 부분이 반도체 채널 재료 층(460)을 구성한다. 반도체 채널 재료 층들(460)은 채널 레벨 백사이드 리세스(233)의 각자의 채널 레벨 백사이드 리세스 내에 전체적으로 위치되는 컨포멀 재료 층들일 수 있다. 메모리 필름(54)을 측방향으로 둘러싸는 반도체 채널 재료 층들(460)의 각각의 원통형 부분이 반도체 채널(60')을 구성한다. 각각의 수직 반도체 채널(60')은 도핑된 반도체 드레인 층(26)과 같은 위에 놓인 도핑된 반도체 층의 하단 표면과 접촉하고, 도핑된 반도체 소스 층(24)과 같은 아래에 놓인 도핑된 반도체 층의 상단 표면과 접촉한다. 반도체 채널들(60)의 수직 스택은, 메모리 필름들(54) 및 게이트 전극들(66)의 형성 후에 각자의 수직으로 이웃하는 쌍의 도핑된 반도체 소스 층 및 도핑된 반도체 드레인 층(26)의 수평 평면들 상에 형성될 수 있다. 반도체 채널들(60')의 각각의 수직 스택은 각자의 메모리 필름(54) 및 각자의 게이트 전극(66)을 측방향으로 둘러싼다.An anisotropic etch process may be performed to remove portions of the continuous doped semiconductor material layer formed in the backside trenches 79 and over the top insulating layer 32T. Each remaining portion of the successively doped semiconductor material layer in the channel level backside recesses 233 constitutes the semiconductor channel material layer 460 . The semiconductor channel material layers 460 may be conformal material layers located entirely within respective channel level backside recesses of the channel level backside recesses 233 . Each cylindrical portion of the layers of semiconductor channel material 460 that laterally surrounds the memory film 54 constitutes a semiconductor channel 60'. Each vertical semiconductor channel 60 ′ contacts a bottom surface of an overlying doped semiconductor layer, such as doped semiconductor drain layer 26 , and an underlying doped semiconductor layer, such as doped semiconductor source layer 24 . in contact with the top surface of A vertical stack of semiconductor channels 60 consists of a doped semiconductor source layer and doped semiconductor drain layer 26 of a respective vertically neighboring pair after formation of memory films 54 and gate electrodes 66 . It may be formed on horizontal planes. Each vertical stack of semiconductor channels 60 ′ laterally surrounds a respective memory film 54 and a respective gate electrode 66 .

도 43을 참조하면, 유전체 재료가 컨포멀 침착 공정에 의해 채널 레벨 백사이드 리세스들(233)의 나머지 체적들 내에 침착될 수 있다. 채널 레벨 백사이드 리세스들(233)을 충전하는 유전체 재료의 부분들은 대체 절연 층들을 구성하는데, 이는 본 명세서에서 채널 레벨 절연 층들(276)로 지칭된다. 백사이드 트렌치들(79)을 충전하는 유전체 재료의 부분들은 백사이드 트렌치 충전 구조물들(76)을 구성한다. 최상단 절연 층(32T) 위에 놓이는 유전체 충전 재료의 부분들이 콘택 레벨 유전체 층(80)을 구성한다. 채널 레벨 절연 층들(276), 백사이드 트렌치 충전 구조물(76), 및 콘택 레벨 유전체 층(80)의 유전체 재료는 전체에 걸쳐 균일한 재료 조성을 가질 수 있고, 도핑된 실리케이트 유리, 도핑되지 않은 실리케이트 유리, 또는 유기실리케이트 유리를 포함할 수 있다.Referring to FIG. 43 , a dielectric material may be deposited in the remaining volumes of the channel level backside recesses 233 by a conformal deposition process. Portions of dielectric material filling the channel level backside recesses 233 constitute replacement insulating layers, referred to herein as channel level insulating layers 276 . Portions of dielectric material filling backside trenches 79 constitute backside trench filling structures 76 . Portions of dielectric filling material overlying the topmost insulating layer 32T constitute the contact level dielectric layer 80 . The dielectric material of the channel level insulating layers 276, the backside trench fill structure 76, and the contact level dielectric layer 80 may have a uniform material composition throughout, including doped silicate glass, undoped silicate glass, or organosilicate glass.

각각의 수직으로 이웃하는 쌍의 소스 층(22, 24)과 드레인 층(26, 28) 사이에 절연 층들(276, 321)이 제공된다. 일 실시예에서, 절연 층들(276, 321)은 전체에 걸쳐 동일한 유전체 재료 조성을 가질 수 있고, 이는 도핑된 실리케이트 유리, 도핑되지 않은 실리케이트 유리, 또는 유기실리케이트 유리의 조성일 수 있다. 다른 실시예에서, 채널 레벨 절연 층들(276)은 트랜지스터간 레벨 절연 층들(321)과 상이한 조성을 가질 수 있다. 예를 들어, 반도체 채널들(60')의 각자의 반도체 채널과 접촉하는 채널 레벨 절연 층들(276)은 제1 유전체 재료 조성을 가질 수 있고, 반도체 채널들(60')의 임의의 것과 접촉하지 않는 트랜지스터간 레벨 절연 층들(321)은 제1 유전체 재료 조성과 상이한 제2 유전체 재료 조성을 가질 수 있다. 일 실시예에서, 반도체 채널들(60')의 수직 스택의 각각의 반도체 채널은 각자의 수직으로 이웃하는 쌍의 소스 층(22, 24)과 드레인 층(26, 28) 사이에 위치된 각자의 채널 레벨 절연 층(276)의 원통형 측벽과 접촉한다.Insulating layers 276 , 321 are provided between each vertically neighboring pair of source layers 22 , 24 and drain layers 26 , 28 . In one embodiment, the insulating layers 276 and 321 may have the same dielectric material composition throughout, which may be a composition of doped silicate glass, undoped silicate glass, or organosilicate glass. In another embodiment, the channel level insulating layers 276 may have a different composition from the inter-transistor level insulating layers 321 . For example, the channel level insulating layers 276 in contact with a respective semiconductor channel of the semiconductor channels 60 ′ may have a first dielectric material composition and not in contact with any of the semiconductor channels 60 ′. Inter-transistor level insulating layers 321 may have a second dielectric material composition different from the first dielectric material composition. In one embodiment, each semiconductor channel of the vertical stack of semiconductor channels 60 ′ has a respective semiconductor channel positioned between a respective vertically neighboring pair of source layers 22 , 24 and drain layers 26 , 28 . It contacts the cylindrical sidewalls of the channel level insulating layer 276 .

도 44를 참조하면, 도 9a의 공정 단계들이 수행되어 콘택 레벨 유전체 층(80)을 통해 그리고 선택적으로 역-스텝형 유전체 재료 부분(65)을 통해 콘택 비아 구조물들(88, 86)을 형성할 수 있다. 콘택 비아 구조물들(88, 86)은 워드 라인 콘택 비아 구조물들(88)을 포함하는데, 이들 각각은 콘택 패드 구조물들(68)의 각자의 콘택 패드 구조물과 접촉하고 각자의 게이트 전극(66)에 전기적으로 접속된다. 또한, 콘택 비아 구조물들(88, 86)은 각자의 계단 영역들(200A, 200B) 내의 소스 층들(22, 24) 및 드레인 층들(26, 28)의 각자의 층과 접촉하는 층 콘택 비아 구조물들(86)(예컨대, 86S, 86D)을 포함한다. 구체적으로, 층 콘택 비아 구조물들(86S, 86D) 각각은 계단 영역들(200A, 200B) 내의 스텝형 표면들에 노출된 소스 층들(22, 24) 또는 드레인 층들(26, 28)의 각자의 층의 수평 표면과 접촉할 수 있다. 이어서, 워드 라인들(98)이 콘택 레벨 유전체 층(80) 위에(그리고 게이트 전극들(66), 소스 층들(22, 24) 및 드레인 층들(26, 28) 위에) 형성되고, 콘택 비아 구조물(88) 및 선택적 콘택 패드 구조물들(68)을 통해 게이트 전극들(66)과 전기 접촉한다.Referring to FIG. 44 , the process steps of FIG. 9A may be performed to form contact via structures 88 , 86 through contact level dielectric layer 80 and optionally through reverse-stepped dielectric material portion 65 . can Contact via structures 88 , 86 include word line contact via structures 88 , each in contact with a respective contact pad structure of contact pad structures 68 and at a respective gate electrode 66 . electrically connected. In addition, contact via structures 88 and 86 are layered contact via structures in contact with respective layers of source layers 22 and 24 and drain layers 26 and 28 in respective stepped regions 200A, 200B. (86) (eg, 86S, 86D). Specifically, each of the layer contact via structures 86S, 86D is a respective layer of the source layers 22, 24 or the drain layers 26, 28 exposed to stepped surfaces in the stepped regions 200A, 200B. may be in contact with the horizontal surface of Word lines 98 are then formed over contact level dielectric layer 80 (and over gate electrodes 66, source layers 22, 24, and drain layers 26, 28), and a contact via structure ( 88 and optional contact pad structures 68 , in electrical contact with the gate electrodes 66 .

도 45를 참조하면, 본 발명의 제4 실시예에 따른 도 34의 공정 단계들에 대응하는 공정 단계에서 소스 희생 층들(42S) 및 드레인 희생 층들(42D)의 생략에 의해 형성되는 제4 예시적인 구조물의 대안적인 실시예가 예시된다. 이러한 실시예에서, 채널 레벨 희생 재료 층들(232)은 실리콘 질화물을 포함할 수 있다.Referring to FIG. 45 , a fourth exemplary embodiment formed by omitting the source sacrificial layers 42S and the drain sacrificial layers 42D in a process step corresponding to the process steps of FIG. 34 according to the fourth embodiment of the present invention An alternative embodiment of the structure is illustrated. In such an embodiment, the channel level sacrificial material layers 232 may include silicon nitride.

도 46을 참조하면, 제4 예시적인 실시예의 대안적인 실시예가 도 37의 공정 단계들에 대응하는 공정 단계에서 예시된다.Referring to FIG. 46 , an alternative embodiment of the fourth exemplary embodiment is illustrated in process steps corresponding to the process steps in FIG. 37 .

도 47을 참조하면, 제4 예시적인 구조물의 대안적인 실시예는 도 44의 공정 단계들에 대응하는 공정 단계에서 예시되는데, 여기서 채널 레벨 희생 재료 층들(232)은 선택적 에칭에 의해(예컨대, 인산 에칭에 의해) 제거되고 수직 반도체 채널들(60')로 대체된다. 선택적으로, 수직 반도체 채널(60') 및 도핑된 반도체 소스 층들(24) 및 드레인 층들(26)은, 스택 내의 나머지 절연 층들에 대해 반도체 재료들(예컨대, 실리콘)을 에칭하는 선택적 에칭을 사용하는 백사이드 트렌치들(79)을 통한 선택적 에칭에 의해 리세스될 수 있다. 이어서, 리세스들 내에 금속이 형성되어 각자의 반도체 소스 층들(24) 및 드레인 층들(26)과 접촉하는 전기 전도성 소스 라인(122) 및 전기 전도성 드레인 라인(128)을 형성한다. 금속은 텅스텐, 니켈 또는 코발트를 포함할 수 있다. 원하는 경우, 금속을 각자의 반도체 소스 층들(24) 및 드레인 층들(26)과 반응시키고, 전기 전도성 소스 라인(122) 및 전기 전도성 드레인 라인(128)의 전부 또는 일부를 금속 규화물, 예컨대 텅스텐 규화물, 니켈 규화물 또는 코발트 규화물로 변환시키기 위해 실리사이드화 어닐링(silicidation anneal)이 행해질 수 있다. 전기 전도성 소스 라인(122) 및 전기 전도성 드레인 라인(128)은 반도체 채널 재료 층(460)과 접촉할 수 있거나, 그들이 반도체 채널 재료 층(460)과 접촉하지 않도록 형성될 수 있다.Referring to FIG. 47 , an alternative embodiment of a fourth exemplary structure is illustrated in a process step corresponding to the process steps of FIG. 44 , wherein the channel level sacrificial material layers 232 are selectively etched (eg, phosphoric acid). etch) and replaced with vertical semiconductor channels 60'. Optionally, the vertical semiconductor channel 60' and the doped semiconductor source layers 24 and drain layers 26 using a selective etch to etch semiconductor materials (eg, silicon) relative to the remaining insulating layers in the stack. It may be recessed by selective etching through the backside trenches 79 . Metal is then formed in the recesses to form an electrically conductive source line 122 and an electrically conductive drain line 128 in contact with the respective semiconductor source layers 24 and drain layers 26 . The metal may include tungsten, nickel or cobalt. If desired, the metal is reacted with the respective semiconductor source layers 24 and drain layers 26, and all or part of the electrically conductive source line 122 and the electrically conductive drain line 128 is replaced with a metal silicide, such as tungsten silicide; A silicidation anneal may be performed to convert to nickel silicide or cobalt silicide. The electrically conductive source line 122 and the electrically conductive drain line 128 may contact the semiconductor channel material layer 460 , or may be formed such that they do not contact the semiconductor channel material layer 460 .

제3 예시적인 구조물 및 제4 예시적인 구조물의 모든 도면들을 참조하면, 3차원 메모리 디바이스가 제공되고, 이는 기판(9) 위에 위치된 소스 층들(24, 선택적으로 22) 및 드레인 층들(26, 선택적으로 28)의 교번하는 스택, 교번하는 스택을 통해 수직으로 연장되는 메모리 개구들(49)의 어레이, 메모리 개구들(49)의 어레이의 각자의 메모리 개구 내에 각각 위치되고 교번하는 스택의 소스 층들(24, 선택적으로 22) 및 드레인 층들(26, 선택적으로 28) 각각을 통해 수직으로 연장되는 게이트 전극들(66); 메모리 개구들(49)의 어레이 중 하나의 메모리 개구 내에 각각 위치되고 게이트 전극들(66)의 각자의 게이트 전극을 측방향으로 둘러싸는 메모리 필름들(54), 및 메모리 필름들(54)의 각자의 메모리 필름을 측방향으로 둘러싸는 반도체 채널들(360, 60')의 수직 스택들을 포함하고, 여기서 수직 반도체 채널들(360, 60') 각각은 소스 층들(24, 선택적으로 22) 중 일정 소스 층(24, 선택적으로 22) 및 드레인 층들(26, 선택적으로 28) 중 일정 드레인 층(26, 선택적으로 28)의 각자의 수직으로 이웃하는 쌍의 수평 표면들과 접촉한다.With reference to all figures of the third exemplary structure and the fourth exemplary structure, a three-dimensional memory device is provided, comprising source layers 24 , optionally 22 , and drain layers 26 , optionally located over a substrate 9 . 28), an array of memory openings 49 extending vertically through the alternating stack, source layers of alternating stacks respectively located within respective memory openings of the array of memory openings 49 ( 24, optionally 22, and gate electrodes 66 extending vertically through each of the drain layers 26, optionally 28; memory films 54 each positioned within one of the array of memory openings 49 and laterally surrounding a respective gate electrode of the gate electrodes 66 , and each of the memory films 54 . vertical stacks of semiconductor channels 360 , 60 ′ laterally surrounding a memory film of Layer 24 (optionally 22) and a respective vertically neighboring pair of horizontal surfaces of a drain layer 26 (optionally 28) of the drain layers 26 (optionally 28).

일 실시예에서, 워드 라인들(98)은 게이트 전극들(66) 위에 그리고 소스 층들 및 드레인 층들의 교번하는 스택 위에 위치되고, 여기서 워드 라인들(98)은 게이트 전극들(66)에 전기적으로 접속된다.In one embodiment, word lines 98 are positioned over gate electrodes 66 and over an alternating stack of source and drain layers, where word lines 98 electrically connect to gate electrodes 66 . connected

일 실시예에서, 절연 층들(132, 270, 321)이 각각의 수직으로 이웃하는 쌍의 소스 층(24, 선택적으로 22) 및 드레인 층(26, 선택적으로 28) 사이에 위치된다.In one embodiment, insulating layers 132 , 270 , 321 are positioned between each vertically neighboring pair of source layer 24 , optionally 22 and drain layer 26 , optionally 28 .

일 실시예에서, 절연 층들(132, 270, 321)은 반도체 채널들(360, 60')의 각자의 반도체 채널과 접촉하는 채널 레벨 절연 층들(132, 270); 및 반도체 채널들(360, 60') 중 어떠한 반도체 채널과도 접촉하지 않는 트랜지스터간 레벨 절연 층들(321)을 포함한다.In one embodiment, insulating layers 132 , 270 , 321 include channel level insulating layers 132 , 270 in contact with respective semiconductor channels of semiconductor channels 360 , 60 ′; and inter-transistor level insulating layers 321 that do not contact any of the semiconductor channels 360, 60'.

일 실시예에서, 채널 레벨 절연 층들(132)의 측벽들은 트랜지스터간 레벨 절연 층들(321)의 측벽들에 대해 그리고 각각의 메모리 개구(49) 주위의 소스 층들(24, 선택적으로 22) 및 드레인 층들(26, 선택적으로 28)의 측벽들에 대해 외측으로 측방향으로 리세스된다.In one embodiment, the sidewalls of the channel level insulating layers 132 are source layers 24 (optionally 22) and drain layers with respect to the sidewalls of the inter-transistor level insulating layers 321 and around each memory opening 49 . 26 , optionally laterally recessed to the sidewalls of 28 .

일 실시예에서, 채널 레벨 절연 층들(132) 각각은 소스 층들(24, 선택적으로 22)의 각자의 소스 층 및 드레인 층들(26, 선택적으로 28)의 각자의 드레인 층의 수평 표면들과 직접 접촉한다.In one embodiment, each of the channel level insulating layers 132 is in direct contact with the horizontal surfaces of a respective source layer of source layers 24 , optionally 22 and a respective drain layer of drain layers 26 , optionally 28 . do.

일 실시예에서, 채널 레벨 절연 층들(270) 각각은 소스 층들(24, 선택적으로 22) 및 드레인 층들(26, 선택적으로 28)과 접촉하지 않고, 이들로부터 적어도 각자의 반도체 채널 재료 층(460)에 의해 수직으로 이격된다.In one embodiment, each of the channel level insulating layers 270 is not in contact with the source layers 24 , optionally 22 , and the drain layers 26 , optionally 28 , therefrom at least a respective semiconductor channel material layer 460 . vertically spaced by

일 실시예에서, 반도체 채널들(360, 60')의 수직 스택들 각각은 서로 직접 접촉하지 않고 서로 수직으로 이격되는 한 세트의 개별 반도체 채널들(360, 60')을 포함한다.In one embodiment, each of the vertical stacks of semiconductor channels 360 , 60 ′ includes a set of individual semiconductor channels 360 , 60 ′ that are vertically spaced apart from each other without direct contact with each other.

일 실시예에서, 각각의 반도체 채널(360, 60')의 외측 측벽의 전체가 각자의 수직으로 이웃하는 쌍의 소스 층(24, 선택적으로 22)과 드레인 층들(26, 선택적으로 28) 사이에 위치된 각자의 채널 레벨 절연 층(132, 270)의 원통형 측벽과 접촉한다.In one embodiment, the entirety of the outer sidewall of each semiconductor channel 360 , 60 ′ is between the respective vertically neighboring pair of source layer 24 , optionally 22 and drain layers 26 , optionally 28 . It contacts the cylindrical sidewalls of the respective channel level insulating layers 132 , 270 located there.

제3 실시예에서, 각각의 반도체 채널(360)의 외측 측벽은 각자의 수직으로 이웃하는 쌍 내의 소스 층(24, 선택적으로 22) 및 드레인 층(26, 선택적으로 28) 중 하나의 층의 수평 표면과 접촉하는 상부 주연부를 포함하고, 각자의 수직으로 이웃하는 쌍의 소스 층(24, 선택적으로 22) 및 드레인 층(26, 선택적으로 28) 중 다른 층의 수평 표면과 접촉하는 하부 주연부를 포함한다.In a third embodiment, the outer sidewall of each semiconductor channel 360 is the horizontal of one of the source layer 24 (optionally 22) and the drain layer 26 (optionally 28) in a respective vertically neighboring pair. and an upper perimeter in contact with the surface and a lower perimeter in contact with the horizontal surface of the other of the respective vertically neighboring pairs of source layer 24, optionally 22 and drain layer 26, optionally 28. do.

제4 실시예에서, 반도체 채널들(60') 각각은 반도체 채널 재료 층(460)의 중공 원통형 세그먼트를 포함하며, 이는 각자의 반도체 채널(60')의 상부 단부에 인접한 상부 반도체 채널 재료 층 세그먼트; 및 각자의 반도체 채널(60')의 하부 단부에 인접한 하부 반도체 채널 재료 층 세그먼트를 포함한다. 상부 반도체 채널 재료 층 세그먼트는 제1 p-n 접합부에서 도핑된 반도체 드레인 층(26)과 같은 위에 놓인 도핑된 반도체 재료 층과 접촉하는 반도체 채널 재료 층(460)의 상부 수평 부분이다. 하부 반도체 채널 재료 층 세그먼트는 제2 p-n 접합부에서 도핑된 반도체 소스 층(24)과 같은 위에 놓인 도핑된 반도체 재료 층과 접촉하는 반도체 채널 재료 층(460)의 하부 수평 부분이다.In a fourth embodiment, each of the semiconductor channels 60' comprises a hollow cylindrical segment of a semiconductor channel material layer 460, which is an upper semiconductor channel material layer segment adjacent the upper end of the respective semiconductor channel 60'. ; and a lower semiconductor channel material layer segment adjacent the lower end of the respective semiconductor channel 60'. The upper semiconductor channel material layer segment is the upper horizontal portion of the semiconductor channel material layer 460 in contact with an overlying doped semiconductor material layer such as the doped semiconductor drain layer 26 at the first p-n junction. The lower semiconductor channel material layer segment is the lower horizontal portion of the semiconductor channel material layer 460 in contact with an overlying doped semiconductor material layer such as the doped semiconductor source layer 24 at the second p-n junction.

일 실시예에서, 반도체 채널 재료 층(460)은 중공 원통형 세그먼트 및 게이트 전극들(66)을 측방향으로 둘러싸는 추가의 원통형 세그먼트들을 포함하는 한 세트의 반도체 채널들(60')을 포함한다.In one embodiment, the semiconductor channel material layer 460 includes a set of semiconductor channels 60 ′ including a hollow cylindrical segment and additional cylindrical segments laterally surrounding the gate electrodes 66 .

일 실시예에서, 소스 층들(24, 22) 각각은 도핑된 반도체 소스 층(24) 및 금속 소스 라인(22)의 수직 스택을 포함하고; 드레인 층들(26, 28) 각각은 도핑된 반도체 드레인 층(26) 및 금속 비트 라인(28)의 수직 스택을 포함한다.In one embodiment, each of the source layers 24 , 22 comprises a vertical stack of a doped semiconductor source layer 24 and a metal source line 22 ; Each of the drain layers 26 , 28 includes a doped semiconductor drain layer 26 and a vertical stack of metal bit lines 28 .

일 실시예에서, 메모리 필름들(54) 각각은, 터널링 유전체 층(542); 터널링 유전체 층(542)과 접촉하는 전하 저장 층(544); 및 전하 저장 층(544)과 접촉하는 차단 유전체 층(546)을 포함하는 네스트형 층 스택을 포함한다. 다른 실시예에서, 메모리 필름들(54) 각각은 강유전성 재료 층을 포함하고/포함하거나 강유전성 재료 층으로 이루어진다.In one embodiment, each of the memory films 54 includes a tunneling dielectric layer 542 ; a charge storage layer 544 in contact with the tunneling dielectric layer 542 ; and a nested layer stack including a blocking dielectric layer 546 in contact with the charge storage layer 544 . In another embodiment, each of the memory films 54 includes and/or consists of a layer of ferroelectric material.

일 실시예에서, 3차원 메모리 디바이스는 소스 층들(24, 선택적으로 22)의 각자의 소스 층과 접촉하는 소스 층 콘택 비아 구조물들(86S); 및 드레인 층들(26, 선택적으로 28)의 각자의 드레인 층과 접촉하는 드레인 층 콘택 비아 구조물들(86D)을 포함한다.In one embodiment, the three-dimensional memory device includes source layer contact via structures 86S in contact with a respective source layer of source layers 24, optionally 22; and drain layer contact via structures 86D in contact with a respective drain layer of drain layers 26 , optionally 28 .

일 실시예에서, 교번하는 스택 내의 소스 층들(24, 선택적으로 22)은 기판(9)으로부터의 수직 거리에 따라 감소하는 상이한 측방향 범위들을 갖고; 교번하는 스택 내의 드레인 층들(26, 선택적으로 28)은 기판(9)으로부터의 수직 거리에 따라 감소하는 상이한 측방향 범위들을 갖고; 제1 역-스텝형 유전체 재료 부분(65)은 교번하는 스택 내의 소스 층들(24, 선택적으로 22)의 수평 표면들과 접촉하고, 소스 층 콘택 비아 구조물들(86S)을 측방향으로 둘러싸고; 그리고, 제2 역-스텝형 유전체 재료 부분(65)은 교번하는 스택 내의 드레인 층들(26, 선택적으로 28)의 수평 표면들과 접촉하고, 드레인 층 콘택 비아 구조물들(86D)을 측방향으로 둘러싼다.In one embodiment, the source layers 24 , optionally 22 in the alternating stack, have different lateral extents that decrease with vertical distance from the substrate 9 ; The drain layers 26 , optionally 28 in the alternating stack, have different lateral extents that decrease with vertical distance from the substrate 9 ; a first reverse-stepped dielectric material portion 65 contacts the horizontal surfaces of the source layers 24 , optionally 22 in the alternating stack, and laterally surrounds the source layer contact via structures 86S; Then, a second inverse-stepped dielectric material portion 65 contacts the horizontal surfaces of the drain layers 26 , optionally 28 in the alternating stack, and laterally surrounds the drain layer contact via structures 86D. All.

일 실시예에서, 게이트 전극들(66)은 메모리 필름들(54)의 수평 부분들에 의해 기판(9)으로부터 수직으로 이격되고; 게이트 전극들(66) 각각은 각자의 유전체 코어(62)를 측방향으로 둘러싸고; 그리고, 콘택 패드 구조물들(68)은 게이트 전극들(66)의 각자의 게이트 전극의 상단 단부와 접촉한다.In one embodiment, the gate electrodes 66 are vertically spaced from the substrate 9 by horizontal portions of the memory films 54 ; each of the gate electrodes 66 laterally surrounds a respective dielectric core 62; Then, the contact pad structures 68 contact the top end of the respective gate electrode of the gate electrodes 66 .

도 48을 참조하면, 본 발명의 3차원 메모리 디바이스의 다양한 실시예들에 대한 회로도가 예시된다. 각각의 소스 층(24, 22)은 백사이드 트렌치들(79)의 각자의 이웃하는 쌍에 의해 측방향으로 경계지어질 수 있고, 소스 라인(22, 122)("SL")을 포함한다. 소스 라인(SL)들은 수직 방향을 따라 그리고 백사이드 트렌치들(79)의 길이 방향에 평행한 수평 방향을 따라 연장되는 3차원 어레이로서 배열된다. 이와 같이, 소스 라인(SL)들은 2차원 좌표(i, j)로 넘버링될 수 있으며, 여기서 i는 각자의 소스 라인의 레벨을 지칭하고, j는 백사이드 트렌치들(79)의 각자의 이웃하는 쌍에 의해 결정되는 공간을 지칭한다. 예를 들어, 기판(9)으로부터 각자의 거리를 갖고 백사이드 트렌치들(79)의 각각의 이웃하는 쌍 사이에 (n+1)개의 소스 층들(24, 22) 및 (n+1)개의 드레인 층들(26, 28)이 존재하는 경우, 인덱스 i는 0 내지 n의 범위일 수 있다. (m+2)개의 백사이드 트렌치들(79)이 존재하는 경우, (m+1)개의 소스 층들(24, 22)이 각각의 소스 레벨마다 제공되고 (m+1)개의 드레인 층들(26, 28)이 각각의 드레인 레벨마다 제공된다. 인덱스 j는 0 내지 m의 범위일 수 있다.Referring to Figure 48, a circuit diagram for various embodiments of the three-dimensional memory device of the present invention is illustrated. Each source layer 24 , 22 may be laterally bounded by a respective neighboring pair of backside trenches 79 , and includes a source line 22 , 122 (“SL”). The source lines SL are arranged as a three-dimensional array extending along a vertical direction and a horizontal direction parallel to the longitudinal direction of the backside trenches 79 . As such, the source lines SL may be numbered in two-dimensional coordinates (i, j), where i refers to the level of the respective source line and j is the respective neighboring pair of backside trenches 79 . It refers to a space determined by For example, (n+1) source layers 24 , 22 and (n+1) drain layers at respective distances from substrate 9 and between each neighboring pair of backside trenches 79 . If (26, 28) is present, the index i may range from 0 to n. When (m+2) backside trenches 79 are present, (m+1) source layers 24, 22 are provided for each source level and (m+1) drain layers 26, 28 ) is provided for each drain level. The index j may range from 0 to m.

각각의 드레인 층(26, 28)은 백사이드 트렌치들(79)의 각자의 이웃하는 쌍에 의해 측방향으로 경계지어질 수 있고, 비트 라인(28, 128)("BL")을 포함한다. 비트 라인(BL)들은 수직 방향을 따라 그리고 백사이드 트렌치들(79)의 길이 방향에 평행한 수평 방향을 따라 연장되는 3차원 어레이로서 배열된다.Each drain layer 26 , 28 may be laterally bounded by a respective neighboring pair of backside trenches 79 and includes a bit line 28 , 128 (“BL”). The bit lines BL are arranged as a three-dimensional array extending along a vertical direction and a horizontal direction parallel to the longitudinal direction of the backside trenches 79 .

그와 같이, 비트 라인(BL)들은 대응하는 소스 라인(SL)(i, j)과 동일한 2차원 좌표(i, j)로 넘버링될 수 있다.As such, the bit lines BL may be numbered with the same two-dimensional coordinates (i, j) as the corresponding source line SL (i, j).

게이트 전극들(66)은 2차원 어레이로서 배열될 수 있고, 메모리 수직 전계 효과 트랜지스터들의 제어 게이트 전극들로서 기능할 수 있다. 각각의 트랜지스터는 각자의 반도체 채널인데, 이는 반도체 채널 층(60L)의 일부분을 포함할 수 있거나, 개별 반도체 채널(60, 360)을 포함할 수 있거나, 반도체 채널 재료 층(460)의 일부분인 반도체 채널(60')을 포함할 수 있다. 게이트 전극들(66)은 2차원 어레이로서 배열될 수 있는데, 이는 육각형 어레이 또는 직사각형 어레이일 수 있다. 동일한 메모리 블록 내의 각자의 이웃하는 쌍의 백사이드 트렌치들(79) 사이에 위치된 소스 라인(SL)들 및 비트 라인(BL)들의 동일한 세트를 통해 연장되는 게이트 전극들(66)은 게이트 전극들의 그룹을 구성한다. 동일한 그룹 내의(즉, 동일한 메모리 블록 내의) 각각의 게이트 전극(66)은 상이한 워드 라인(98)(WL)과 전기적으로 접촉한다.The gate electrodes 66 may be arranged as a two-dimensional array and may function as control gate electrodes of the memory vertical field effect transistors. Each transistor is a respective semiconductor channel, which may include a portion of the semiconductor channel layer 60L, may include a separate semiconductor channel 60 , 360 , or may include a semiconductor that is part of the semiconductor channel material layer 460 . It may include a channel 60'. The gate electrodes 66 may be arranged as a two-dimensional array, which may be a hexagonal array or a rectangular array. Gate electrodes 66 extending through the same set of source lines SL and bit lines BL positioned between respective neighboring pairs of backside trenches 79 in the same memory block are a group of gate electrodes. make up Each gate electrode 66 within the same group (ie, within the same memory block) is in electrical contact with a different word line 98 (WL).

워드 라인들(98)(WL)은 백사이드 트렌치들(79)의 길이 방향에 수직인(그리고, 소스 라인(SL)들 및 비트 라인(BL)들의 방향에 수직인) 수평 방향을 따라 연장되는 2차원 어레이로서 배열된다. 그룹들의 총 수는 (m+1)개일 수 있다. 게이트 전극들의 그룹 내의 각각의 게이트 전극(66)은 개별적으로 넘버링될 수 있다. 전극들의 각각의 그룹 내에 (x+1)개의 게이트 전극들이 존재하면, 게이트 전극들은 2차원 좌표계 (k, j)를 채용하여 라벨링될 수 있고, 여기서, k는 0 내지 x로 이어지는 인덱스이고 그룹 내의 개별 게이트 전극을 식별하고, j는 0 내지 m으로 이어지는 인덱스이고 게이트 전극이 속하는 그룹을 식별한다. 따라서, 3차원 좌표 (i, j, k)는 메모리 셀을 고유하게 식별할 수 있다.The word lines 98 (WL) extend along a horizontal direction perpendicular to the longitudinal direction of the backside trenches 79 (and perpendicular to the directions of the source lines SL and bit lines BL). arranged as a dimensional array. The total number of groups may be (m+1). Each gate electrode 66 within a group of gate electrodes may be individually numbered. If there are (x+1) gate electrodes in each group of electrodes, the gate electrodes can be labeled employing a two-dimensional coordinate system (k, j), where k is an index running from 0 to x and within the group. Individual gate electrodes are identified, where j is an index from 0 to m and identifies the group to which the gate electrode belongs. Thus, the three-dimensional coordinates (i, j, k) can uniquely identify a memory cell.

제1 실시예에서, 반도체 채널은 수직 방향에서 연속적이다. 따라서, 하나의 워드 라인(98)을 활성화시키는 것은 활성화된 워드 라인(98)에 전기적으로 접속되는 각자의 게이트 전극들(66)을 활성화시킨다. 한 쌍의 활성화된 소스 영역과 드레인 영역 사이의 반도체 채널 층(60L)의 일부분에 인접한 메모리 필름(54)의 일부분이 활성화된다(예컨대, 프로그래밍되거나, 소거되거나 또는 판독됨). 소스 영역 및 드레인 영역의 쌍은 드레인 영역과는 상이한 전압을 소스 영역에 인가함으로써 활성화될 수 있다. 나머지, 선택되지 않은 소스 영역 및 드레인 영역(및 그들의 선택적인 각자의 소스 라인 및 드레인 라인)은 선택되지 않은 메모리 셀들의 활성화를 회피하도록 선택된 메모리 셀의 소스 전압으로 설정된다.In the first embodiment, the semiconductor channel is continuous in the vertical direction. Thus, activating one word line 98 activates respective gate electrodes 66 electrically connected to the activated word line 98 . A portion of the memory film 54 adjacent to a portion of the semiconductor channel layer 60L between the pair of activated source and drain regions is activated (eg, programmed, erased, or read). The pair of source and drain regions can be activated by applying a different voltage to the source region than the drain region. The remaining, unselected source and drain regions (and their optional respective source and drain lines) are set to the source voltage of the selected memory cell to avoid activation of the unselected memory cells.

제2 내지 제4 실시예들에서, 반도체 채널들은 수직 방향에서 불연속적이다. 이들 실시예들에서, 하나의 워드 라인(98)이 활성화되어 활성화된 워드 라인(98)에 전기적으로 접속되는 각자의 게이트 전극들(66)을 활성화시킨다. 모든 메모리 필름들(54)은 이들 실시예들에서 활성화될 수 있다.In the second to fourth embodiments, the semiconductor channels are discontinuous in the vertical direction. In these embodiments, one word line 98 is activated to activate respective gate electrodes 66 electrically connected to the activated word line 98 . All memory films 54 can be activated in these embodiments.

본 발명의 실시예들은 비트 어드레스 가능한, 고밀도 3차원 메모리 어레이를 제공한다. 반도체 채널은 더 넓게 만들어질 수 있고, 이는 더 타이트한 임계 전압 분포를 제공한다. 각각의 메모리 셀에 대한 개별 소스 라인들(22, 122) 및 비트 라인들(28, 128)은 증가된 메모리 속도에 대해 더 높은 셀 전류를 제공한다.Embodiments of the present invention provide a bit-addressable, high-density three-dimensional memory array. The semiconductor channel can be made wider, which provides a tighter threshold voltage distribution. Separate source lines 22, 122 and bit lines 28, 128 for each memory cell provide higher cell current for increased memory speed.

전술한 내용이 특정 바람직한 실시예들을 언급하지만, 본 발명이 그렇게 제한되지 않는다는 것이 이해될 것이다. 다양한 수정들이 개시된 실시예들에 대해 이루어질 수 있고 그러한 수정들은 본 발명의 범주 내에 있도록 의도된다는 것이 당업자에게 떠오를 것이다. 서로 대안이 아닌 모든 실시예들 사이에서 호환성이 추정된다. 단어 "포함한다(comprise 또는 include)"는, 달리 명시적으로 언급되지 않는 한, 단어 "~로 본질적으로 이루어진다(consist essentially of)" 또는 단어 "~로 이루어진다"가 단어 "포함하다"를 대체하는 모든 실시예들을 고려한다. 특정 구조 및/또는 구성을 채용하는 실시예가 본 발명에 예시되어 있는 경우, 본 발명은, 그러한 치환이 명백히 금지되거나 달리 당업자에게 불가능하다고 알려져 있지 않다면, 기능적으로 등가인 임의의 다른 호환가능한 구조들 및/또는 구성들로 실시될 수 있음이 이해된다. 본 명세서에 인용된 모든 간행물, 특허 출원 및 특허는 전체적으로 본 명세서에 참고로 포함된다.While the foregoing refers to certain preferred embodiments, it will be understood that the invention is not so limited. It will occur to those skilled in the art that various modifications may be made to the disclosed embodiments and that such modifications are intended to be within the scope of the present invention. Compatibility is assumed between all embodiments that are not alternatives to each other. The word “comprise or include” means that, unless explicitly stated otherwise, the word “consist essentially of” or the word “consisting of” replaces the word “comprise”. All embodiments are considered. When an embodiment employing a particular structure and/or configuration is illustrated in the present invention, the present invention relates to any other functionally equivalent compatible structures and It is understood that/or configurations may be implemented. All publications, patent applications and patents cited herein are incorporated herein by reference in their entirety.

Claims (40)

3차원 메모리 디바이스로서,
기판 위에 위치된 소스 층들 및 드레인 층들의 교번하는 스택; 상기 교번하는 스택의 상기 소스 층들 및 상기 드레인 층들 각각을 통해 수직으로 연장되는 게이트 전극들;
상기 게이트 전극들의 각자의 게이트 전극을 각각 측방향으로 둘러싸는 메모리 필름들; 및 상기 메모리 필름들의 각자의 메모리 필름을 각각 측방향으로 둘러싸고 상기 소스 층들 중 일정 소스 층 및 상기 드레인 층들 중 일정 드레인 층의 각자의 수직으로 이웃하는 쌍의 측벽들과 접촉하는 반도체 채널들을 포함하는, 3차원 메모리 디바이스.
A three-dimensional memory device comprising:
an alternating stack of source and drain layers positioned over the substrate; gate electrodes extending vertically through each of the source and drain layers of the alternating stack;
memory films that laterally surround respective gate electrodes of the gate electrodes; and semiconductor channels laterally surrounding a respective one of the memory films and in contact with a respective vertically neighboring pair of sidewalls of a source layer of the source layers and a drain layer of the drain layers. 3D memory device.
제1항에 있어서,
상기 게이트 전극들 위에 그리고 상기 소스 층들 및 상기 드레인 층들의 상기 교번하는 스택 위에 위치되는 워드 라인들 - 상기 워드 라인들은 상기 게이트 전극들에 전기적으로 접속됨 -; 및
상기 교번하는 스택을 통해 수직으로 연장되는 메모리 개구들의 어레이를 추가로 포함하고, 상기 게이트 전극들 각각은 상기 메모리 개구들의 각자의 메모리 개구 내에 위치되는, 3차원 메모리 디바이스.
According to claim 1,
word lines positioned over said gate electrodes and over said alternating stack of source and drain layers, said word lines electrically connected to said gate electrodes; and
and an array of memory openings extending vertically through the alternating stack, each of the gate electrodes located within a respective memory opening of the memory openings.
제1항에 있어서, 각각의 수직으로 이웃하는 쌍의 상기 소스 층과 상기 드레인 층 사이에 위치된 절연 층들을 추가로 포함하는, 3차원 메모리 디바이스.The three-dimensional memory device of claim 1 , further comprising insulating layers positioned between each vertically neighboring pair of the source layer and the drain layer. 제3항에 있어서, 상기 절연 층들은 전체에 걸쳐 동일한 유전체 재료 조성을 갖는, 3차원 메모리 디바이스.The three-dimensional memory device of claim 3 , wherein the insulating layers have the same dielectric material composition throughout. 제3항에 있어서, 상기 절연 층들은,
상기 반도체 채널들의 각자의 반도체 채널과 접촉하고 제1 유전체 재료 조성을 갖는 채널 레벨 절연 층들; 및
상기 반도체 채널들 중 어떠한 반도체 채널과도 접촉하지 않고 상기 제1 유전체 재료 조성과 상이한 제2 유전체 재료 조성을 갖는 트랜지스터간 레벨 절연 층들을 포함하는, 3차원 메모리 디바이스.
4. The method of claim 3, wherein the insulating layers are
channel level insulating layers in contact with a respective semiconductor channel of the semiconductor channels and having a first dielectric material composition; and
and inter-transistor level insulating layers not in contact with any of the semiconductor channels and having a second dielectric material composition different from the first dielectric material composition.
제1항에 있어서, 상기 반도체 채널들 각각은 상기 교번하는 스택 내의 각각의 소스 층 및 각각의 드레인 층을 통해 연장되고 중공 원통 형상(hollow cylinder shape)을 갖는 연속적 반도체 채널 층의 각자의 부분을 포함하는, 3차원 메모리 디바이스.2. The semiconductor channel of claim 1, wherein each of the semiconductor channels includes a respective portion of a continuous semiconductor channel layer having a hollow cylinder shape and extending through a respective source layer and a respective drain layer in the alternating stack. A three-dimensional memory device. 제1항에 있어서, 상기 반도체 채널들 각각은 서로 수직으로 이격되고 각각이 중공 원통 형상을 갖는 한 세트의 개별 반도체 채널들 중 하나의 채널을 포함하는, 3차원 메모리 디바이스.The three-dimensional memory device of claim 1 , wherein each of the semiconductor channels comprises one of a set of individual semiconductor channels spaced apart vertically from each other and each having a hollow cylindrical shape. 제7항에 있어서, 상기 개별 반도체 채널들 각각은 각자의 위에 놓인(overlying) 트랜지스터간 절연 층의 하단 표면과 접촉하는 환상 상단 표면 및 각자의 아래에 놓인(underlying) 트랜지스터간 절연 층과 접촉하는 환상 하단 표면을 포함하는, 3차원 메모리 디바이스.8. The method of claim 7, wherein each of the individual semiconductor channels has an annular top surface in contact with a bottom surface of a respective overlying inter-transistor insulating layer and an annular top surface in contact with a respective underlying inter-transistor insulating layer. A three-dimensional memory device comprising a bottom surface. 제1항에 있어서, 상기 반도체 채널들 각각은 각자의 수직으로 이웃하는 쌍의 상기 소스 층과 상기 드레인 층 사이에 위치된 각자의 채널 레벨 절연 층의 원통형 측벽과 접촉하는, 3차원 메모리 디바이스.The three-dimensional memory device of claim 1 , wherein each of the semiconductor channels is in contact with a cylindrical sidewall of a respective channel level insulating layer positioned between the source and drain layers of a respective vertically neighboring pair. 제1항에 있어서,
상기 소스 층들 각각은 도핑된 반도체 소스 층 및 금속 소스 라인의 수직 스택을 포함하고;
상기 드레인 층들 각각은 도핑된 반도체 드레인 층 및 금속 비트 라인의 수직 스택을 포함하는, 3차원 메모리 디바이스.
According to claim 1,
each of the source layers comprises a doped semiconductor source layer and a vertical stack of metal source lines;
wherein each of the drain layers comprises a doped semiconductor drain layer and a vertical stack of metal bit lines.
제1항에 있어서, 상기 메모리 필름들 각각은 층 스택을 포함하고, 상기 층 스택은,
터널링 유전체 층;
상기 터널링 유전체 층과 접촉하는 전하 저장 층; 및
상기 전하 저장 층과 접촉하는 차단 유전체 층을 포함하는, 3차원 메모리 디바이스.
The method of claim 1 , wherein each of the memory films comprises a layer stack, the layer stack comprising:
tunneling dielectric layer;
a charge storage layer in contact with the tunneling dielectric layer; and
and a blocking dielectric layer in contact with the charge storage layer.
제1항에 있어서, 상기 메모리 필름들 각각은 강유전성 재료 층을 포함하는, 3차원 메모리 디바이스.The three-dimensional memory device of claim 1 , wherein each of the memory films comprises a layer of ferroelectric material. 제1항에 있어서,
상기 소스 층들의 각자의 소스 층과 접촉하는 소스 층 콘택 비아 구조물들; 및
상기 드레인 층들의 각자의 드레인 층과 접촉하는 드레인 층 콘택 비아 구조물들을 추가로 포함하는, 3차원 메모리 디바이스.
According to claim 1,
source layer contact via structures in contact with a respective source layer of the source layers; and
and drain layer contact via structures in contact with a respective drain layer of the drain layers.
제13항에 있어서,
상기 교번하는 스택 내의 상기 소스 층들은 상기 기판으로부터의 수직 거리에 따라 감소하는 상이한 측방향 범위들을 갖고;
상기 교번하는 스택 내의 상기 드레인 층들은 상기 기판으로부터의 수직 거리에 따라 감소하는 상이한 측방향 범위들을 갖고;
제1 역-스텝형 유전체 재료 부분은 상기 교번하는 스택 내의 상기 소스 층들의 수평 표면들과 접촉하고, 상기 소스 층 콘택 비아 구조물들을 측방향으로 둘러싸고;
제2 역-스텝형 유전체 재료 부분은 상기 교번하는 스택 내의 상기 드레인 층들의 수평 표면들과 접촉하고, 상기 드레인 층 콘택 비아 구조물들을 측방향으로 둘러싸는, 3차원 메모리 디바이스.
14. The method of claim 13,
the source layers in the alternating stack have different lateral extents that decrease with vertical distance from the substrate;
the drain layers in the alternating stack have different lateral extents that decrease with vertical distance from the substrate;
a first reverse-stepped dielectric material portion contacts horizontal surfaces of the source layers in the alternating stack and laterally surrounds the source layer contact via structures;
and a second portion of reverse-stepped dielectric material contacts horizontal surfaces of the drain layers in the alternating stack and laterally surrounds the drain layer contact via structures.
제1항에 있어서,
상기 게이트 전극들은 상기 메모리 필름들의 수평 부분들에 의해 상기 기판으로부터 수직으로 이격되고;
상기 게이트 전극들 각각은 각자의 유전체 코어를 측방향으로 둘러싸고; 콘택 패드 구조물들이 상기 게이트 전극들의 각자의 게이트 전극의 최상단 단부와 접촉하는, 3차원 메모리 디바이스.
According to claim 1,
the gate electrodes are vertically spaced from the substrate by horizontal portions of the memory films;
each of the gate electrodes laterally surrounds a respective dielectric core; and contact pad structures contacting a top end of a respective gate electrode of the gate electrodes.
3차원 메모리 디바이스를 형성하는 방법으로서,
기판 위에 도핑된 반도체 소스 층들 및 도핑된 반도체 드레인 층들의 교번하는 스택을 형성하는 단계;
상기 교번하는 스택을 통해 수직으로 연장되는 메모리 개구들을 형성하는 단계; 각각의 메모리 개구 내에 연속적 반도체 채널 층을 형성하는 단계 - 상기 도핑된 반도체 소스 층들 중 일정 도핑된 반도체 소스 층 및 상기 도핑된 반도체 드레인 층들 중 일정 도핑된 반도체 드레인 층의 각자의 수직으로 이웃하는 쌍의 측벽들 상에 반도체 채널들이 형성됨 -;
상기 반도체 채널들 위에 메모리 필름들을 형성하는 단계; 및
상기 메모리 필름들 위에 게이트 전극들을 형성하는 단계 - 상기 게이트 전극들 각각은 상기 교번하는 스택의 상기 도핑된 반도체 소스 층들 및 상기 도핑된 반도체 드레인 층들 각각을 통해 수직으로 연장됨-를 포함하는, 방법.
A method of forming a three-dimensional memory device, comprising:
forming an alternating stack of doped semiconductor source layers and doped semiconductor drain layers over a substrate;
forming memory openings extending vertically through the alternating stack; forming a continuous semiconductor channel layer within each memory opening - a respective vertically neighboring pair of a doped one of the doped semiconductor source layers and a doped one of the doped semiconductor drain layers semiconductor channels formed on the sidewalls;
forming memory films over the semiconductor channels; and
forming gate electrodes over the memory films, each of the gate electrodes extending vertically through each of the doped semiconductor source layers and the doped semiconductor drain layers of the alternating stack.
제16항에 있어서, 상기 메모리 개구들의 형성 이전에, 각각의 수직으로 이웃하는 쌍의 상기 도핑된 반도체 소스 층과 상기 도핑된 반도체 드레인 층 사이에 채널 레벨 절연 층들을 형성하는 단계를 추가로 포함하는, 방법.17. The method of claim 16, further comprising, prior to formation of the memory openings, forming channel level insulating layers between each vertically neighboring pair of the doped semiconductor source layer and the doped semiconductor drain layer. , Way. 제16항에 있어서, 상기 게이트 전극들의 상단 표면들 상에 콘택 비아 구조물들을 형성하는 단계를 추가로 포함하고, 상기 반도체 채널들 각각은, 상기 교번하는 스택 내의 각각의 도핑된 반도체 소스 층 및 각각의 도핑된 반도체 드레인 층을 통해 연장되는 상기 연속적 반도체 채널 층의 부분들로서 상기 콘택 비아 구조물들의 형성 후에 남아 있는, 방법.17. The method of claim 16, further comprising forming contact via structures on top surfaces of the gate electrodes, wherein each of the semiconductor channels comprises a respective doped semiconductor source layer and a respective doped semiconductor source layer in the alternating stack. portions of the continuous semiconductor channel layer extending through a doped semiconductor drain layer that remain after formation of the contact via structures. 제16항에 있어서,
상기 메모리 개구들의 형성 이전에 수직으로 이웃하는 쌍들의 도핑된 반도체 소스 층과 도핑된 반도체 드레인 층 사이에 트랜지스터간 레벨 희생 재료 층을 형성하는 단계;
상기 도핑된 반도체 소스 층들 및 상기 도핑된 반도체 드레인 층들에 대해 선택적으로 상기 트랜지스터간 레벨 희생 재료 층들을 제거함으로써 백사이드 리세스들을 형성하는 단계;
상기 연속적 반도체 채널 층들 각각을, 상기 백사이드 리세스들에 물리적으로 노출되는 각각의 연속적 반도체 채널 층의 부분들을 제거함으로써 서로 수직으로 이격되는 한 세트의 개별 반도체 채널들로 분할하는 단계; 및
상기 백사이드 리세스들 각각에 트랜지스터간 레벨 절연 층을 침착시키는 단계를 추가로 포함하는, 방법.
17. The method of claim 16,
forming an inter-transistor level sacrificial material layer between vertically adjacent pairs of doped semiconductor source and doped semiconductor drain layers prior to formation of the memory openings;
forming backside recesses by removing the inter-transistor level sacrificial material layers selectively with respect to the doped semiconductor source layers and the doped semiconductor drain layers;
dividing each of the successive semiconductor channel layers into a set of discrete semiconductor channels vertically spaced apart from each other by removing portions of each successive semiconductor channel layer that are physically exposed to the backside recesses; and
and depositing an inter-transistor level insulating layer in each of the backside recesses.
제16항에 있어서,
상기 게이트 전극들 위에 그리고 상기 교번하는 스택 위에 위치되는 워드 라인들을 형성하는 단계 - 상기 워드 라인들은 상기 게이트 전극들에 전기적으로 접속됨 -;
상기 메모리 개구들의 형성 이전에 소스 레벨 희생 재료 층들 및 드레인 레벨 희생 재료 층들을 형성하여, 상기 소스 레벨 희생 재료 층들 각각이 상기 도핑된 반도체 소스 층들의 각자의 도핑된 반도체 소스 층 아래에 형성되게 하고 드레인 레벨 희생 재료 층들 각각이 상기 도핑된 반도체 소스 층들의 각자의 도핑된 반도체 소스 층 위에 형성되게 하는 단계; 및
상기 소스 레벨 희생 재료 층들 및 드레인 레벨 희생 재료 층들을 금속 소스 라인들 및 금속 드레인 라인들로 각각 대체하는 단계를 추가로 포함하는, 방법.
17. The method of claim 16,
forming word lines positioned over the gate electrodes and over the alternating stack, the word lines electrically connected to the gate electrodes;
forming source level sacrificial material layers and drain level sacrificial material layers prior to formation of the memory openings such that each of the source level sacrificial material layers is formed under a respective doped semiconductor source layer of the doped semiconductor source layers and a drain causing each of the level sacrificial material layers to be formed over a respective doped semiconductor source layer of the doped semiconductor source layers; and
and replacing the source level sacrificial material layers and drain level sacrificial material layers with metal source lines and metal drain lines, respectively.
3차원 메모리 디바이스로서,
기판 위에 위치된 소스 층들 및 드레인 층들의 교번하는 스택;
상기 교번하는 스택을 통해 수직으로 연장되는 메모리 개구들의 어레이; 게이트 전극들 - 각각의 게이트 전극은 상기 메모리 개구들의 어레이 중 하나의 메모리 개구 내에 위치되고 상기 교번하는 스택의 상기 소스 층들 및 상기 드레인 층들 각각을 통해 수직으로 연장됨 -;
메모리 필름들 - 각각의 메모리 필름은 상기 메모리 개구들의 어레이 중 하나의 메모리 개구 내에 위치되고 상기 게이트 전극들의 각자의 게이트 전극을 측방향으로 둘러쌈 -; 및
상기 메모리 필름들의 각자의 메모리 필름을 측방향으로 둘러싸는 반도체 채널들의 수직 스택들 - 상기 수직의 반도체 채널들 각각은 상기 소스 층들 중 일정 소스 층 및 상기 드레인 층들 중 일정 드레인 층의 각자의 수직으로 이웃하는 쌍의 수평 표면들과 접촉함 - 을 포함하는, 3차원 메모리 디바이스.
A three-dimensional memory device comprising:
an alternating stack of source and drain layers positioned over the substrate;
an array of memory openings extending vertically through the alternating stack; gate electrodes, each gate electrode positioned within one of the array of memory openings and extending vertically through each of the source and drain layers of the alternating stack;
memory films, each memory film positioned within one of the array of memory openings and laterally surrounding a respective gate electrode of the gate electrodes; and
vertical stacks of semiconductor channels laterally surrounding a respective memory film of said memory films, each of said vertical semiconductor channels being a respective vertically neighboring one of said source layers and a respective one of said drain layers. A three-dimensional memory device comprising: in contact with a pair of horizontal surfaces.
제21항에 있어서, 상기 게이트 전극들 위에 그리고 상기 소스 층들 및 상기 드레인 층들의 상기 교번하는 스택 위에 위치되는 워드 라인들을 추가로 포함하고, 상기 워드 라인들은 상기 게이트 전극들에 전기적으로 접속되는, 3차원 메모리 디바이스.22. The method of claim 21, further comprising word lines positioned over the gate electrodes and over the alternating stack of source and drain layers, wherein the word lines are electrically connected to the gate electrodes. dimensional memory device. 제21항에 있어서, 각각의 수직으로 이웃하는 쌍의 상기 소스 층과 상기 드레인 층 사이에 위치된 절연 층들을 추가로 포함하는, 3차원 메모리 디바이스.22. The three-dimensional memory device of claim 21, further comprising insulating layers positioned between each vertically neighboring pair of the source layer and the drain layer. 제23항에 있어서, 상기 절연 층들은,
상기 반도체 채널들의 각자의 반도체 채널과 접촉하는 채널 레벨 절연 층들; 및
상기 반도체 채널들 중 어떠한 반도체 채널과도 접촉하지 않는 트랜지스터간 레벨 절연 층들을 포함하는, 3차원 메모리 디바이스.
24. The method of claim 23, wherein the insulating layers comprise:
channel level insulating layers in contact with respective semiconductor channels of the semiconductor channels; and
and inter-transistor level insulating layers not in contact with any of the semiconductor channels.
제24항에 있어서, 상기 채널 레벨 절연 층들의 측벽들은 상기 트랜지스터간 레벨 절연 층들의 측벽들에 대해 그리고 각각의 메모리 개구 주위의 상기 소스 층들 및 상기 드레인 층들의 측벽들에 대해 외측으로 측방향으로 리세스되는, 3차원 메모리 디바이스.25. The method of claim 24, wherein the sidewalls of the channel level insulating layers are laterally ripped out to the sidewalls of the inter-transistor level insulating layers and to the sidewalls of the source layers and the drain layers around each memory opening. Accessed, three-dimensional memory device. 제24항에 있어서, 상기 채널 레벨 절연 층들 각각은 상기 소스 층들의 각자의 소스 층 및 상기 드레인 층들의 각자의 드레인 층의 수평 표면들과 직접 접촉하는, 3차원 메모리 디바이스.25. The three-dimensional memory device of claim 24, wherein each of the channel level insulating layers is in direct contact with horizontal surfaces of a respective source layer of the source layers and a respective drain layer of the drain layers. 제24항에 있어서, 상기 채널 레벨 절연 층들 각각은 상기 소스 층들 및 상기 드레인 층들과 접촉하지 않고, 상기 소스 층들 및 상기 드레인 층들로부터 적어도 각자의 반도체 채널 재료 층에 의해 수직으로 이격되는, 3차원 메모리 디바이스.25. The three-dimensional memory of claim 24, wherein each of the channel level insulating layers is not in contact with the source layers and the drain layers and is spaced vertically from the source layers and the drain layers at least by a respective semiconductor channel material layer. device. 제21항에 있어서, 상기 반도체 채널들의 수직 스택들 각각은 서로 직접 접촉하지 않고 서로 수직으로 이격되는 한 세트의 개별 반도체 채널들을 포함하는, 3차원 메모리 디바이스.22. The three-dimensional memory device of claim 21, wherein each of the vertical stacks of semiconductor channels comprises a set of individual semiconductor channels spaced vertically from each other without direct contact with each other. 제28항에 있어서, 각각의 반도체 채널의 외측 측벽의 전체는 각자의 수직으로 이웃하는 쌍의 상기 소스 층과 상기 드레인 층 사이에 위치된 각자의 채널 레벨 절연 층의 원통형 측벽과 접촉하는, 3차원 메모리 디바이스.29. The three-dimensional (3D) of claim 28, wherein the entirety of the outer sidewall of each semiconductor channel is in contact with the cylindrical sidewall of a respective channel level insulating layer positioned between the source and drain layers of a respective vertically neighboring pair. memory device. 제29항에 있어서, 상기 외측 측벽은 상기 각자의 수직으로 이웃하는 쌍 내의 상기 소스 층 및 상기 드레인 층 중 하나의 층의 수평 표면과 접촉하는 상부 주연부를 포함하고, 상기 각자의 수직으로 이웃하는 쌍 내의 상기 소스 층 및 상기 드레인 층 중 다른 층의 수평 표면과 접촉하는 하부 주연부를 포함하는, 3차원 메모리 디바이스.30. The pair of claim 29, wherein the outer sidewall includes an upper perimeter in contact with a horizontal surface of one of the source layer and the drain layer in the respective vertically neighboring pair, the respective vertically neighboring pair. and a lower perimeter in contact with a horizontal surface of the other of the source layer and the drain layer within. 제29항에 있어서, 상기 반도체 채널들 각각은 반도체 채널 재료 층의 중공 원통형 세그먼트를 포함하고, 상기 반도체 채널 재료 층의 중공 원통형 세그먼트는,
각자의 반도체 채널의 상부 단부에 인접한 상부 반도체 채널 재료 층 세그먼트; 및
상기 각자의 반도체 채널의 하부 단부에 인접한 하부 반도체 채널 재료 층 세그먼트를 포함하는, 3차원 메모리 디바이스.
30. The method of claim 29, wherein each of the semiconductor channels comprises a hollow cylindrical segment of a layer of semiconductor channel material, the hollow cylindrical segment of the layer of semiconductor channel material comprising:
an upper semiconductor channel material layer segment adjacent an upper end of each semiconductor channel; and
and a lower semiconductor channel material layer segment adjacent a lower end of the respective semiconductor channel.
제31항에 있어서, 상기 반도체 채널 재료 층은 상기 중공 원통형 세그먼트 및 상기 게이트 전극들을 측방향으로 둘러싸는 추가의 원통형 세그먼트들을 포함하는 한 세트의 반도체 채널들을 포함하는, 3차원 메모리 디바이스.32. The three-dimensional memory device of claim 31, wherein the semiconductor channel material layer comprises a set of semiconductor channels comprising the hollow cylindrical segment and additional cylindrical segments laterally surrounding the gate electrodes. 제31항에 있어서,
상기 소스 층들 각각은 도핑된 반도체 소스 층 및 금속 소스 라인의 수직 스택을 포함하고;
상기 드레인 층들 각각은 도핑된 반도체 드레인 층 및 금속 비트 라인의 수직 스택을 포함하는, 3차원 메모리 디바이스.
32. The method of claim 31,
each of the source layers comprises a doped semiconductor source layer and a vertical stack of metal source lines;
wherein each of the drain layers comprises a doped semiconductor drain layer and a vertical stack of metal bit lines.
제31항에 있어서, 상기 메모리 필름들 각각은 층 스택을 포함하고, 상기 층 스택은,
터널링 유전체 층;
상기 터널링 유전체 층과 접촉하는 전하 저장 층; 및
상기 전하 저장 층과 접촉하는 차단 유전체 층을 포함하는, 3차원 메모리 디바이스.
32. The method of claim 31 , wherein each of the memory films comprises a layer stack, the layer stack comprising:
tunneling dielectric layer;
a charge storage layer in contact with the tunneling dielectric layer; and
and a blocking dielectric layer in contact with the charge storage layer.
제31항에 있어서, 상기 메모리 필름들 각각은 강유전성 재료 층을 포함하는, 3차원 메모리 디바이스.32. The three-dimensional memory device of claim 31, wherein each of the memory films comprises a layer of ferroelectric material. 3차원 메모리 디바이스를 형성하는 방법으로서,
기판 위에 도핑된 반도체 소스 층들 및 도핑된 반도체 드레인 층들의 교번하는 스택을 형성하는 단계;
상기 교번하는 스택을 통해 수직으로 연장되는 메모리 개구들을 형성하는 단계; 각각의 메모리 개구 내에 메모리 필름 및 게이트 전극을 형성하는 단계 - 상기 메모리 필름 및 상기 게이트 전극은 상기 교번하는 스택의 상기 도핑된 반도체 소스 층들 및 상기 도핑된 반도체 드레인 층들 각각을 통해 수직으로 연장됨 -; 및
상기 메모리 필름 및 상기 게이트 전극의 형성 이전에, 또는 그 후에 상기 도핑된 반도체 소스 층들 중 일정 도핑된 반도체 소스 층 및 상기 도핑된 반도체 드레인 층들 중 일정 도핑된 반도체 드레인 층의 각자의 수직으로 이웃하는 쌍의 수평 표면들 상에 반도체 채널들의 수직 스택을 형성하는 단계를 포함하고,
반도체 채널들의 각각의 수직 스택은 상기 각자의 메모리 필름 및 상기 각자의 게이트 전극을 측방향으로 둘러싸는, 방법.
A method of forming a three-dimensional memory device, comprising:
forming an alternating stack of doped semiconductor source layers and doped semiconductor drain layers over a substrate;
forming memory openings extending vertically through the alternating stack; forming a memory film and a gate electrode in each memory opening, the memory film and the gate electrode extending vertically through each of the doped semiconductor source layers and the doped semiconductor drain layers of the alternating stack; and
Each vertically adjacent pair of a doped one of the doped semiconductor source layers and a doped one of the doped semiconductor drain layers before or after formation of the memory film and the gate electrode forming a vertical stack of semiconductor channels on the horizontal surfaces of
and each vertical stack of semiconductor channels laterally surrounds the respective memory film and the respective gate electrode.
제36항에 있어서, 상기 도핑된 반도체 소스 층들 중 일정 도핑된 반도체 소스 층 및 상기 도핑된 반도체 드레인 층들 중 일정 도핑된 반도체 드레인 층의 각각의 수직으로 이웃하는 쌍 사이에 절연 층들을 형성하는 단계를 추가로 포함하고, 상기 절연 층들은,
상기 반도체 채널들의 레벨들에 형성된 채널 레벨 절연 층들; 및 상기 반도체 채널들로부터 수직으로 이격되는 트랜지스터간 레벨 절연 층들을 포함하는, 방법.
37. The method of claim 36, further comprising: forming insulating layers between each vertically neighboring pair of a doped one of the doped semiconductor source layers and a doped one of the doped semiconductor drain layers. Further comprising, the insulating layers comprising:
channel level insulating layers formed at the levels of the semiconductor channels; and inter-transistor level insulating layers spaced vertically from the semiconductor channels.
제37항에 있어서,
상기 트랜지스터간 레벨 절연 층들 및 상기 채널 레벨 절연 층들은 상기 메모리 개구들의 형성 이전에 형성되고;
상기 방법은,
상기 도핑된 반도체 소스 층들, 도핑된 반도체 드레인 층들, 및 트랜지스터간 레벨 절연 층들의 측벽들에 대해 상기 채널 레벨 절연 층들의 측벽들을 측방향으로 리세스함으로써 환상 공동들을 형성하는 단계;
상기 환상 공동들 내에 반도체 재료를 컨포멀하게 침착시키는 단계; 및 상기 환상 공동들 외측으로부터 상기 컨포멀하게 침착된 반도체 재료의 부분들을 제거하는 단계를 추가로 포함하고, 상기 환상 공동들 내의 상기 컨포멀하게 침착된 반도체 재료의 나머지 부분들이 상기 반도체 채널들의 수직 스택들을 구성하는, 방법.
38. The method of claim 37,
the inter-transistor level insulating layers and the channel level insulating layers are formed prior to the formation of the memory openings;
The method is
forming annular cavities by laterally recessing sidewalls of the channel level insulating layers with respect to the sidewalls of the doped semiconductor source layers, doped semiconductor drain layers, and inter-transistor level insulating layers;
conformally depositing a semiconductor material within the annular cavities; and removing portions of the conformally deposited semiconductor material from outside the annular cavities, wherein the remaining portions of the conformally deposited semiconductor material within the annular cavities are the vertical stack of semiconductor channels. How to organize them.
제37항에 있어서,
상기 트랜지스터간 레벨 절연 층들 및 채널 레벨 희생 재료 층들을 형성하는 단계는 상기 메모리 개구들의 형성 이전에 발생하고, 상기 채널 레벨 희생 재료 층들은 상기 채널 레벨 절연 층들이 후속적으로 형성되는 레벨들에 형성되고;
상기 방법은,
상기 도핑된 반도체 소스 층들, 도핑된 반도체 드레인 층들, 및 상기 트랜지스터간 레벨 절연 층들에 대해 선택적으로
상기 채널 레벨 희생 재료 층들을 제거함으로써 백사이드 리세스들을 형성하는 단계; 및
각각의 백사이드 리세스 내에 반도체 채널 재료 층을 침착시키는 단계를 추가로 포함하고, 메모리 필름을 측방향으로 둘러싸는 상기 반도체 채널 재료 층들의 각각의 원통형 부분은 상기 반도체 채널들 중 하나의 반도체 채널을 구성하는, 방법.
38. The method of claim 37,
forming the inter-transistor level insulating layers and the channel level sacrificial material layers occurs prior to the formation of the memory openings, the channel level sacrificial material layers being formed at levels at which the channel level insulating layers are subsequently formed; ;
The method is
selectively with respect to the doped semiconductor source layers, doped semiconductor drain layers, and the inter-transistor level insulating layers
forming backside recesses by removing the channel level sacrificial material layers; and
depositing a layer of semiconductor channel material in each backside recess, wherein each cylindrical portion of the layers of semiconductor channel material laterally surrounding the memory film constitutes a semiconductor channel of one of the semiconductor channels. How to.
제39항에 있어서, 상기 채널 레벨 절연 층들은 상기 반도체 채널 재료 층들의 형성 후에 충전되지 않은 상기 백사이드 리세스들의 체적들에 절연 재료를 침착시킴으로써 형성되는, 방법.40. The method of claim 39, wherein the channel level insulating layers are formed by depositing insulating material in the unfilled volumes of the backside recesses after formation of the semiconductor channel material layers.
KR1020217018884A 2019-08-13 2020-03-19 A three-dimensional memory device comprising alternating stacks of source and drain layers and vertical gate electrodes. KR102622071B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US16/539,124 US10950626B2 (en) 2019-08-13 2019-08-13 Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes
US16/539,103 US11018153B2 (en) 2019-08-13 2019-08-13 Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes
US16/539,124 2019-08-13
US16/539,103 2019-08-13
PCT/US2020/023529 WO2021029916A1 (en) 2019-08-13 2020-03-19 Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes

Publications (2)

Publication Number Publication Date
KR20210080583A true KR20210080583A (en) 2021-06-30
KR102622071B1 KR102622071B1 (en) 2024-01-09

Family

ID=74571158

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217018884A KR102622071B1 (en) 2019-08-13 2020-03-19 A three-dimensional memory device comprising alternating stacks of source and drain layers and vertical gate electrodes.

Country Status (3)

Country Link
KR (1) KR102622071B1 (en)
CN (1) CN113272958A (en)
WO (1) WO2021029916A1 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11532640B2 (en) 2020-05-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a three-dimensional memory
US11404091B2 (en) * 2020-06-19 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array word line routing
US11647634B2 (en) 2020-07-16 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11355516B2 (en) 2020-07-16 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11423966B2 (en) 2020-07-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array staircase structure
KR20220144147A (en) * 2021-04-19 2022-10-26 삼성전자주식회사 Semiconductor device manufacturing method
WO2022246792A1 (en) * 2021-05-28 2022-12-01 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device and method for forming the same
CN113454781B (en) 2021-05-28 2024-05-28 长江存储科技有限责任公司 Three-dimensional memory device and method of forming the same
US12046285B2 (en) 2021-06-18 2024-07-23 Sandisk Technologies Llc Three-dimensional memory device and method of making thereof using double pitch word line formation
US11942429B2 (en) 2021-06-18 2024-03-26 Sandisk Technologies Llc Three-dimensional memory device and method of making thereof using double pitch word line formation
WO2022265686A1 (en) * 2021-06-18 2022-12-22 Sandisk Technologies Llc Three-dimensional memory device and method of making thereof using double pitch word line formation
CN118234229A (en) * 2022-12-20 2024-06-21 武汉新芯集成电路制造有限公司 Memory device and method of manufacturing the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0883913A (en) * 1994-09-13 1996-03-26 Toshiba Corp Semiconductor device
US20120206979A1 (en) * 2011-02-16 2012-08-16 Hack Seob Shin 3-d structured non-volatile memory device and method of manufacturing the same
KR20130076372A (en) * 2011-12-28 2013-07-08 에스케이하이닉스 주식회사 Nonvolatile memory device, method for operating the same, and method for fabricating the same
KR20150144818A (en) * 2013-05-17 2015-12-28 마이크론 테크놀로지, 인크 Apparatuses having a ferroelectric field-effect transistor memory array and related method
US20160322381A1 (en) * 2015-04-30 2016-11-03 Sandisk Technologies Inc. Multilevel memory stack structure employing support pillar structures

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5903326B2 (en) * 2012-05-01 2016-04-13 株式会社日立製作所 Semiconductor memory device
KR102051041B1 (en) * 2013-10-25 2019-11-29 삼성전자주식회사 3-terminal synapse device and method of operating the same
CN104022121B (en) * 2014-06-23 2017-05-03 中国科学院微电子研究所 Three-dimensional semiconductor device and method for manufacturing the same
KR20170023654A (en) * 2015-08-24 2017-03-06 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method of the same
US9502471B1 (en) * 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
US9825100B2 (en) * 2015-08-31 2017-11-21 Toshiba Memory Corporation Nonvolatile semiconductor memory device
US9972640B1 (en) * 2016-11-17 2018-05-15 Sandisk Technologies Llc Three-dimensional memory device with self-aligned drain side select gate electrodes and method of making thereof
US10083982B2 (en) * 2016-11-17 2018-09-25 Sandisk Technologies Llc Three-dimensional memory device having select gate electrode that is thicker than word lines and method of making thereof
KR102396806B1 (en) * 2017-08-31 2022-05-12 마이크론 테크놀로지, 인크 Semiconductor devices, hybrid transistors and related methods
CN109473445B (en) * 2018-11-09 2021-01-29 中国科学院微电子研究所 Memory device, method of manufacturing the same, and electronic apparatus including the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0883913A (en) * 1994-09-13 1996-03-26 Toshiba Corp Semiconductor device
US20120206979A1 (en) * 2011-02-16 2012-08-16 Hack Seob Shin 3-d structured non-volatile memory device and method of manufacturing the same
KR20130076372A (en) * 2011-12-28 2013-07-08 에스케이하이닉스 주식회사 Nonvolatile memory device, method for operating the same, and method for fabricating the same
KR20150144818A (en) * 2013-05-17 2015-12-28 마이크론 테크놀로지, 인크 Apparatuses having a ferroelectric field-effect transistor memory array and related method
US20160322381A1 (en) * 2015-04-30 2016-11-03 Sandisk Technologies Inc. Multilevel memory stack structure employing support pillar structures

Also Published As

Publication number Publication date
CN113272958A (en) 2021-08-17
KR102622071B1 (en) 2024-01-09
WO2021029916A1 (en) 2021-02-18

Similar Documents

Publication Publication Date Title
KR102622071B1 (en) A three-dimensional memory device comprising alternating stacks of source and drain layers and vertical gate electrodes.
US10950626B2 (en) Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes
US11018153B2 (en) Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes
KR102612259B1 (en) Three-dimensional NOR array comprising vertical word lines and individual channels and methods for manufacturing the same
KR102342709B1 (en) Three-dimensional memory device and its manufacturing methods using alternative drain select gate electrodes
US9935050B2 (en) Multi-tier three-dimensional memory devices including vertically shared source lines and method of making thereof
US10283566B2 (en) Three-dimensional memory device with through-stack contact via structures and method of making thereof
US9941299B1 (en) Three-dimensional ferroelectric memory device and method of making thereof
US11631691B2 (en) Three-dimensional flat memory device including a dual dipole blocking dielectric layer and methods of making the same
US10937801B2 (en) Three-dimensional memory device containing a polygonal lattice of support pillar structures and contact via structures and methods of manufacturing the same
KR101944201B1 (en) Three dimensional memory device having comb-shaped source electrode and methods of making thereof
US10833101B2 (en) Three-dimensional memory device with horizontal silicon channels and method of making the same
KR102428045B1 (en) Three-dimensional planar NAND memory device including wavy word lines and method of manufacturing the same
KR102615561B1 (en) Three-dimensional ferroelectric memory array including integrated gate selector and method of forming the same
CN106992182B (en) Memory device, method of manufacturing the same, and electronic apparatus including the same
US10797070B2 (en) Three-dimensional memory device containing a replacement buried source line and methods of making the same
KR102437273B1 (en) Method for fabricating three-dimensional semiconductor memory devices
KR20200062353A (en) 3D memory device including multilevel drain select gate isolation and manufacturing method thereof
US20200219895A1 (en) Three-dimensional memory device containing a replacement buried source line and methods of making the same
CN111512441A (en) Three-dimensional memory device including bottle-shaped memory stack structure and method of manufacturing the same
CN107068686B (en) Memory device, method of manufacturing the same, and electronic apparatus including the same
WO2021236178A1 (en) Three-dimensional memory device containing auxilliary support pillar structures and method of making the same
CN116634772A (en) NOR type memory device, method of manufacturing the same, and electronic apparatus including the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant