KR20190129117A - 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화 - Google Patents

제품 유닛의 다중-스테이지 처리를 위한 장치 최적화 Download PDF

Info

Publication number
KR20190129117A
KR20190129117A KR1020197031430A KR20197031430A KR20190129117A KR 20190129117 A KR20190129117 A KR 20190129117A KR 1020197031430 A KR1020197031430 A KR 1020197031430A KR 20197031430 A KR20197031430 A KR 20197031430A KR 20190129117 A KR20190129117 A KR 20190129117A
Authority
KR
South Korea
Prior art keywords
processing
fingerprint
optimizing
data
stage
Prior art date
Application number
KR1020197031430A
Other languages
English (en)
Other versions
KR102336390B1 (ko
Inventor
젤레 니제
알렉산더 이프마
디미트라 그코로우
게오르기오스 트시로기아니스
로버트 잔 반 비크
추-차오 첸
프란스 레이니어 스피어링
사라티 로이
데시레 그로우스트라. 세드릭
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Priority to KR1020217039395A priority Critical patent/KR102427132B1/ko
Publication of KR20190129117A publication Critical patent/KR20190129117A/ko
Application granted granted Critical
Publication of KR102336390B1 publication Critical patent/KR102336390B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7092Signal processing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/213Feature extraction, e.g. by transforming the feature space; Summarisation; Mappings, e.g. subspace methods
    • G06F18/2135Feature extraction, e.g. by transforming the feature space; Summarisation; Mappings, e.g. subspace methods based on approximation criteria, e.g. principal component analysis
    • G06K9/6247

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

본 발명은 웨이퍼와 같은 제품 유닛의 다중-스테이지 처리를 위하여 장치를 최적화하는 방법에 관한 것으로서, 본 방법은 (a) 웨이퍼(204, 224)에 걸쳐 측정되고(206, 208) 웨이퍼의 상이한 처리 스테이지와 관련된 하나 이상의 매개변수를 나타내는 대상물 데이터(210, 230)를 수신하는 것; (b) 웨이퍼에 걸쳐 대상물 데이터의 변화의, 웨이퍼의 상이한 처리 스테이지와 관련된 핑거프린트(213, 234)를 결정하는 것; (c) 공통성 결과를 생성하기 위해 상이한 스테이지를 통하여 핑거프린트의 공통성을 분석(246)하는 것; 및 (d) 공통성 결과에 기초하여 제품 유닛을 처리(262)하기 위해 사용된 장치를 최적화(250 내지 258)하는 것을 포함한다. 핑거프린트는 각 상이한 각각의 스테이지에 대하여 주성분 분석을 이용하여 대상물 데이터를 구성 요소로 분해함으로써 결정될 수 있다.

Description

제품 유닛의 다중-스테이지 처리를 위한 장치 최적화
본 출원은 2017년 3월 27일 출원된 유럽/미국 출원 제17163147.6호의 우선권을 주장하며, 이 출원의 내용은 전체적으로 본 명세서에서 참고로 원용된다.
본 발명은, 예를 들어 리소그래피 기술에 의한 장치의 제조에 사용 가능한, 제품 유닛의 다중-스테이지 처리를 위하여 장치를 최적화하는 방법에 관한 것이다. 방법이 개발되고 있는 다중-스테이지 공정의 예는 다층 리소그래피 공정이며, 이 공정은 리소그래피 장치를 사용하여 패턴을 패터닝 디바이스로부터 기판 제품 유닛으로 전사하는 하나 이상의 단계를 포함하고 있다. 본 발명은 또한 관련 컴퓨터 프로그램, 컴퓨터 프로그램 제품 및 컴퓨터 장치에 관한 것이다.
리소그래피 장치는 원하는 패턴을 원하는 기판 상으로, 통상적으로는 기판의 타겟 부분 상으로 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 그 경우에, 대안적으로 마스크 또는 레티클로 지칭되는 패터닝 디바이스는 IC의 개별 층 상에 형성될 회로 패턴을 생성하는데 사용될 수 있다. 이 패턴은 기판 (예를 들어, 실리콘 웨이퍼) 상의 타겟 부분 (예를 들어, 하나 또는 여러 다이의 일부를 포함하는)으로 전사될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선 감응 물질(레지스트)의 층 상으로의 이미징을 통해 이루어진다. 일반적으로, 단일 기판은 연속적으로 패터닝된 인접한 타겟 부분들의 네트워크를 포함할 것이다. 이 타겟 부분은 보통 "필드(field)"로 지칭된다. 웨이퍼는 반도체 제조 설비(fab)의 다양한 장치를 통해 배치(batch) 또는 로트(lot) 별로 처리된다. 집적 회로는 각 층에서 리소그래피 장치에 의해 수행되는 리소그래피 단계 및 리소그래피 단계들 사이에서 수행되는 다른 팹(fab) 공정으로 층별로 구성된다.
이미징 단계 전에, 패터닝을 위한 층을 형성하고 준비하기 위해 다양한 화학적 및/또는 물리적 처리 단계가 이용된다. 이미징 단계가 패턴을 한정한 후, 추가적인 화학적 및/또는 물리적 처리 단계가 패턴을 통해 작동하여 집적 회로의 기능적 피처를 생성한다. 집적 회로를 만들기 위해 이미징 및 처리 단계가 다층 공정에서 반복된다.
기판 상에서의 패턴의 정확한 배치는 리소그래피에 의해 생성될 수 있는 회로 구성 요소 및 다른 제품의 크기를 감소시키기 위한 주요 도전이다. 특히, 이미 배치된 기판 상의 피처를 정확하게 측정해야 하는 과제는 높은 수율로 작업 디바이스를 생산하기에 충분한 연속적인 피처 층들을 중첩하여 정확하게 정렬할 수 있는 중요한 단계이다. 일반적으로 소위 오버레이는 오늘날의 서브 미크론 반도체 장치에서 수십 나노미터 내에서, 가장 중요한 층에서는 수 나노미터까지 달성되어야 한다.
결과적으로, 현대 리소그래피 장치는 타겟 위치에서 기판을 실제로 노출 시키거나 달리 패터닝하는 단계 이전에 광범위한 측정 또는 "매핑" 동작을 수반한다. 공정 단계 및/또는 리소그래피 장치 자체에 의해 야기되는 웨이퍼 "그리드"의 보다 정확한 비선형 왜곡을 모델링하고 보정하기 위해 소위 첨단 정렬 모델이 개발되어 왔으며 또한 계속 개발되고 있다. 그러나 노광 중에 모든 왜곡이 보정 가능하지는 않으며, 가능한 한 이러한 왜곡의 많은 원인을 추적하고 제거하는 것이 중요하다.
현대의 다층 리소그래피 공정 및 제품은 너무 복잡하여 처리로 인한 문제를 근본 원인까지 거슬러 추적하기 어렵다. 따라서 웨이퍼 무결성의 모니터링 및 적절한 보정 전략의 설계는 시간이 많이 걸리고 힘든 활동이다.
전체적으로 본 명세서에서 참고로 원용되는 국제 특허 출원공개 WO2015/049087은 산업 공정과 관련된 진단 정보를 얻는 방법을 개시하고 있다. 정렬 데이터 또는 다른 측정이 리소그래피 공정의 수행 동안에 스테이지들에서 이루어져 각 웨이퍼에 걸쳐 공간적으로 분포된 포인트에서 측정된 위치 편차 또는 다른 매개변수를 나타내는 대상물 데이터를 획득한다. 오버레이 및 정렬 잔차는 전형적으로 핑거프린트(fingerprint)로 알려진, 웨이퍼 전체에 걸친 패턴을 보여준다. 이 대상물 데이터는 다변량 분석을 수행함으로써 진단 정보를 획득하는데 사용되어 다차원 공간 내의 웨이퍼를 나타내는 벡터 세트를 하나 이상의 성분 벡터로 분해한다. 산업 공정에 대한 진단 정보는 성분 벡터를 이용하여 추출된다. 후속 웨이퍼에 대한 산업 공정의 성능은 추출된 진단 정보에 기초하여 제어될 수 있다.
이러한 접근법으로도, 수율 손실이 어디서 비롯된 것인지 이해하기 어렵다. 기존의 분석 방법은 시행착오를 포함하고 있다. 다층 공정에서, 기판은 가능한 한 빨리 층을 통해 처리된다. 수율 손실로 이어지는 현상은 시간이 지남에 따라 빠르게 변할 수 있으며 수율 손실에 대한 영향의 심각성도 지속적으로 변한다. 제품 성능 또는 수율 데이터를 얻는 데 시간이 걸리거나 분석 자체가 시간이 많이 걸리는 일이기 때문에 분석에 시간이 오래 걸리면 수율에 미치는 영향이 매우 커질 수 있다.
따라서, 현재 성능 모니터링, 제어 및 공정 장치 최적화 시스템이 갖는 한계는 성능 모니터링 전용 시간 및 장비의 양과 실행될 수 있는 보정의 속도 및 정확도 사이에 타협이 있다는 것이다.
일부 성능 매개 변수가 허용 한계를 초과하는 경우에만 문제를 검출하기보다는 초기 단계에서 문제를 검출할 수 있는 성능 모니터링 시스템을 갖추는 것이 바람직할 것이다.
공정 보정은 슬롯 당 또는 척 당 이외에 웨이퍼-레벨에서 이루어질 수 있다. 따라서, 정렬은 또한 본질적으로 웨이퍼 레벨 보정 메커니즘이기 때문에 상호 의존적인 정렬 및 오버레이 보정 전략에 대해 완전한 선택을 하는 것이 중요하다. 이러한 완전한 최적화는 어려운 작업이며, 이는 일반적으로 예를 들어, 층마다 정렬과 오버레이를 위하여 별개로 수행된다. 이는 또한 오버레이/정렬, 초점/레벨링 및 임계 치수(CD)의 공동 최적화에도 적용될 수 있다.
층간 복잡성은 정렬 및 오버레이 보정 전략의 완전한 최적화를 이루는 것을 어렵게 만든다. 더욱이, 점점 더 상호 작용하기 시작하는 여러 제어 루프가 동시에 실행한다 (예를 들어, 웨이퍼 정렬, 레벨링, 웨이퍼 레벨 제어 및 고급 공정 제어와 조합된 렌즈 가열, 레티클 서입 오류 및 웨이퍼 형상 피드포워드). 또한, 기존의 시뮬레이션 및 모델링 접근 방식은 흔히 일체로 최적화된 시스템으로 부품들을 함께 연결하기 위한 전반적인 흐름 없이 개별 방식으로 작동한다. 예를 들어, 새로운 정렬 마커 유형이 제안된 후 오버레이 보정 시뮬레이션이 다시 이루어져야 한다. 또는, 예를 들어, 상이한 웨이퍼 레벨 제어 전략이 제안된 후에 (또한 웨이퍼 대 웨이퍼 변동을 보정한 후) 상이한 정렬 전략의 이점이 재계산될 필요가 있다. 또는 어떤 처리 변경 (예를 들어, 어닐링 레시피 확정) 또는 정렬 전략 변경 (고차 모델, 상이한 정렬 조명 색상 또는 상이한 샘플링 계획으로 달라짐)이 도입된 후 데이터에서 핑거프린트가 완전히 제거될 수 있다. 층 간 및 제어 루프 간 복잡성이 고려되는 가정의 시나리오를 사용하여 (초점 및 CD뿐만 아니라) 오버레이 이점을 시뮬레이션할 수 있는 하나의 흐름을 갖는 것이 바람직하다.
본 발명자들은 실시간 컨텍스트 주도적 근본 원인 분석 및 보정 조언을 제공하는 방법을 고안하였다. 본 방법은 위에서 언급된 하나 이상의 관련 문제를 피하거나 적어도 완화하면서, 기판의 다층 처리를 위하여 장치를 최적화하기 위해 사용될 수 있다.
제1 양태에서, 본 발명은 제품 유닛의 다중-스테이지 처리를 위한 방법을 제공하며, 본 방법은
(a) 상이한 처리 스테이지들에서 복수의 제품 유닛에 관하여 측정된 하나 이상의 매개 변수를 나타내는 대상물 데이터를 수신하는 것;
(b) 복수의 제품 유닛 중에서 각 제품 유닛에 걸쳐 대상물 데이터의 변화의, 상이한 각각의 처리 스테이지와 관련된 핑거프린트를 결정하는 것;
(c) 공통성 결과를 생성하기 위해 상이한 처리 스테이지를 통하여 핑거프린트의 공통성을 분석하는 것; 및
(d) 공통성 결과에 기초하여 제품 유닛을 처리하기 위해 사용된 장치를 최적화하는 것을 포함한다.
제2 양태에서, 본 발명은 적합한 컴퓨터 장치에서 구동할 때 컴퓨터 장치가 제1 양태의 방법을 수행하게 하는 컴퓨터 판독 가능한 명령어를 포함하는 컴퓨터 프로그램을 제공한다.
제3 양태에서, 본 발명은 제2 양태의 컴퓨터 프로그램을 포함하는 컴퓨터 프로그램 제품을 제공한다.
제4 양태에서, 본 발명은 특히 제1 양태의 방법의 단계를 수행하도록 조정된 장치를 제공한다.
실시예가 이제 첨부 도면을 참조하여 오직 예로써 설명될 것이다:
도 1은 반도체 디바이스를 위한 생산 설비를 형성하는 다른 장치와 함께 리소그래피 장치를 도시하고 있다.
도 2는 본 발명의 실시예에 따른 방법의 흐름도이다.
도 3은 주성분 분석(Principal Component Analysis; PCA) 기반 스루-층(through-layer) 핑거프린트 분석을 위한 대시보드를 도시하고 있다.
도 4는 영향 시뮬레이션을 오버레이하기 위한 생성 구성 요소 기반 합성 접근법의 예를 도시하고 있다.
도 5는 시뮬레이션된 보정 동작이 적용된 후 주성분 분석(PAC) 기반 스루-층 핑거프린트 분석을 위한 대시보드를 도시하고 있다.
도 6은 제어 전략의 효과를 평가하기 위해 사용되는 2차원 밀도 플롯(density plot)을 도시하고 있다.
도 7은 본 명세서에 개시된 방법을 구현하는데 유용한 컴퓨팅 장치 하드웨어를 도시하고 있다.
실시예를 상세하게 설명하기 전에, 실시예가 수행될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1 는 100 에서 대량의 리소그래피 제조 공정을 구현하는 산업 설비의 일부로서의 리소그래피 장치(LA)를 보여주고 있다. 본 예에서, 제조 공정은 반도체 웨이퍼와 같은 기판 상에서의 반도체 제품 (집적 회로)의 제조에 맞춰져 있다. 당 업자는 상이한 유형의 기판을 이 공정의 변형으로 기판을 처리함으로써 매우 다양한 제품이 제조될 수 있다는 것을 인식할 것이다. 반도체 제품의 생산은 오늘날 상업적으로 큰 중요성을 갖는 예로서 순수하게 사용된다.
리소그래피 장치 (또는 간단히 "리소 툴"(100)) 내에서, 측정 스테이션(MEA)은 102에 보여지고 있으며, 노광 스테이션(EXP)은 104에 보여지고 있다. 제어 유닛(LACU)은 106에 보여지고 있다. 이 예에서, 각 기판은 측정 스테이션과 노광 스테이션에 체류(visit)하여 적용된 패턴을 갖는다. 광학 리소그래피 장치에서, 예를 들어, 투영 시스템은 조정된 방사선 및 투영 시스템을 사용하여 제품 패턴을 패터닝 디바이스(MA)로부터 기판 상으로 전사하기 위하여 사용된다. 이는 패턴의 이미지를 방사선 감응 레지스트 물질의 층에 형성함으로써 수행된다.
본 명세서에서 사용되는 용어 "투영 시스템"은 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자에 대하여 적절하다면 굴절, 반사, 반사 굴절(catadioptric), 자기, 전자기 및 정전 광학 시스템, 또는 그들의 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 포함하는 것으로서 폭넓게 해석되어야 한다. 패터닝 디바이스(MA)는 마스크 또는 레티클일 수 있으며, 이는 패터닝 디바이스에 의해 투과 또는 반사되는 방사선 빔에 패턴을 부여한다. 공지된 작동 모드는 스테핑 모드 및 스캐닝 모드를 포함하고 있다. 잘 알려진 바와 같이, 투영 시스템은 기판과 패터닝 디바이스에 대한 지지 및 위치 결정 시스템과 다양한 방법으로 협력하여 기판에 걸쳐 많은 타겟 부분에 원하는 패턴을 적용할 수 있다. 고정 패턴을 갖는 레티클 대신에 프로그램 가능한 패터닝 디바이스가 사용될 수 있다. 방사선은 예를 들어 심자외선(DUV) 또는 극자외선(EUV) 파장 대역에서의 전자기 방사선을 포함할 수 있다. 본 발명은 또한 예를 들어 전자 빔에 의하여 다른 유형의 리소그래피 공정, 예를 들어 임프린트 리소그래피 및 직접 서입 리소그래피에 적용 가능하다.
리소그래피 장치 제어 유닛(LACU)은 다양한 액추에이터 및 센서의 모든 이동 및 측정을 제어하여 장치가 기판(W)과 레티클(MA)을 수용하며 패터닝 작동을 실행하게 한다. 제어 유닛(LACU)은 또한 신호 처리 및 데이터 처리 능력을 포함하여 장치의 작동과 관련된 원하는 계산을 실행한다. 실제로, 제어 유닛(LACU)은 장치 내의 서브시스템 또는 구성 요소의 실시간 데이터 획득, 처리 및 제어를 각각 취급하는 많은 서브-유닛의 시스템으로서 실현될 것이다.
노광 스테이션(EXP)에서 패턴이 기판에 적용되기 전에, 다양한 준비 단계가 수행될 수 있도록 기판은 측정 스테이션(MEA)에서 처리된다. 준비 단계는 레벨 센서를 사용하여 기판의 표면 높이를 매핑(mapping)하는 것과 정렬 센서를 사용하여 기판 상의 정렬 마크의 위치를 측정하는 것을 포함할 수 있다. 정렬 마크들은 규칙적인 그리드(grid) 패턴 형태로 명목상 배치되어 있다. 그러나 마크 생성의 부정확성으로 인하여 그리고 또한 기판 처리 동안에 발생하는 기판의 변형으로 인하여, 마크는 이상적인 그리드에서 벗어난다. 결과적으로, 기판의 위치 및 배향을 측정하는 것에 더하여, 장치가 제품 피처를 정확한 위치에 매우 높은 정확도로 프린트하는 경우, 정렬 센서는 실제로 기판 영역에 걸쳐 많은 마크의 위치를 상세하게 측정해야 한다.
리소그래피 장치(LA)는 2개의 기판 테이블을 갖는 소위 이중(dual) 스테이지 유형일 수 있으며, 각 기판 테이블은 제어 유닛(LACU)에 의해 제어되는 위치 결정 시스템을 갖고 있다. 하나의 기판 테이블 상의 하나의 기판이 노광 스테이션(EXP)에서 노광되고 있는 동안, 다른 기판이 측정 스테이션(MEA)에서 다른 기판 테이블 상으로 로딩될 수 있어 다양한 준비 단계가 수행될 수 있다. 따라서, 정렬 마크의 측정은 시간이 매우 많이 걸리며, 2개의 기판 테이블의 제공은 장치의 처리량의 상당한 증가를 가능하게 한다. 기판 테이블이 노광 스테이션뿐만 아니라 측정 스테이션에 있는 동안 위치 센서(IF)가 기판 테이블의 위치를 측정할 수 없다면, 제2 위치 센서가 제공되어 양 스테이션에서 기판 테이블의 위치가 추적될 수 있게 할 수 있다. 리소그래피 장치(LA)가 2개의 기판 테이블을 갖는 소위 이중 스테이지 유형인 경우, 노광 스테이션과 측정 스테이션은 기판 테이블이 교환될 수 있는 별개의 위치들일 수 있다. 그러나 이는 하나의 가능한 배치일 뿐이며 측정 스테이션과 노광 스테이션은 별개일 필요는 없다. 예를 들어, 노광 전 측정 단계 동안 측정 스테이지가 일시적으로 연결되는 단일 기판 테이블을 갖는 것이 알려져 있다. 본 발명은 어느 유형의 시스템에 제한되지 않는다.
생산 설비 내에서, 장치(100)는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성하며, 이는 또한 장치(100)에 의한 패터닝을 위하여 감광성 레지스트와 다른 코팅부를 기판(W)에 도포하기 위한 코팅 장치(108)를 포함하고 있다. 장치(100)의 출력 측에는, 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위하여 베이킹 장치(110) 및 현상 장치(112)가 제공되어 있다. 이 모든 장치 사이에서, 기판 핸들링 시스템들은 기판을 지지하고 기판을 장치의 한 부분에서 다음 부분으로 이송시키는 것을 처리한다. 흔히 총괄적으로 "트랙(track)"으로서 지칭되는 이 장치들은 감독 제어 시스템(SCS)에 의해 자체 제어되는 트랙 제어 유닛의 제어 하에 있으며, 감독 제어 시스템은 또한 리소그래피 장치 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 따라서, 처리량과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다. 감독 제어 시스템(SCS)은 각 패터닝된 기판을 생성하도록 수행될 단계의 정의를 더 상세하게 제공하는 레시피(recipe) 정보(R)를 수신한다.
패턴이 리소 셀에서 적용되고 현상되면, 패터닝된 기판(120)은 122, 124, 126에 도시된 바와 같은 다른 처리 장치로 이송된다. 광범위한 제조 단계는 전형적인 제조 설비의 다양한 장치에 의해 실행된다. 예를 들어, 본 실시예의 장치(122)는 에칭 스테이션이며, 장치(124)는 에칭 후 어닐링(annealing) 단계를 수행한다. 추가의 물리적 및/또는 화학적 처리 단계가 추가 장치(126) 등에 적용된다. 물질의 증착, 표면 물질 특성의 변경 (산화, 도핑, 이온 주입 등), 화학적 기계적 연마(CMP) 등과 같은, 실제 디바이스를 만들기 위하여 많은 유형의 작동이 요구될 수 있다. 장치(126)는, 실제로 하나 이상의 장치 내에서 수행되는 일련의 상이한 처리 단계를 나타낼 수 있다.
잘 알려진 바와 같이, 반도체 디바이스의 제조는 적절한 물질 및 패턴을 갖는 디바이스 구조체들을 기판 상에 층별로 구축하기 위해 이러한 처리의 많은 반복을 포함하고 있다. 따라서, 리소 클러스터에 도달하는 기판(130)은 새로 준비된 기판일 수 있으며, 또는 이 기판은 클러스터 또는 다른 장치에서 이전에 완전히 처리된 기판일 수 있다. 유사하게, 요구되는 처리에 따라, 장치(126)를 떠나는 기판(132)은 동일한 리소 클러스터 내에서의 후속 패터닝 작업을 위해 복귀될 수 있거나, 이 기판은 상이한 클러스터에서의 패터닝 작업을 하도록 예정될 수 있거나, 이 기판은 다이싱(dicing) 및 패키징을 위해 보내질 마무리된 제품일 수 있다.
제품 구조체의 각 층은 상이한 일련의 공정 단계들을 필요로 하며, 각 층에서 사용된 장치(126)는 유형이 완전히 다를 수 있다. 또한, 장치(126)에 의해 적용될 처리 단계들이 명목상 동일한 경우일지라도, 대규모 설비에서, 아마도 상이한 기판 상에서 단계(126)를 수행하기 위해 동시에 작동하는 여러 개의 동일한 기계가 있을 수 있다. 이 기계들 사이의 설정(set-up) 또는 결함의 작은 차이는 이 기계들이 상이한 방식으로 상이한 기판들에 영향을 준다는 것을 의미할 수 있다. 에칭 (장치(122))과 같은, 각 층에 대해 상대적으로 공통적인 단계조차도 명목상으로는 동일하지만 처리량을 최대화하기 위해 동시에 작동하는 몇몇 에칭 장치에 의해 실행될 수 있다. 더욱이, 실제로, 상이한 층들은 에칭될 물질의 세부 사항에 따라 상이한 에칭 공정, 예를 들어 화학적 에칭, 플라즈마 에칭을 필요로 하며, 또한 예를 들어 이방성 에칭과 같은 특별한 요구 조건을 필요로 한다.
이전 및/또는 후속 공정은 방금 언급된 바와 같이 다른 리소그래피 장치에서 수행될 수 있으며, 또한 상이한 유형의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및 오버레이와 같은 매개변수를 매우 요구하는, 디바이스 제조 공정에서의 일부 층은 덜 요구하는 다른 층보다 더 첨단 리소그래피 툴에서 수행될 수 있다. 따라서 일부 층은 침지 유형의 리소그래피 툴에서 노광될 수 있는 반면, 다른 층은 "건식 툴(dry tool)"에서 노광될 수 있다. 일부 층은 DUV 파장에서 작동하는 툴에서 노광될 수 있는 반면에, 다른 층은 EUV 파장 방사선을 사용하여 노광된다.
리소그래피 장치에 의하여 노광된 기판이 정확하고 일관되게 노광되도록 하기 위하여, 노광된 기판을 검사하여 후속 층들 사이의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직하다. 따라서, 리소 셀(LC)이 위치되어 있는 제조 설비는 또한 리소 셀에서 처리된 기판(W)의 일부 또는 전부를 수용하는 계측 시스템(MET)을 포함하고 있다. 계측 결과는 감독 제어 시스템(SCS)(138)에 직접 또는 간접적으로 제공된다. 오차가 검출되면, 후속 기판의 노광에 대한 조정이 이루어질 수 있으며, 특히 동일한 배치(batch)의 다른 기판이 계속해서 노광될 만큼 곧바로 그리고 신속하게 계측이 이루어질 수 있다면 더욱 그렇다. 또한, 이미 노광된 기판은 벗겨지고 재가공되어 수율을 개선하거나 폐기될 수 있으며, 그에 의하여 결함이 있는 것으로 알려진 기판에 대한 추가 처리를 수행하는 것을 피할 수 있다. 기판의 일부 타겟 부분에만 결함이 있는 경우, 양호한 타겟 부분에 대해서만 추가 노광이 수행될 수 있다.
도 1에는 제조 공정에서의 원하는 스테이지에서 제품의 매개변수를 측정하기 위해 제공되는 계측 장치(140)가 또한 보여지고 있다. 현대적인 리소그래피 생산 설비에서의 계측 장치의 공통적인 예는, 스케터로미터, 예를 들어 각도-분해 스케터로미터 또는 분광 스케터로미터이며, 이는 장치(122)에서의 에칭 전에 120에서, 현상된 기판의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(140)를 사용하여, 예를 들어 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 매개변수가 현상된 레지스트에서의 특정 정확도 요건을 충족시키지 않는다는 점이 결정될 수 있다. 에칭 단계 전에, 현상된 레지스트를 벗겨내고 리소 클러스터를 통해 기판(120)을 재처리할 기회가 존재한다. 잘 알려진 바와 같이, 감독 제어 시스템(SCS) 및/또는 제어 유닛(LACU)(106)에 의해 시간에 따라 작은 조정을 수행함으로써, 장치(140)로부터의 계측 결과(142)는 리소 클러스터에서의 패터닝 작동의 정확한 성능을 유지하는데 사용될 수 있으며, 그에 의하여 제품이 사양을 벗어나고 재작업을 요구하는 위험이 최소화된다. 물론, 계측 장치(140) 및/또는 다른 계측 장치(보여지지 않음)는 처리된 기판(132, 134) 및 들어오고 있는 기판(130)의 특성을 측정하기 위해 적용될 수 있다.
본 발명의 실시예는 층의 적층체를 통해 핑거프린트를 캡처하도록 내장 센서를 갖는 리소그래피 장치를 사용함으로써 집적 회로의 라이프 사이클을 통해 층의 완전한 적층체를 특성화하는 능력을 부여한다. 이 특성화는 각 층을 한정하는 노광들 사이의 공정 단계와 관련이 있다. 층의 적층체를 통한 핑거프린트의 분석은 다층 공정에서 복잡하고 시변(time varying)인 현상을 보정할 능력을 제공한다. 예를 들어, 새로운 장비와 새로운 공정 레시피는 계속 새로운 핑거프린트를 생성하고 있다.
정렬 센서에 더하여, 리소그래피 장치는 많은 인-라인(in-line) 센서를 갖고 있다. 이는 레벨링 센서, 레티클을 웨이퍼 스테이지 척에 대해 정렬시키기 위한 센서 (예를 들어, "투과 이미지 센서" 또는 "병렬 집적 렌즈 간섭계" 유형 센서) 및 액추에이터 안정성과 관련된 센서를 포함한다. 리소그래피 장치의 센서는 기판에 걸쳐 공간적으로 분포된 매개변수의 값을 측정할 수 있는 센서의 예이다. 핑거프린트는 기판에 걸친 공간 분포를 나타낼 뿐만 아니라, 핑거프린트는 웨이퍼 로트의 상이한 웨이퍼에 걸친 공정 매개변수의 분포를 나타낼 수 있다. 예를 들어 "병렬 집적 렌즈 간섭계" 센서로부터 획득된 핑거프린트는 웨이퍼 로트에 걸쳐 레티클 가열 시그니처(signature)를 나타낼 수 있다. 본 발명의 실시예는 이 센서를 이용하여 많은 또는 모든 개별 공정 (예를 들어, 에칭, 증착, 현상 트랙)을 특징짓는다. 웨이퍼 상에서의 층의 제조 동안에 스캐너가 적어도 한 번 포함되기 때문에 이는 가능하다. 스캐너는 층마다 적어도 한 번 리소그래피 공정을 통과하는 모든 웨이퍼에 그의 센서를 적용할 수 있다.
핑거프린트를 결정하기 위해 사용되는 스캐너 센서는 동적일 수 있다. 예를 들어, 하나의 층에 대해, 정렬 센서는 공정 단계를 나타내는 핑거프린트를 결정하는데 가장 유용한 것으로 밝혀질 수 있는 반면, 다른 층에 대해서는 레벨링 센서가 더욱 유익할 수 있다. 센서의 사용 및 가장 대표적인 (예를 들어, 공정 제어 및 처리 장치 최적화에 가장 유익한) 결과를 산출하는, 센서 신호들의 조합은 변경될 수 있으며 기계 학습 접근법은 층 당 최적 센서 신호 정상도 (예를 들어, 층 1: 정렬 색상 1, 층 2: 정렬 색상 2 + 레벨링 ...)로 수렴하기 위해 사용될 수 있다.
위에서 언급된 바와 같이, 웨이퍼는 층의 적층체를 통해 처리되며, 리소그래피 장치는 모든 상이한 단계에서 핑거프린트를 캡처할 수 있다. 핑거프린트 패턴들은 스루-적층체(through-stack) 분석을 수행함으로써 서로 관련될 수 있으며, 층에 걸쳐 공통된 핑거프린트들은 각 층에서 발생하는 이벤트 및 현상과 다시 관련될 수 있다. 이는 후속 공정 단계에 대해 처리 장치를 적절하게 최적화하는 것을 가능하게 한다. 최적화된 장치에 의해 수행되는 이 후속 공정 단계는 핑거프린트가 획득된 웨이퍼에 적용될 수 있다. 이 경우, 보정은 일종의 실시간 보정으로서, 층의 적층체를 통한 웨이퍼의 처리 동안 적용된다. 이는 다중-스테이지 처리 내의 후속 스테이지에서, 대상물 데이터가 측정된 제품 유닛을 처리하기 위하여 장치를 최적화하는 예이다. 최적화된 장치에 의해 수행되는 후속 공정 단계는 앞으로 처리되는 웨이퍼를 포함하여 다른 웨이퍼의 처리 전체에 걸쳐 단계에 적용될 수 있다. 최적화된 장치는 분석 및 최적화가 수행되는 스테이지보다 공정 흐름의 앞선 스테이지뿐만 아니라 이후 스테이지에서 공정 단계를 수행하는데 사용될 수 있다. 최적화는 식별된 공정 장치 및/또는 공정 레시피를 확정(fix)하는 것을 포함할 수 있다. 정렬 또는 오버레이 보정을 통한 전용 스캐너 보정을 사용하는 것도 포함될 수 있다.
본 발명의 실시예는 처리 스테이지 (예를 들어, 층)의 적층체를 통하여 핑거 프린트들을 서로 관련시키는 그리고 기본 핑거 프린트의 변형 면에서 핑거 프린트들을 식별하는 수단을 제공하며, 이는 그후 공정 컨텍스트에 다시 연결될 수 있다.
도 2는 본 발명의 실시예에 따른, 제품 유닛의 다중-스테이지 처리를 위하여 장치를 최적화하는 방법을 도시한 흐름도이다. 이 예에서, 제품 유닛은 기판, 특히 웨이퍼이다. 이 예에서, 스테이지는 웨이퍼의 층에 대응한다. 이중 패터닝 공정과 같은 일부 공정에서, 각 층은 2개의 개별 리소-에칭 스테이지에서 노광될 수 있다.
다층 공정이 시작되어 가공되지 않은 웨이퍼(도시되지 않음)는 팹 공정(202)을 받는다. 이는, 예를 들어 증착 단계 및 어닐링 단계 그리고 이어서 도 1에 도시되어 코팅, 노광, 베이킹 및 현상 단계를 포함한다. 이는 패터닝된 제1 층을 갖는 웨이퍼(204)를 생산한다.
웨이퍼(204)는, 예를 들어 도 1에 도시된 바와 같이 에칭 및 어닐링 단계, 이어서 제2 층을 패터닝하기 위한 코팅, 노광, 베이크 및 현상 단계를 포함할 수 있는 추가적인 팹 처리(222)를 받는다. 이는 패터닝된 제2 층을 갖는 웨이퍼(224)를 생산한다.
처리는 리소그래피 단계(도시되지 않음)를 포함할 수 있는 더 많은 팹 처리(242)로 후속 층들까지 계속되어, 후속 팹 처리(262)가 패터닝된 후속 층을 갖는 웨이퍼(264)를 생성하는 것까지 이어진다.
팹 공정(202, 222, 242, 262)을 위하여 사용되는 장치는 노광을 수행하는데 사용되는, 또한 스캐너로 지칭되는 하나 이상의 리소그래피 장치를 포함하고 있다. 스캐너는 웨이퍼에 걸쳐 하나 이상의 매개변수를 감지하기 위한 센서(206, 226, 266)를 갖고 있다. 이러한 센서의 한 예가 정렬 센서이다. 웨이퍼에 걸쳐 측정하는 센서의 다른 예가 아래에서 논의된다. 또한, 도시된 층들 각각에 대해, 계측(208, 228, 268)이 (예를 들어, 도 1의 140에서 보여지는 바와 같이) 패터닝된 웨이퍼 상에서 수행된다
감지 및 계측 단계는 각 층(210, 230, 270)에 대한 대상물 데이터를 생성한다.
따라서, 층(1 및 2)을 고려하면, 컴퓨팅 장치, 예를 들어 도 1의 SCS는 웨이퍼(204, 224)에 걸쳐 측정(206, 208)되고 웨이퍼의 상이한 처리 스테이지(202, 222)와 연관된 하나 이상의 매개변수를 나타내는 대상물 데이터(210, 230)를 수신한다.
컴퓨팅 장치는 대상물 데이터(210, 230)를 각 상이한 각각의 층에 대한 성분으로 분해(212, 232)한다. 이에 의해, 컴퓨팅 장치는 웨이퍼(214, 234) 각각에 걸친 대상물 데이터의 변화의 핑거프린트를 결정한다. 핑거프린트는 따라서 웨이퍼의 각각의 층에 대응하는, 웨이퍼의 상이한 각각의 처리 스테이지와 관련된다. 이 예에서 분해(212, 232)는 대상물 데이터(210, 230)의 주성분 분석(PCA)을 사용하여 직교 주성분을 획득한다.
컴퓨팅 장치는 상이한 층을 통해 핑거프린트(214, 234)들의 공통성을 분석(246)하여 공통성 결과를 생성한다. 분석은 상이한 층들을 통해 공통인 핑거프린트들 중 적어도 하나를 식별하는 것을 포함할 수 있다. 핑거프린트의 공통성을 분석하는 것은 사용자 상호 작용을 사용하는 것을 포함할 수 있다.  이것은 층에 의하여 배열된 핑거프린트를 보여주는 대시보드를 제공하고 핑거 프린트 또는 상이한 층을 통해 공통적인 핑거프린트들을 식별하는 사용자 입력을 수신함으로써 수행될 수 있다. 층 적층체를 통한 핑거프린트 진행의 이 시각화는 사용자가 대화식으로 최적화 방법을 최적화하고 이 방법을 활용하는 것을 가능하게 한다. 공통성 분석은 부분적으로 또는 완전히 자동화될 수 있다. 이 자동화는 핑거프린트의 그룹화를 위하여 컴퓨팅 장치를 사용하는 것을 포함할 수 있다.  자동화된 그룹화를 수행하기 위해 핑거프린트 라이브러리(248)가 사용될 수 있다. 핑거프린트 라이브러리(248)는 이력 핑거프린트로 구성될 수 있다. 그룹화는 결정된 핑거프린트와 이력 핑거프린트 간의 차이 (또는 거리)를 분석하는 것에 기초할 수 있다.
공통성 분석이 임의의 공통 핑거프린트를 식별하지 못하면 그 결과는 자체가 유용한 정보이다. 이는 근본 원인 분석, 영향 분석 또는 모델링의 우선 순위를 정하는 다른 방법을 사용함으로써 최적화가 자유롭게 계속되어 보정 동작을 제공한다는 것을 나타낸다.
밑에서 논의되는 바와 같이, 컴퓨팅 장치는 공통성 결과를 사용하여 후속 층의 처리(262)를 위하여 장치를 최적화한다.
이 예의 컴퓨팅 장치는 웨이퍼(204, 224)의 상이한 층의 처리(202, 222, 242)의 하나 이상의 매개변수를 나타내는 컨텍스트 데이터(244)를 수신한다. 그후 후속 계층의 처리를 위한 장치의 최적화는 식별된(246) 핑거프린트 또는 핑거프린트 및 컨텍스트 데이터(244)에 기초한다. 이것은 식별된 공통 핑거프린트 또는 핑거프린트들을 컨텍스트 데이터에 관련시킴으로써 달성된다.
최적화는 식별된(246) 핑거프린트 또는 핑거프린트들을 컨텍스트 데이터(244)에 관련시킴으로써 근본 원인을 결정하는 것을 포함하여 후속 스테이지의 처리 전에 최적화(예를 들어, 확정)되어야 할 특정 장치를 식별(252)할 수 있다. 실제로 이는 컨텍스트 매치(context match)로 핑거프린트를 라벨링(labeling)한다. 이 공정은 자동화될 수 있다.
최적화는 계측 결과, 프로브 측정, 전압 콘트라스트(voltage contrast) 측정, 심지어 제품 수율을 예측할 수 있는 영향 분석(254)을 포함할 수 있다. 이는 식별된 핑거프린트 또는 핑거프린트들(246) 및 수신된 컨텍스트 데이터(244)에 기초하여 웨이퍼에 걸쳐 제품 성능의 변화를 예측함으로써 수행되어 후속 스테이지의 처리(262)를 위하여 장치에 적용하도록 공정 보정(258)을 결정할 수 있다. 특정 예로서, 대상물 데이터가 정렬 데이터를 포함하는 경우, 핑거프린트는 정렬 핑거프린트를 포함하며, 예측된 변화는 후속 층을 포함하는 층들 사이의 오버레이의 변화를 포함한다. 이러한 방식으로 본 발명의 실시예는 선택적 합성 기반 오버레이 예측을 사용하는 예측 계측에 의하여, 개선된 정렬/오버레이 제어 최적화를 제공한다.
최적화는 식별된(246) 공통 핑거프린트 또는 핑거프린트들 및 컨텍스트 데이터(244)에 기초한, 후속 층의 컴퓨팅 장치 모델링 또는 시뮬레이션(262) 처리를 포함하여 후속 스테이지의 처리(262)를 위하여 장치에 적용하도록 공정 보정을 결정할 수 있다.
후속 층의 처리를 위한 장치의 최적화는 보정(258)을 결정하는 것을 포함하고 있다 보정은 보정 동작으로서 팹 공정(262)의 처리 장치에 적용된다. 보정 동작은, 예를 들어 장치 위치 확정(fix), 장치 운전 정지, 레시피 변경 또는 장치 설정에 대한 변경일 수 있다.
도 3은 주성분 분석(PCA) 기반 스루-층 핑거프린트 분석을 위한 대시보드(dashboard)를 도시하고 있다.
3개의 핑거프린트 행이 보여지고 있다. 각 행은 다른 층(LI, L2, L3)으로부터의 핑거프린트를 갖고 있다. PCA는 층 당 핑거프린트의 정규 직교 세트(orthonormal)를 제공한다. 이들은 위에서 언급된 WO2015/049087에서 설명된 바와 같이 유도될 수 있다. 각 핑거프린트는 웨이퍼에 대응하는 원으로 보여지고 있으면서, 화살표는 한 세트의 웨이퍼에 걸친 위치에서의 변화를 나타낸다. 핑거프린트는 이와 같은 측정이 아니다. 이는 많은 웨이퍼로부터 획득된 대상물 데이터에서 유도된다. 핑거프린트는 웨이퍼 세트에서 더 자주 발생하는 변화의 패턴이다. 예를 들어, 이는 웨이퍼 세트에 걸친 평균 변화를 나타낼 수 있다. 변화는 일부 웨이퍼 세트에서 발생할 수 있지만 전부는 아니다. 이 예에서, 주요 구성 요소는 가장 중요한 구성 요소가 남겨진 상태로 배치된다. 핑거프린트를 표현하기 위한 기반의 직교성은 처리 장치 최적화를 위한 보정 전략들 간의 의존성을 최소화하기 때문에 유리하다.
점선과 파선은 핑거프린트의 그룹화를 나타내고 있다. 그룹화는 수동적으로, 반자동적으로 또는 자동적으로 수행될 수 있다. C ("유형 C")가 붙여진 핑거프린트는 층(LI, L2 및 L3)에 공통된 스케일링 핑거프린트이다. A 및 AA가 붙여진 핑거프린트는 서로 비슷하며 전형적으로 어닐링 처리 단계에 연결된 공지된 이중 소용돌이 패턴(dual-swirl pattern)이다. 이중 소용돌이 패턴은 약간 다른 각도로 나타날 수 있으며 스캐너 센서에 의하여 다르게 샘플링될 수 있다. 층 당 최적화를 수행하는 대신, 동일한 근본 원인과 관련이 있을 수 있는 유사한 핑거프린트들의 그룹이 인식될 수 있다. 예를 들어, A 및 AA가 붙여진 핑거프린트를 살펴보면, 핑거프린트는 하나의 어닐링 장치에 다시 연결될 수 있다. 코멘트 핑거프린트(comment fingerprint)가 층(L1) 및 후속 층에서 사라지도록 하기 위하여 어닐링 장치가 조정될 수 있다면 무엇이 일어날지 이해할 수 있는 것이 유용하다. 이것은 그러면 층(LI)에서의 정렬 전략에 영향을 미칠 것이다.
예로서, 리소그래피 프로세스에 적용될 때 다음 단계들이 합성 기반 최적화 흐름에서 사용될 수 있다:
A. 도 3에서 보여지는 바와 같이, 층의 적층체를 통한 정렬 분해. 이 접근법은 정렬 및 오버레이 트리(overlay tree)를 사용하며 측정 데이터 (예를 들어, 웨이퍼 정렬)의 기초를 이루는 비관련성 또는 그렇지 않으면 유익한 구성 요소 (핑거프린트)로의 분해를 사용한다.
B. 층들에 걸쳐 공통된 구성 요소를 컨텍스트에 할당 (즉, 근본 원인 분석). 처리 컨텍스트 (단계/레시피, 툴 사용, 인라인 툴 측정)을 웨이퍼 상의 핑거프린트 발생에 자동으로 연관시키기 위해 데이터 마이닝(data mining) 방법이 사용될 수 있다.
C. 오버레이에 대한 정렬 및 컨텍스트 매핑 (예를 들어, 예측 계측). 이는 도 4를 참조하여 아래에 더 상세하게 설명되어 있다. 이 예측 계측 방법은 정렬 핑거프린트의 선택적 사용 및 추가적인 오버레이 노광 및 계측 효과를 사용하여 오버레이를 합성하는데 사용될 수 있다. 선택적인 사용은 평가될 상이한 정렬 및/또는 오버레이 제어 및 계측 전략에 기인한다. 이는, 예를 들어, 다양한 (공정-) 맥락적 조건 하에서 정렬 및 레벨링 데이터를 오버레이로 매핑하도록 훈련된 생성 모델 (확률론적 생성 모델, 심층 뉴럴 네트워크(neural network) 등)을 통해 이루어질 수 있다.
D. (모델, 마크, 샘플링 및 정렬 트리를 고려하여) 상이한 정렬을 시뮬레이션.
E. 상이한 정렬 모델로부터의 오버레이 영향 예측. 이 단계 및 이전 단계는 아래의 도 5를 참조하여 보다 상세하게 설명된다.
F. 상이한 APC (고급 공정 제어; Advance Process Control) 모델로부터의 오버레이 영향 예측.
도 4는 (오버레이) 영향 시뮬레이션에 대한 생성, 구성 요소 기반의 합성 접근법의 예를 도시하고 있다. 이 생성 접근법은 구성 요소 분해 스루-적층체에 유용하며 예측 계측의 기초를 제공한다.
도 4에 도시된 예측 오버레이 영향 분석은 웨이퍼에서 예상되는 핑거프린트 발생에 기초하여 오버레이 맵(444)을 예측한다. 웨이퍼(426, 428) 및 필드(430) 효과에 대한 상이한 핑거프린트들을 포함함으로써, 웨이퍼에 대한 처리 및 노광 조건을 설명하는 맥락적 측정(418, 420)을 고려해 볼 때 최종 오버레이 웨이퍼 맵(444)은 웨이퍼 상에서 발생할 것으로 예상되는 핑거프린트로부터 합성된다. 핑거프린트는 예를 들어 PCA 분해에 의하여 데이터 (예를 들어, 측정 노이즈가 핑거 프린트 학습을 방해하는 것을 방지하기 위해 노이즈 모델(noise model)이 포함된 경우)로부터 학습될 수 있거나 이전 정보(prior knowledge)로부터 포함될 수 있으며, 예를 들어 투과 이미지 센서 필드 배율 드리프트는 전형적으로 레티클 가열 관련 필드 내 핑거프린트 패턴으로 이어진다.
트레이닝 데이터는 다양한 처리 툴(402, 404, 406)로부터의 팹 라우팅(fab routing) 및 정렬 데이터(418)를 포함한다. 다른 트레이닝 데이터(420)는 서보 성능, 웨이퍼 테이블 온도, (파면 드리프트를 측정함으로써 렌즈 가열 효과를 예측하는데 사용되는) 용용 특정 교정(Application Specific CALibration: ASCAL) 데이터, 초점 스폿 모니터 데이터, 웨이퍼 레벨링 데이터 및 매칭과 스캐너 제어 데이터를 포함한다. 이 다른 트레이닝 데이터(420)는 서보(408), 레티클(410), 스캐너 렌즈(412), 웨이퍼 스테이지(414) 및 웨이퍼 테이블(416)로부터의 트레이닝 데이터이다.
점선 화살표는 컨텍스트, 핑거프린트 그리고 오버레이 간의 확률적 관계를 나타내고 있다.
팹 컨텍스트(418)를 필드 간 핑거프린트(426, 428)에 매핑하기 위해, 동시 발생 매핑(422)이 WO2015/049087에서 설명된 바와 같이 사용될 수 있다. 스캐너 컨텍스트(420)를 필드 내 핑거프린트(430)에 매핑하기 위해, 부분 최소 제곱(PLS) 회귀 및 주성분 회귀(PCR)와 같은 회귀(regression; 424)가 사용될 수 있다.
필드 간 핑거프린트(428)는 도 3에 보여지고 있는 층(1), "유형 C" 핑거프린트에 대응한다. 필드 간 핑거프린트(426)는 "유형 A" 핑거프린트의 다른 변형이다 (즉, "유형 AAA").
중간 핑거프린트 층(426 내지 430)으로부터의 오버레이 영향을 예측하기 위한 매핑(432, 434)은 데이터로부터 경험적으로 학습될 수 있다. 노광 및 처리 조건이 변경되지 않는 경우, 이 매핑이 사용되어 데이터 내에서 어떤 스캐너 계측 핑거프린트의 발생과 관련된 오버레이 영향을 평가할 수 있다. 리소그래피 공정 시뮬레이션 형식에 대하여, 이러한 경험적 매핑이 사용되어 시뮬레이션된 보정 동작에 의하여 어떤 핑거프린트를 제거/해결하는 오버레이 영향을 평가할 수 있다.
가장 간단한 형태에서, 매핑(432, 434)은 WO2015/049087에 개시된 바와 같이 필드 간 및 필드 내 핑거프린트로부터 웨이퍼에서의 오버레이 값을 계산하기 위한 결정형 매핑(deterministic mappings) (예를 들어, 선형 또는 비선형 함수)일 수 있다. 여기서, 본 발명자는 컨텍스트 분석 ("'근본 원인 분석" 또는 "진단")보다는 합성 ("예후")을 위해 이를 활용한다.
예측된 필드 간 오버레이(436)를 핑거프린트(426, 428)들로부터 얻기 위하여 필드 간 PCA가 사용될 수 있다. 예측된 필드 내 오버레이(438)를 핑거프린트(430)로부터 얻기 위하여 필드 내 PCA 드리프트 모델이 사용될 수 있다. 적합한 경험적 매핑 방법은 국제 특허 출원 공개 WO2015/024783에 설명되어 있으며, 이의 전체 내용은 본 명세서에서 참고로 원용된다.
예측된 핑거프린트(436 및 438)들은 노이즈 모델(440)과 조합되어(442) 최종 오버레이 웨이퍼 맵(444)을 생성한다.
따라서, 측정된 오버레이는 시스템을 교시하기 위해 사용된다. 시스템이 트레이닝되면, 이는 남아있는 핑거프린트 (또는 이에 대한 나머지) 스루-적층체의 서브세트에 기초하여 오버레이를 예측하기 위해 사용된다. 도 5 및 추가 단계에 도시된 바와 같이, 더 많은 보정 동작이 적용되기 때문에 예측된 오버레이는 점차적으로 작아진다.
도 5는 시뮬레이션된 보정 동작이 적용된 후의 주성분 분석(PCA) 기반의 스루-층 핑거프린트 분석을 위한 대시보드를 도시하고 있다.
직교 핑거프린트를 사용하는 것의 장점 중 하나는 근본 원인이 식별되고 확정된 것처럼 핑거프린트가 없는 것을 시뮬레이션함으로써 변화의 소스들 중 하나를 확정하는 것 또한 핑거프린트 스루-적층체를 제거하여 잠재적으로 제어 시뮬레이션을 단순화하는 것이다.
도 5는 도 3에 표시된 대시보드를 도시하고 있으며, 공통 참조 기호 A, B, C, AA, LI, L2 및 L3은 동일한 피처를 나타내고 있다. 그러나 도 5는 또한 시뮬레이션된 공정 튜닝(502) 및 상이한 정렬 전략 평가(504)에 의한 시뮬레이션된 핑거프린트 제거를 보여주고 있다. 공정 튜닝은 대부분의 "유형 A" 및 "유형 AA" 핑거프린트를 제거한다. 정렬 전략 평가는 모든 "유형 C" 핑거프린트를 제거한다. 이 핑거프린트를 제거하는 보정 조치의 결과적인 오버레이 영향 평가가 506에 보여지고 있다.
정렬 전략 평가의 예로서, "유형 C" 핑거프린트가 변형된 마커로부터 온 것이라면, 상이한 정렬 색상(파장)을 이용한 정렬 전략이 이 문제를 해결하며 따라서 "유형 C" 핑거프린트를 제거할 수 있다. 대안은 에지 마커 변형에 덜 민감한 상이한 마커 레이아웃을 사용하는 것일 수 있다.
추가 단계들 (도시되지 않음)에서, 더 많은 핑거프린트가 대시보드에서 제거되어 예상되는 오버레이 영향을 더 개선할 수 있다. 예를 들어, 고차 정렬 모델을 시뮬레이션한 후에, 더 많은 핑거프린트 변화가 캡처될 수 있으며, 따라서 오버레이에서 더 적은 핑거프린트가 존재한다. 보다 높은 차원의 정렬 모델은 평가될 또 다른 정렬 전략으로 간주될 수 있어, 정렬과 트레이닝된 오버레이 사이의 경험적인 매핑을 고려해볼 때 예상되는 오버레이 영향을 더 개선한다.
따라서, 본 발명의 실시예는 층의 적층체를 통한 합성 기반 핑거프린트 대시보드를 통해 웨이퍼 보정 당 정렬 및 오버레이의 필수적인 최적화를 제공할 수 있다. 이 예의 합성 기반 최적화 방법은 도 3 내지 도 5를 참조하여 설명된 바와 같이 스루-적층체 핑거프린트 전개(development) 대시보드를 중심으로 이루어진다.
본 발명의 실시예는 사용자 상호 작용으로 정렬 및 오버레이 전략의 필수적인 최적화를 가능하게 한다. 오버레이 예측은 지식 기반 및 핑거프린트 기반 합성을 통해 구현될 수 있기 때문에, 컨텍스트로부터 오버레이 맵으로 (예를 들어, 뉴럴 네트워크망에 의하여) 획일적 매핑(monolithic mapping)을 트레이닝시키는 것이 회피될 수 있다. 트레이닝 세트에 존재하지 않는 맥락적 조건에 잘 일반화되는 예측 계측 방법이 제공된다. 변수들 간의 매핑은 국부적으로 연결된 변수의 서브세트(subset)로 나누어진다; 이 "서브-매핑"은 블랙-박스 모델 (예를 들어, 뉴럴 네트워크), 화이트-박스 모델 (예를 들어, 지식 기반) 또는 그레이-박스 모델 (예를 들어, 물리적 모델링의 하이브리드를 이용한 베이지안(Bayesian) 네트워크, 뉴럴 네트워크 및 확률적 조합)을 이용하여 구현될 수 있다. 이는 변화하는 데이터 특성에 적응할 수 있거나 트레이닝 데이터 특성으로부터의 현저한 편차를 적어도 감지할 수 있는 예측 계측을 허용한다.
도 3에 도시된 바와 같이, 층 당 (제품 유닛의 개별 처리 스테이지 동안 적용된 각 층) 대상물 데이터 핑거프린트의 분해가 수행된다. 구성 요소들은 그들의 관련성에 기초하여 분류될 수 있으며, 따라서 (예를 들어, 제품 유닛에 걸쳐 대상물 데이터의 변형의 대부분을 설명하는) 가장 관련 있는 구성 요소는 왼쪽에 있으며 가장 관련이 낮은 구성 요소는 오른쪽에 있다. 구성 요소들은 그후 제품 유닛에 걸쳐 대상물 데이터의 핑거프린트 특성을 예측하는 데 있어 그들의 유용성에 효과적으로 순위가 매겨진다.
(관련성에 대한) 구성 요소의 순위 외에, 다른 속성이 또한 각 구성 요소 각각에 할당될 수 있으며, 예를 들어 속성 데이터는 결정된 구성 요소의 신뢰도 (정확성 및/또는 재현성) 또는 공정의 수율에 대한 구성 요소의 예상 중요도의 지표를 포함할 수 있다.
종종 제1 처리 스테이지와 관련된 구성 요소 및 구성 요소의 순위는 제1 처리 스테이지 다음의 제2 처리 스테이지와 관련된 것과 일치한다. 이 관찰은 제1 처리 스테이지와 관련된 핑거프린트의 정보(acknowledge)에 기초하여 제2 처리 스테이지를 수행하는데 이용되는 장치에 대한 보정(258)을 유도하는데 사용될 수 있다. 예에서, 제1 층 다음의 제2 층을 패터닝하도록 구성된 장치는 제1 층과 관련된 대상물 데이터를 사용하여 제2 층의 패터닝을 제어할 수 있다. 예를 들어, 제2 처리 스테이지 동안 사용된 장치의 최적화는 제1 처리 스테이지와 관련된 핑거프린트를 입력으로 받아들인다.
구성 요소가 층에 걸쳐 유사할 수 있지만 구성 요소의 순위(ranking)는 층들 사이에서 달라진다는 것이 사실일 것이다. 예를 들어, 제2 처리 스테이지와 관련된 제1 구성 요소가 먼저 순위가 매겨지는 반면에, 이 구성 요소는 제1 처리 스테이지와 관련될 때 두 번째로 순위가 매겨진다. 이런 이유로, 처리 스테이지들 (예를 들어, 층들) 간에 구성 요소의 공통성이 틀림없이 있지만, 이들은 그들의 순위와 같은 상이한 속성을 가질 수 있다. 전형적으로, 구성 요소는 하나 이상의 성능 매개 변수(오버레이, 초점 CD 등)를 포함하는 대상물 데이터의 핑거프린트와 관련이 있다.
제1 처리 스테이지와 관련된 구성 요소에 대한 지식에 기초하여 제2 처리 스테이지를 제어하는 것은 여전히 가능할 수 있지만, 제2 처리 스테이지에 정확한 보정을 제공하기 위해 순위의 차이가 고려될 필요가 있을 수 있다. 실시예에서, 제2 처리 스테이지의 제어는 제1 처리 스테이지와 연관된 가중된 구성 요소 세트에 기초한다. 제2 층과 연관된 제1 구성 요소가 제1 층에 대한 것보다 낮게 순위가 매겨지는 경우, 이는 다른 더 높은 순위의 구성 요소보다 대상물 데이터의 변동을 덜 나타내므로 제2 처리 스테이지의 제어는 제1 구성 요소에 더 적은 가중치를 할당할 필요가 있다.
일반적으로, 제1 처리 스테이지와 관련된 정보를 제2 처리 스테이지로 피드 포워드하는 개념은 그후 구성 요소의 공통성 및 구성 요소와 연관된 속성 모두에 기초한다. 전술한 바와 같이, 속성은 관련성 (순위)의 측정치, 신뢰성 (정확성, 재현성)의 측정치 또는 전체로서 공정 수율에 대한 예상되는 영향 (최종 제품에 대한 영향)의 측정치를 지칭할 수 있다.
제2 처리 스테이지를 제어하는 것은 그러면 제1 처리 스테이지와 관련된 구성 요소의 가중 합을 기초로 할 수 있다. 대안적으로, 제2 처리 스테이지의 제어는 제1 처리 스테이지와 관련된 처리 보정의 가중 합에 기초할 수 있다.
가중은 제1 처리 스테이지와 관련된 각 구성 요소(Ci)를 통상적으로 제1 처리 스테이지와 관련된, 관심 대상 속성의 값에 기초한 가중 인자(Wi)와 곱함으로써 수행된다. 관심 대상 속성이 구성 요소와 관련이 있을 때, 가중 인자는 순위 번호(ranking number)에 기초하여 선택될 수 있다. 예를 들어, 구성 요소가 제1 처리 스테이지에 대해 두 번째로 순위가 매겨지고 제2 처리 스테이지에 대해 4번째로 순위가 매겨지면, 그 구성 요소와 연관된 가중 인자는 순위들 간의 비율 (2:4=0.5)로서 선택될 수 있다. 이후, 제2 처리 스테이지를 수행하는데 이용되는 장치 또는 공정의 후속 최적화는 가중된 구성 요소의 합에 기초할 수 있으며, 여기서 구성 요소는 단지 0.5의 가중 인자를 갖는다.
주어진 기판 (웨이퍼)에 대한 처리의 제1 처리 스테이지와 제2 처리 스테이지 사이의 모든 (또는 서브세트의) 공통적인 구성 요소를 결정하는 것 다음에는 제1 및 처리 스테이지와 제2 처리 스테이지 모두에 대한 공통적인 구성 요소의 속성에 기초하여 연관된 가중 인자를 각 구성 요소에 대해 결정하는 것이 뒤따를 수 있다. 구성 요소의 가중된 합은 제어될 기판에 걸쳐 대상물 데이터의 핑거프린트를 나타내는 것으로 간주된다. 획득된 구성 요소의 가중된 합에 기초하여 장치의 최적화 및/또는 공정 보정과 같은 제어 동작이 결정될 수 있다.
예를 들어, 어떤 구성 요소가 특정 최소값 (임계 값) 미만의 관련된 신뢰도의 측정치를 갖는 경우, 하나 이상의 가중 인자가 0으로 설정될 수 있다. 아날로그 방식에서, 3보다 낮은 순위의 구성 요소는 또한 0으로 설정될 수 있다. 그 후, 신뢰도가 떨어지는 (일정한) 및/또는 관련 있는 구성 요소는 효과적으로 필터링되어 제2 처리 스테이지 제어의 견고성을 향상시킨다.
처리 장치 및 제어 동작의 최적화에 더하여, 구성 요소와 관련된 속성의 모니터링이 또한 구현될 수 있다. 이 속성의 변화는 하나 이상의 공정 단계 및/또는 처리 스테이지 내의 변화를 나타낼 수 있다. 이러한 변경은 (예를 들어, 처리 장비의 구성의 급격한 변경으로 인한) 편위(excursion), 드리프트 또는 급격한 변경일 수 있다.
제2층의 공정 제어로의 제1 층의 정보의 피드-포워드(feed-forward)를 기초로 하는 최적화/제어 전략에 더하여, 보다 일반적인 피드 포워드 전략이 채택될 수 있다. 일반적으로, 층의 전체 적층체에 걸친 핑거프린트들 (또는 핑거프린트와 관련된 구성 요소들) 사이의 공통성은 제어 목적을 위하여 결정될 수 있다. 이 개념은 전체 층 적층체 내에 포함된 층들 각각과 관련된 속성 데이터의 분석을 포함함으로써 쉽게 확장될 수 있다. 임의의 처리 스테이지의 제어는 그후 적층체에 걸친 구성 요소들의 공통성을 기초로 할 수 있으면서 각 개별 처리 스테이지에 대한 이 공통된 구성 요소와 관련된 속성을 고려할 수 있다.
일반적으로 처리 스테이지에 대한 공정 제어는 그후 a) 기판에 적용된 층의 임의의 서브 세트에 걸친 구성 요소들 간의 공통성, 및 b) 기판에 적용된 층의 서브 세트에 걸친 공통적인 구성 요소와 관련된 속성 데이터에 기초한다.
예에서, 제5 처리 스테이지는 4개의 처리 스테이지 모두에 공통인, 제5 처리 스테이지와 관련된 2개의 구성 요소에 기초하여 제어된다. 구성 요소의 속성은 5개의 처리 스테이지 모두에 대해 사용 가능하며, 속성 데이터는 구성 요소의 순위(관련성)와 관련된다. 이 예에서, 제5 처리 스테이지 동안의 기판 처리의 제어는 제1 및 제2의 공통적인 구성 요소에 기초하며, 각 구성 요소는 4개의 모든 선행 층 모두에 대한 속성 데이터에 기초하여 가중된다.
실시예에서, 구성 요소는 다수의 가중 인자(Wij)에 의하여 가중되며, 각 가중 인자(Wij)는 구성 요소(Ci)와 처리 스테이지(j)와 관련이 있다. 그러면 가중된 구성 요소(C가중)는 "C가중"=합(Wij * Ci)으로 표현될 수 있다.
최적화된 정렬 및/또는 오버레이 (웨이퍼) 전략을 제공하는 것에 더하여, (이러한 최적화가 실행되지 않은 전략과 비교하여) 이러한 최적화의 효과를 결정하는 것이 종종 필요하다. 보정이 실행되기 전에 처리된 제품 유닛에 관한 측정에 의하여 획득된 제1 성능 데이터 세트(예를 들어, 정렬 데이터, 오버레이 데이터 또는 반도체 제조 공정의 성능을 나타내는 임의의 다른 데이터 유형) 및 최적화의 실행 후에 처리된 제품 유닛에 관한 측정에 의하여 획득된 제2 성능 데이터 세트가 흔히 이용될 수 있다. 전형적으로, 핵심 성과 지표(Key Performance Indicator: KPI)는 제1 성능 데이터 세트와 제2 성능 데이터 세트에 대해 평가된다. 그러면 KPI 값을 비교하는 것은 종종 제조 공정에 대한 최적화(보정)의 효과를 평가하기 위해 바람직한 전략이다. 그러나 성능 데이터 세트들은 이질적(heterogeneous)일 수 있으며, 예를 들어 제1 및 제2 성능 매개변수 데이터 세트는 상이한 데이터 소스로부터 얻어졌을 수 있고, 통계적으로 상이한 유형의 분포 (분포의 대칭, 분포를 구성하는 샘플 포인트)를 가질 수 있다. 이러한 이유로 제1 성능 데이터 세트에 대한 KPI는 제2 성능 매개변수 데이터 세트의 품질을 평가하기에 적절하지 않을 수 있다. 이런 이유로, KPI 값의 직접 비교는 최적화의 효과를 평가할 때 유효한 접근법이 아닐 수 있다.
다른 실시예에서, 기본 확률 밀도 함수(PDF)에 기초하여 제1 및 제2 성능 데이터 세트를 비교하는 것이 제안된다. 이러한 방법은 최적화의 효과를 결정하는 데 더욱 적합하다. 예를 들어, 커널 밀도 추정 방법이 제1 성능 매개변수 데이터 세트와 관련된 제1 PDF 및 제2 성능 매개변수 데이터 세트와 관련된 제2 PDF를 유도하기 위해 이용된다.
제1 성능 매개변수 데이터 세트는 반도체 제조 공정 (예를 들어, 공정 및/또는 처리 툴을 최적화하기 전에)에 적용되는 제1 클래스의 정렬 또는 오버레이 보정과 관련된 제1 제어 전략과 관련되며, 제2 성능 매개변수 데이터 세트는 반도체 제조 공정에 적용되는 제2 클래스의 정렬 또는 오버레이 보정과 관련된 제2 제어 전략과 관련된다. 제1 클래스의 보정에서 제2 클래스의 보정으로의 변화는 제어 전략의 변화로 지칭된다.
제1 PDF와 제2 PDF가 결정되면 2차원 밀도 플롯이 구성된다. 도 6은 2차원 밀도 플롯을 도시하고 있으며, x-축에서 성능 매개변수의 값은 제1 데이터 세트와 관련되고, y-축에서 성능 매개변수의 값은 제2 데이터 세트와 관련된다. 밀도 플롯은 성능 매개변수 값에 대응하는 (x, y) 값에 대한 제1 PDF와 제2PDF의 곱의 평가에 의하여 생성된다. 2차원 밀도 플롯은 제1 제어 전략과 관련된 성능 매개변수 값과 제2 제어 전략과 관련된 성능 매개변수 값의 특정 조합에 대해 발생 확률을 나타낸다.
제1 제어 전략 및 제2 제어 전략의 효과가 동일하다면, 2차원 밀도 플롯은 Y=X 라인에서 대칭적일 것이다. 제2 제어 전략이 더 효과적일 경우, 제2 PDF는 Y 축에서 더 낮은 값에 대해 더 높은 확률 값을 반환하며, 따라서 2차원 밀도 플롯은 비대칭이 될 것이고 이 경우 밀도 플롯의 무게 중심은 Y=X 선 아래로 이동할 것이다. 후자의 특성은 제어 전략의 효과를 평가하거나 대안적으로 복수의 성능 데이터 세트의 순위를 정하는데 사용될 수 있다. Y=X 라인 위의 2차원 밀도 플롯의 제1 영역의 통합 값(integrated value)은 Y=X 라인 아래의 2차원 밀도 플롯의 제2 영역의 통합 값과 비교될 수 있다. 통합 값들 간의 비율로부터 2개의 성능 데이터 세트 중 어느 것이 가장 효과적인 제어 전략과 연관되는 것인지 추론될 수 있다. 이어서, 추가 처리를 위하여 가장 효과적인 제어 전략이 선택될 수 있다.
실시예에서, 제품 유닛을 처리하기 위한 장치는 본 명세서에 개시된 임의의 앞선 실시예에 따라 최적화된다. 장치의 최적화 효과의 추가 평가는 성능 데이터 세트들을 순위화하는 단계를 포함하는 방법을 실행함으로써 달성되며, 성능 데이터 세트들을 순위화하는 단계는: (a) 공통성 결과에 기초하여 제품 유닛을 처리하기 위하여 장치를 최적화하기 전에, 처리된 제품 유닛에 대한 측정에 의해 얻어진 제1 성능 데이터 세트와 관련된 제1 확률 밀도 함수를 결정하는 것; (b) 공통성 결과에 기초하여 제품 유닛을 처리하기 위하여 장치를 최적화한 후에, 처리된 제품 유닛에 대한 측정에 의해 획득된 제2 성능 데이터 세트와 관련된 제2 확률 밀도 함수를 결정하는 것; 및 (c) 제1 확률 밀도 함수와 제2 확률 밀도 함수에 기초하여 성능 데이터 세트들을 순위화하는 것을 포함하고 있다. 순위에 기초하여 장치의 최적화의 효과가 결정될 수 있다.
실시예에서, 제1 및 제2 확률 밀도 함수는 커널 밀도 추정 방법을 사용하여 결정된다.
실시예에서, 단계 (c)는 좌표의 분포를 생성하는 단계를 포함하고 있으며, 여기서 제1 좌표의 제1 분포는 제1 확률 밀도 함수를 샘플링하는 것에서 유도되며, 제2 좌표의 제2 분포는 제2 확률 밀도 함수를 샘플링하는 것으로부터 유도된다.
실시예에서, 단계 (c)는 제1 좌표의 제1 분포와 제2 좌표의 제2 분포를 2차원 밀도 플롯으로 매핑하는 것을 더 포함하고 있으며, 여기서 2차원 밀도 플롯은 제1 성능 데이터 세트의 성능 데이터의 값과 관련된 제1 축 및 제2 성능 데이터 세트의 성능 데이터의 값과 관련된 제2 축을 갖고 있다.
실시예에서, 단계 (c)는 2차원 밀도 플롯의 제1 영역에 걸쳐 밀도 플롯의 값을 통합하는 단계 및 2차원 밀도 플롯의 제2 영역의 값을 통합하는 단계를 더 포함하며, 여기서 제1 영역은 제1 축의 좌표 값보다 크거나 같은 제2 축의 좌표 값과 관련되고, 제2 영역은 제1 축의 좌표 값보다 작거나 같은 제2 축의 좌표 값과 관련된다.
실시예에서, 제1 및 제2 영역의 통합된 값들은 성능 데이터의 순위를 정하는데 사용된다.
실시예에서, 순위는 후속 처리를 위하여 반도체 제조 공정에 적용되는 제1 또는 제2 제어 전략을 선택하는데 사용된다.
본 발명의 실시예는 위에서 설명된 바와 같이, 제품 유닛의 다중-스테이지 처리를 위하여 장치를 최적화하는 방법을 설명하는 하나 이상의 기계-판독 가능한 명령 시퀀스를 포함하는 컴퓨터 프로그램을 사용하여 구현될 수 있다. 이 컴퓨터 프로그램은 도 1의 제어 유닛(LACU) 또는 일부 다른 컨트롤러와 같은 컴퓨팅 장치 내에서 실행될 수 있다. 이러한 컴퓨터 프로그램이 저장된 데이터 저장 매체 (예를 들어, 반도체 메모리, 자기 또는 광 디스크)가 또한 제공될 수 있다.
이 제어 유닛(LACU)은 도 7에서 보여지는 바와 같이 컴퓨터 조립체를 포함할 수 있다. 컴퓨터 조립체는 본 발명에 따른 조립체의 실시예에서 제어 유닛 형태의 전용 컴퓨터일 수 있거나, 또는 대안적으로 리소그래피 장치를 제어하는 중앙 컴퓨터일 수 있다. 컴퓨터 조립체는 컴퓨터 실행 가능 코드를 포함하는 컴퓨터 프로그램 제품을 로딩하기 위하여 배치될 수 있다. 이는 컴퓨터 프로그램 제품이 다운로드될 때 컴퓨터 조립체가 레벨 센서와 정렬 센서(AS, LS)의 실시예를 갖는 리소그래피 장치의 위에서 언급된 사용을 제어하는 것을 가능하게 할 수 있다.
프로세서(827)에 연결된 메모리(829)는 하드 디스크(861), 판독 전용 메모리(ROM)(862), 전기적으로 소거 가능 프로그램 가능한 판독 전용 메모리(EEPROM)(863) 및 랜덤 액세스 메모리(RAM)(864)와 같은 다수의 메모리 구성 요소를 포함할 수 있다. 앞서 언급된 메모리 구성 요소 모두가 있어야 할 필요는 없다. 더욱이, 앞서 언급된 메모리 구성 요소는 프로세서(827)에 또는 서로에 대해 반드시 물리적으로 인접해야 하는 것이 아니다. 이들은 떨어져 위치될 수 있다.
프로세서(827)는 또한 몇 가지 종류의 사용자 인터페이스, 예를 들어 키보드(865) 또는 마우스(866)에 연결될 수 있다. 당업자에게 알려진 터치 스크린, 트랙 볼, 음성 변환기(speech converter) 또는 다른 인터페이스 역시 사용될 수 있다.
프로세서(827)는 판독 유닛(1267)에 연결될 수 있으며, 판독 유닛은 예를 들어 컴퓨터 실행 가능한 코드의 형태인 데이터를 솔리드-스테이트 드라이브(868) 또는 CDROM(869)과 같은 데이터 캐리어로부터 판독하고, 어떤 상황에서는 여기에 데이터를 저장하도록 배치되어 있다. 또한 당업자에게 공지된 DVD 또는 다른 데이터 캐리어가 사용될 수 있다.
프로세서(827)는 또한 프린터(870)에 연결되어 종이에 출력 데이터를 프린트할 수 있을 뿐 아니라 당업자에게 공지된 임의의 다른 유형의 디스플레이의 디스플레이(871), 예를 들어 모니터 또는 LCD (액정 디스플레이)에 연결될 수 있다.
프로세서(827)는 입력/출력(I/O)을 담당하는 송신기/수신기(873)를 이용하여 통신 네트워크(872), 예를 들면 공중전화 교환 네트워크(PSTN), 근거리 네트워크(LAN), 광역 네트워크(WAN) 등에 연결될 수 있다. 프로세서(827)는 통신 네트워크(872)를 통해 다른 통신 시스템과 통신하도록 배치될 수 있다. 본 발명의 실시예 에서, 외부 컴퓨터 (도시되지 않음), 예를 들면 운영자의 개인용 컴퓨터는 통신 네트워크(872)를 통해 프로세서(827)에 로그인할 수 있다
프로세서(827)는 독립적 시스템으로서 또는 병렬로 작동하는 다수의 처리 유닛으로서 구현될 수 있으며, 여기서 각 처리 유닛은 더 큰 프로그램의 하위-태스크(sub-task)를 실행하도록 배치되어 있다. 처리 유닛은 또한 여러 하위 처리 유닛을 갖는 하나 이상의 메인 처리 유닛으로 분할될 수 있다. 프로세서(827)의 일부 처리 유닛은 다른 처리 유닛으로부터 거리를 두고 위치될 수도 있으며 통신 네트워크(872)를 통해 통신할 수 있다. 모듈들 간의 연결은 유선 또는 무선으로 이루어질 수 있다.
컴퓨터 시스템은 본 명세서에서 논의된 기능을 수행하도록 배치된 아날로그 및/또는 디지털 및/또는 소프트웨어 기술을 가진 임의의 신호 처리 시스템일 수 있다.
본 발명의 다른 실시예는 아래의 번호가 부여된 실시예의 목록에 개시되어 있다:
1. 제품 유닛의 다중-스테이지 처리를 위하여 장치를 최적화하는 방법은,
(a) 상이한 처리 스테이지들에서 복수의 제품 유닛에 관하여 측정된 하나 이상의 매개 변수를 나타내는 대상물 데이터를 수신하는 것;
(b) 복수의 제품 유닛 중에서 각 제품 유닛에 걸쳐 대상물 데이터의 변화의, 상이한 각각의 처리 스테이지와 관련된 핑거프린트를 결정하는 것;
(c) 공통성 결과를 생성하기 위해 상이한 스테이지를 통하여 핑거프린트의 공통성을 분석하는 것; 및
(d) 공통성 결과에 기초하여 제품 유닛을 처리하기 위해 사용된 장치를 최적화하는 것을 포함한다.
2. 실시예 1의 방법에서, 핑거프린트를 결정하는 단계 (b)는 대상물 데이터를 각 상이한 각각의 스테이지에 대한 구성 요소로 분해하는 것을 포함한다.
3. 실시예 2의 방법에서, 분리하는 것은 직교 주성분을 얻기 위해 대상물 데이터의 주성분 분석을 이용하는 것을 포함한다.
4. 실시예 1 내지 3 중 어느 한 실시예의 방법에서, 공통성을 분석하는 단계 (c)는 상이한 스테이지들을 통하여 공통된, 핑거프린트들 중 적어도 하나를 식별하는 것을 포함한다.
5. 실시예 4의 방법에서, 핑거프린트의 공통성을 분석하는 단계 (c)는 스테이지에 의하여 배열된 결정된 핑거프린트를 보여주는 대시보드를 제공하는 것 및 상이한 스테이지를 통해 공통된, 핑거프린트들 중 적어도 하나를 식별하는 사용자 입력을 수신하는 것을 포함한다.
6. 실시예 1 내지 5 중 어느 한 실시예의 방법에서, 제품 유닛을 처리하기 위하여 장치를 최적화하는 단계 (d)는 대상물 데이터가 제품 유닛의 다중-스테이지 처리의 후속 스테이지에서 측정되었던 제품 유닛을 처리하기 위하여 장치를 최적화하는 것을 포함한다.
7. 실시예 2 또는 3의 방법에서, 구성 요소는 속성 데이터를 수신한다.
8. 실시예 7의 방법에서, 구성 요소의 준수를 고려해 볼 때 속성 데이터는 제품 유닛에 걸친 대상물 데이터의 변화를 설명하는 구성 요소의 관련성, 구성 요소의 신뢰성 및/또는 공정의 예상 수율 중 하나 이상을 나타낸다.
9. 실시예 7 또는 8의 방법에서, 장치를 최적화하는 단계 (d)는 공통성 결과와 속성 데이터에 기초한다.
10. 실시예 9의 방법에서, 장치를 최적화하는 단계 (d)는 적어도 2개의 처리 스테이지에 대한 구성 요소와 관련된 속성 데이터의 값에 기초하는 가중 인자로 구성 요소를 가중시키는 것에 기초한다.
11. 실시예 10의 방법에서, 가중 인자는 제1 처리 스테이지에 대한 속성 데이터의 제1 값과 제2 처리 스테이지에 대한 속성 데이터의 제2 값 간의 비율에 기초한다.
12. 실시예 10 또는 11의 방법에서,
속성 데이터가 어떤 구성 요소가 낮은 관련성, 낮은 신뢰성; 중 하나 이상을 갖는다는 것으로 나타내는 경우 가중 인자는 0으로 설정된다.
13. 실시예 1 내지 12 중 어느 한 실시예의 방법은 제품 유닛의 상이한 처리 스테이지의 처리의 하나 이상의 매개변수를 나타내는 컨텍스트 데이터를 수신하는 단계 (e)를 더 포함하며, 후속 스테이지의 처리를 위하여 장치를 최적화하는 단계 (d)는 컨텍스트 데이터 및 식별된 적어도 하나의 핑거프린트에 기초한다.
14. 실시예 13의 방법에서, 후속 스테이지의 처리를 위하여 장치를 최적화하는 단계 (d)는 식별된 적어도 하나의 핑거프린트를 수신된 컨텍스트 데이터와 관련시키는 것을 포함하여 후속 스테이지의 처리를 위하여 특정 장치를 식별한다.
15. 실시예 13의 방법에서, 후속 스테이지의 처리를 위하여 장치를 최적화하는 단계 (d)는 식별된 적어도 하나의 핑거프린트와 수신된 컨텍스트 데이터를 기초로 제품 유닛에 걸쳐 제품 성능의 변화를 예측하는 것을 포함하여 후속 스테이지의 처리를 위하여 장치에 적용하도록 공정 보정을 결정한다.
16. 실시예 15의 방법에서, 대상물 데이터는 정렬 데이터를 포함하며, 핑거프린트는 정렬 핑거프린트를 포함하고, 스테이지는 층을 포함하며, 예측된 변화는 후속 층을 포함하는 층들 간의 오버레이의 예측된 변화를 포함한다.
17. 실시예 13의 방법에서, 후속 스테이지의 처리를 위하여 장치를 최적화하는 단계 (d)는 식별된 적어도 하나의 핑거프린트와 수신된 컨텍스트 데이터에 기초하여 후속 스테이지의 처리를 시뮬레이션하는 것을 포함하여 후속 스테이지의 처리를 위하여 장치에 적용하도록 공정 보정을 결정한다.
18. 실시예 1 내지 17의 방법에서, 제품 유닛은 기판이다.
19. 실시예 1의 방법은 성능 데이터 세트들을 순위화하는 단계를 더 포함하며, 성능 데이터 세트들을 순위화하는 단계는,
(a) 공통성 결과에 기초하여 제품 유닛을 처리하기 위하여 장치를 최적화하기 전에, 처리된 제품 유닛에 대한 측정에 의해 얻어진 제1 성능 데이터 세트와 관련된 제1 확률 밀도 함수를 결정하는 것;
(b) 공통성 결과에 기초하여 제품 유닛을 처리하기 위하여 장치를 최적화한 후에, 처리된 제품 유닛에 대한 측정에 의해 획득된 제2 성능 데이터 세트와 관련된 제2 확률 밀도 함수를 결정하는 것; 및
(c) 제1 확률 밀도 함수와 제2 확률 밀도 함수에 기초하여 성능 데이터 세트들을 순위화하는 것을 포함한다.
20. 실시예 19의 방법에서, 제1 및 제2 확률 밀도 함수는 커널 밀도 추정 방법을 이용하여 결정된다.
21. 컴퓨터 프로그램은, 적합한 컴퓨터 장치에서 구동할 때 컴퓨터 장치가 실시예 1 내지 20 중 임의의 실시예의 방법을 수행하게 하는 컴퓨터 판독 가능한 명령어를 포함한다.
22. 컴퓨터 프로그램 제품은 실시예 21의 컴퓨터 프로그램을 포함하고 있다.
특정 실시예의 앞선 설명은 본 분야의 기술 내의 지식을 적용함으로써 과도한 실험 없이, 본 발명의 전체적인 개념에서 벗어남이 없이 다른 사람들이 다양한 적용을 위하여 이러한 특정 실시예를 용이하게 변경 및/또는 조정할 수 있도록 본 발명의 전체적인 특성을 완전히 드러내 보일 것이다. 따라서, 이러한 조정 및 변경은 본 명세서에서 제공된 교시 및 지침에 기초하여 개시된 실시예의 등가물의 의미 및 범위 내에 있도록 의도된다. 본 명세서 내의 어구 또는 용어는 예시에 의한 설명의 목적을 위한 것이지 제한하기 위한 것이 아니며, 따라서 본 명세서의 용어 또는 어구는 교시 및 지침을 고려하여 당업자에 의해 해석되어야 한다는 점이 이해되어야 한다.
본 발명의 폭 및 범위는 위에서 설명된 예시적인 실시예들 중 임의의 것에 의해서 제한되지 않아야 하며, 다음의 청구범위 및 그 등가물에 따라서만 한정되어야 한다.

Claims (15)

  1. 제품 유닛의 다중-스테이지 처리를 최적화하는 방법에 있어서,
    (a) 상이한 처리 스테이지들에서 복수의 제품 유닛에 관하여 측정된 하나 이상의 매개 변수를 나타내는 대상물 데이터를 수신하는 단계;
    (b) 상기 복수의 제품 유닛 중에서 각 제품 유닛에 걸쳐 상기 대상물 데이터의 변화의, 상이한 각각의 처리 스테이지와 관련된 핑거프린트를 결정하는 단계;
    (c) 공통성 결과를 생성하기 위해 상기 상이한 처리 스테이지를 통하여 상기 핑거프린트의 공통성을 분석하는 단계; 및
    (d) 상기 공통성 결과에 기초하여 제품 유닛을 처리하기 위해 사용된 장치를 최적화하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서, 핑거프린트를 결정하는 단계 (b)는 상기 대상물 데이터를 각 상이한 각각의 처리 스테이지에 대한 구성 요소로 분해하는 것을 포함하는 방법.
  3. 제2항에 있어서, 분리하는 것은 직교 주성분을 얻기 위해 상기 대상물 데이터의 주성분 분석을 이용하는 것을 포함하는 방법.
  4. 제1항에 있어서, 공통성을 분석하는 단계 (c)는 상기 상이한 처리 스테이지들을 통하여 공통된, 핑거프린트들 중 적어도 하나를 식별하는 것을 포함하는 방법.
  5. 제4항에 있어서, 핑거프린트의 공통성을 분석하는 단계 (c)는 처리 스테이지에 의하여 배열된 상기 결정된 핑거프린트를 보여주는 대시보드를 제공하는 것 및 상이한 처리 스테이지를 통해 공통된, 상기 핑거프린트들 중 적어도 하나를 식별하는 사용자 입력을 수신하는 것을 포함하는 방법.
  6. 제1항에 있어서, 장치를 최적화하는 단계 (d)는 상기 대상물 데이터가 제품 유닛의 다중-스테이지 처리에서의 후속 스테이지에서 측정되었던 제품 유닛을 처리하기 위하여 장치를 최적화하는 것을 포함하는 방법.
  7. 제1항에 있어서, 상기 제품 유닛의 상기 상이한 처리 스테이지의 처리의 하나 이상의 매개변수를 나타내는 컨텍스트 데이터를 수신하는 단계 (e)를 더 포함하며, 장치를 최적화하는 단계 (d)는 상기 컨텍스트 데이터 및 상기 상이한 처리 스테이지를 통하여 공통된 상기 적어도 하나의 핑거프린트에 기초하는 방법.
  8. 제7항에 있어서, 후속 스테이지의 처리를 위하여 장치를 최적화하는 단계 (d)는 상기 상이한 처리 스테이지를 통하여 공통된 상기 식별된 적어도 하나의 핑거프린트를 상기 수신된 컨텍스트 데이터와 관련시키는 것을 포함하여 후속 스테이지의 처리를 위하여 특정 장치를 식별하는 방법.
  9. 제7항에 있어서, 후속 스테이지의 처리를 위하여 장치를 최적화하는 단계 (d)는 상기 상이한 처리 스테이지를 통하여 공통된 상기 식별된 적어도 하나의 핑거프린트와 상기 수신된 컨텍스트 데이터를 기초로 제품 유닛에 걸쳐 제품 성능의 변화를 예측하는 것을 포함하여 후속 스테이지의 처리를 위하여 상기 장치에 적용하도록 공정 보정을 결정하는 방법.
  10. 제9항에 있어서, 상기 대상물 데이터는 정렬 데이터를 포함하며, 상기 핑거프린트는 정렬 핑거프린트를 포함하고, 상기 스테이지는 층을 포함하며, 상기 예측된 변화는 후속 층을 포함하는 층들 간의 오버레이의 예측된 변화를 포함하는 방법.
  11. 제2항에 있어서, 상기 구성 요소의 준수를 고려해 볼 때 상기 구성 요소는 제품 유닛에 걸친 대상물 데이터의 변화를 설명하는 구성 요소의 관련성, 구성 요소의 신뢰성 그리고 공정의 예상 수율 중 하나 이상을 나타내는 속성 데이터를 수신하는 방법.
  12. 제11항에 있어서, 장치를 최적화하는 단계 (d)는 상기 공통성 결과와 상기 속성 데이터에 기초하는 방법.
  13. 제12항에 있어서, 장치를 최적화하는 단계 (d)는 상기 구성 요소와 관련된 속성 데이터의 값을 기초로 하는 가중 인자로 구성 요소를 가중시키는 것에 기초하는 방법.
  14. 제1항에 있어서, 성능 데이터 세트들을 순위화하는 단계를 더 포함하며, 성능 데이터 세트들을 순위화하는 단계는,
    (a) 상기 공통성 결과에 기초하여 제품 유닛을 처리하기 위하여 상기 장치를 최적화하기 전에, 처리된 제품 유닛에 대한 측정에 의해 획득된 제1 성능 데이터 세트와 관련된 제1 확률 밀도 함수를 결정하는 것;
    (b) 상기 공통성 결과에 기초하여 제품 유닛을 처리하기 위하여 상기 장치를 최적화한 후에, 처리된 제품 유닛에 대한 측정에 의해 획득된 제2 성능 데이터 세트와 관련된 제2 확률 밀도 함수를 결정하는 것; 및
    (c) 상기 제1 확률 밀도 함수와 상기 제2 확률 밀도 함수에 기초하여 상기 성능 데이터 세트들을 순위화하는 것을 포함하는 방법.
  15. 제14항에 있어서, 상기 제1 확률 밀도 함수와 상기 제2 확률 밀도 함수는 커널 밀도 추정 방법을 이용하여 결정되는 방법.
KR1020197031430A 2017-03-27 2018-02-22 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화 KR102336390B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217039395A KR102427132B1 (ko) 2017-03-27 2018-02-22 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17163147.6A EP3382606A1 (en) 2017-03-27 2017-03-27 Optimizing an apparatus for multi-stage processing of product units
EP17163147.6 2017-03-27
PCT/EP2018/054360 WO2018177659A1 (en) 2017-03-27 2018-02-22 Optimizing an apparatus for multi-stage processing of product units

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217039395A Division KR102427132B1 (ko) 2017-03-27 2018-02-22 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화

Publications (2)

Publication Number Publication Date
KR20190129117A true KR20190129117A (ko) 2019-11-19
KR102336390B1 KR102336390B1 (ko) 2021-12-08

Family

ID=58448408

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197031430A KR102336390B1 (ko) 2017-03-27 2018-02-22 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화
KR1020217039395A KR102427132B1 (ko) 2017-03-27 2018-02-22 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020217039395A KR102427132B1 (ko) 2017-03-27 2018-02-22 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화

Country Status (6)

Country Link
US (2) US11150562B2 (ko)
EP (1) EP3382606A1 (ko)
KR (2) KR102336390B1 (ko)
CN (2) CN110494865B (ko)
TW (3) TWI710852B (ko)
WO (1) WO2018177659A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI641934B (zh) * 2014-08-05 2018-11-21 聯華電子股份有限公司 虛擬量測系統與方法
WO2017194289A1 (en) 2016-05-12 2017-11-16 Asml Netherlands B.V. Method of obtaining measurements, apparatus for performing a process step and metrology apparatus
EP3392711A1 (en) * 2017-04-19 2018-10-24 ASML Netherlands B.V. Maintaining a set of process fingerprints
EP3396458A1 (en) * 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process
KR102356361B1 (ko) * 2017-06-26 2022-01-26 에이에스엠엘 네델란즈 비.브이. 변형 결정 방법
EP3704734A4 (en) 2017-11-03 2021-08-11 Tokyo Electron Limited IMPROVING THE PERFORMANCE OF FUNCTIONAL MICROELECTRONIC DEVICES
US10586164B1 (en) 2018-10-15 2020-03-10 AIble Inc. Interface for visualizing and improving model performance
US11409549B2 (en) * 2018-10-15 2022-08-09 AIble Inc. Interface for generating models with customizable interface configurations
US11244873B2 (en) * 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
CN113039487B (zh) * 2018-11-16 2024-05-14 Asml荷兰有限公司 用于监测光刻装置的方法
KR102603071B1 (ko) * 2018-12-07 2023-11-15 에이에스엠엘 네델란즈 비.브이. 반도체 제조 프로세스에서 수율에 영향을 주는 근본 원인을 결정하기 위한 방법
CN114174927A (zh) * 2019-07-04 2022-03-11 Asml荷兰有限公司 光刻工艺及关联设备的子场控制
EP3767391A1 (en) * 2019-07-17 2021-01-20 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
EP4057069A1 (en) * 2021-03-11 2022-09-14 ASML Netherlands B.V. Methods and apparatus for characterizing a semiconductor manufacturing process
IL309496A (en) * 2021-07-09 2024-02-01 Asml Netherlands Bv A method for isolating sources of variation associated with semiconductor manufacturing
CN114386687B (zh) * 2021-12-31 2024-04-05 全芯智造技术有限公司 多层掩膜版之间套刻结果的预测方法及装置、终端

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
KR100860135B1 (ko) * 2001-12-17 2008-09-25 어드밴스드 마이크로 디바이시즈, 인코포레이티드 피드포워드 오버레이 정보가 통합된 포토 리소그래피 오버레이 정합을 제어하기 위한 방법 및 장치
WO2015049087A1 (en) * 2013-10-02 2015-04-09 Asml Netherlands B.V. Methods & apparatus for obtaining diagnostic information relating to an industrial process
WO2016124393A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE180843T1 (de) 1994-08-19 1999-06-15 Akzo Nobel Nv Zelluloselösungen und hieraus hergestellte produkte
US6161213A (en) * 1999-02-17 2000-12-12 Icid, Llc System for providing an integrated circuit with a unique identification
DE10030404A1 (de) * 2000-06-21 2002-01-03 Bosch Gmbh Robert Verfahren zur Identifikation von einem Fingerabdruck und Vorrichtung zur Identifikation von einem Fingerabdruck
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
US7788070B2 (en) * 2007-07-30 2010-08-31 Caterpillar Inc. Product design optimization method and system
US20100017009A1 (en) * 2008-06-30 2010-01-21 International Business Machines Corporation System for monitoring multi-orderable measurement data
WO2014164809A1 (en) 2013-03-11 2014-10-09 S.E.A. Medical Systems, Inc. Designs, systems, configurations, and methods for immittance spectroscopy
NL2013249A (en) 2013-08-20 2015-02-23 Asml Netherlands Bv Lithography system and a machine learning controller for such a lithography system.
KR101996492B1 (ko) * 2014-12-01 2019-07-04 에이에스엠엘 네델란즈 비.브이. 리소그래피 제조 프로세스에 관련된 진단 정보를 획득하기 위한 방법 및 장치, 진단 장치를 포함하는 리소그래피 처리 시스템
WO2016146217A1 (en) 2015-03-13 2016-09-22 Asml Netherlands B.V. Lithographic method and lithographic apparatus
NL2016925A (en) 2015-06-18 2016-12-22 Asml Netherlands Bv Method of metrology, inspection apparatus, lithographic system and device manufacturing method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
KR100860135B1 (ko) * 2001-12-17 2008-09-25 어드밴스드 마이크로 디바이시즈, 인코포레이티드 피드포워드 오버레이 정보가 통합된 포토 리소그래피 오버레이 정합을 제어하기 위한 방법 및 장치
WO2015049087A1 (en) * 2013-10-02 2015-04-09 Asml Netherlands B.V. Methods & apparatus for obtaining diagnostic information relating to an industrial process
WO2016124393A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system

Also Published As

Publication number Publication date
CN116224725A (zh) 2023-06-06
US11520238B2 (en) 2022-12-06
TWI668511B (zh) 2019-08-11
KR20210149245A (ko) 2021-12-08
WO2018177659A1 (en) 2018-10-04
KR102336390B1 (ko) 2021-12-08
TW201940963A (zh) 2019-10-16
US20220004108A1 (en) 2022-01-06
CN110494865A (zh) 2019-11-22
US11150562B2 (en) 2021-10-19
TWI760909B (zh) 2022-04-11
US20200233315A1 (en) 2020-07-23
EP3382606A1 (en) 2018-10-03
CN110494865B (zh) 2023-05-09
KR102427132B1 (ko) 2022-07-29
TW202121054A (zh) 2021-06-01
TW201841051A (zh) 2018-11-16
TWI710852B (zh) 2020-11-21

Similar Documents

Publication Publication Date Title
KR102427132B1 (ko) 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화
TWI811958B (zh) 一種判定用於在基板上之量測部位處進行之量測之加權因子的方法、一種製造器件之方法、一種電腦程式產品、及一種度量衡設備
CN109863456B (zh) 确定图案化过程的校正的方法
KR101900340B1 (ko) 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
US10908512B2 (en) Methods of controlling a patterning process, device manufacturing method, control system for a lithographic apparatus and lithographic apparatus
EP3654103A1 (en) Method for obtaining training data for training a model of a semicondcutor manufacturing process
KR20200108373A (ko) 산업 공정을 제어하는 장치 및 방법들
WO2018024466A1 (en) Methods & apparatus for obtaining diagnostic information, methods & apparatus for controlling an industrial process
US11281110B2 (en) Methods using fingerprint and evolution analysis
TWI737261B (zh) 判定微影設備之校正之方法
JP2020518000A (ja) プロセスフィンガープリントのセットを維持する方法
US11372338B2 (en) Method for evaluating control strategies in a semiconductor manufacturing process
TW202221427A (zh) 微影製程之子場控制及相關聯裝置
EP3547030A1 (en) Method for evaluating control strategies in a semicondcutor manufacturing process

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant