KR20190095117A - 플라즈마 프로세싱시 균일도 제어를 위한 테이퍼링된 상부 전극 - Google Patents

플라즈마 프로세싱시 균일도 제어를 위한 테이퍼링된 상부 전극 Download PDF

Info

Publication number
KR20190095117A
KR20190095117A KR1020190007436A KR20190007436A KR20190095117A KR 20190095117 A KR20190095117 A KR 20190095117A KR 1020190007436 A KR1020190007436 A KR 1020190007436A KR 20190007436 A KR20190007436 A KR 20190007436A KR 20190095117 A KR20190095117 A KR 20190095117A
Authority
KR
South Korea
Prior art keywords
radius
upper electrode
plasma
thickness
surface area
Prior art date
Application number
KR1020190007436A
Other languages
English (en)
Other versions
KR102035960B1 (ko
Inventor
지강 첸
알렉세이 마라크타노브
존 패트릭 홀란드
프라틱 자콥 만키디
안토니 델라 레라
헤일리 킴
형주 신
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20190095117A publication Critical patent/KR20190095117A/ko
Application granted granted Critical
Publication of KR102035960B1 publication Critical patent/KR102035960B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

기판 프로세싱 시스템에서 사용하기 위한 상부 전극은 하부 표면을 포함한다. 하부 표면은 제 1 부분 및 제 2 부분을 포함하고, 플라즈마-대면이다. 제 1 부분은 제 1 두께를 갖는 제 1 표면 영역을 포함한다. 제 2 부분이 제 2 두께로부터 제 1 두께로 변환하도록 (transition) 제 2 부분은 가변하는 두께를 갖는 제 2 표면을 포함한다.

Description

플라즈마 프로세싱시 균일도 제어를 위한 테이퍼링된 상부 전극{TAPERED UPPER ELECTRODE FOR UNIFORMITY CONTROL IN PLASMA PROCESSING}
본 개시는 기판 프로세싱 시스템에서 프로세스 균일도를 제어하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 처리하는데 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은, 이로 제한되는 것은 아니지만, CVD (chemical vapor deposition), ALD (atomic layer deposition), 도전체 에칭, 유전체 에칭, RTP (rapid thermal processing), 이온 주입, PVD (physical vapor deposition), 및/또는 다른 에칭 프로세스, 증착 프로세스 또는 세정 프로세스를 포함한다. 기판이 기판 프로세싱 시스템의 프로세싱 챔버 내 페데스탈, ESC (electrostatic chuck), 등과 같은 기판 지지부 상에 배치될 수도 있다. 프로세싱 동안, 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고, 플라즈마가 화학 반응들을 개시하고 지속시키기 위해 사용될 수도 있다.
프로세싱 챔버는 이로 제한되는 것은 아니지만, 기판 지지부, 가스 분배 디바이스 (예를 들어, 또한 상부 전극에 대응할 수도 있는 샤워헤드), 플라즈마 한정 슈라우드 (plasma confinement shroud), 등을 포함하는, 다양한 컴포넌트들을 포함한다. 기판 지지부는 웨이퍼를 지지하도록 구성된 세라믹층을 포함할 수도 있다. 예를 들어, 웨이퍼는 프로세싱 동안 세라믹층에 클램핑 (clamp) 될 수도 있다. 기판 지지부는 기판 지지부의 외측 부분 둘레 (예를 들어, 외측 및/또는 경계에 인접) 에 배치된 에지 링을 포함할 수도 있다. 에지 링은 기판 위의 볼륨에 플라즈마를 한정하고, 기판 에지 프로세싱 퍼포먼스를 최적화하고, 플라즈마, 등에 의해 유발된 부식으로부터 기판 지지부를 보호하도록 제공될 수도 있다. 플라즈마 한정 슈라우드는 기판 위의 볼륨 내에 플라즈마를 더 한정하기 위해 기판 지지부 및 샤워헤드 각각의 둘레에 배치될 수도 있다.
기판 프로세싱 시스템에 사용하기 위한 상부 전극은 하부 표면을 포함한다. 하부 표면은 제 1 부분 및 제 2 부분을 포함하고, 플라즈마-대면이다. 제 1 부분은 제 1 두께를 갖는 제 1 표면 영역을 포함한다. 제 2 부분은 제 2 부분이 제 2 두께로부터 제 1 두께로 변환하도록 (transitions) 가변하는 두께를 갖는 제 2 표면 영역을 포함한다.
다른 특징들에서, 제 2 두께는 상부 전극의 중심에서 제 2 부분의 높이에 대응한다. 제 1 부분은 제 1 반경을 갖고, 제 2 부분은 제 2 반경을 갖고, 그리고 제 1 반경은 제 2 반경보다 크다. 제 2 반경은 기판 프로세싱 시스템의 동작 동안 상부 전극 아래에 생성된 전기장의 제 3 반경에 대응한다. 제 2 반경은 제 3 반경 이상이다.
다른 특징들에서, 제 2 표면 영역은 제 2 부분이 제 2 두께로부터 제 1 두께로 테이퍼링되도록 (taper) 기울어진다. 제 2 부분의 기울기는 기판 프로세싱 시스템의 동작 동안 상부 전극 아래에 생성된 전기장에 대응한다. 제 2 표면 영역은 단차진다 (stepped). 제 2 표면 영역은 커브된다. 제 2 표면 영역은 볼록하다. 제 2 표면 영역은 구간적으로 (piecewise) 선형이다. 상부 전극의 꼭짓점들 및 코너부들은 0.5 ㎜ 내지 10 ㎜의 반경으로 라운딩된다 (rounded). 하부 표면은 프로세스 가스들로 하여금 가스 분배 디바이스로부터 상부 전극을 통해 흐르게 하도록 구성된 복수의 홀들을 더 포함한다.
다른 특징들에서, 가스 분배 디바이스가 상부 전극을 포함한다. 가스 분배 디바이스는 샤워헤드에 대응한다. 기판 프로세싱 시스템은 가스 분배 디바이스를 포함한다.
기판 프로세싱 시스템에 사용하기 위한 상부 전극은 스템 부분 및 상부 전극을 포함하는 베이스 부분을 포함한다. 상부 전극은 하부 표면을 포함한다. 하부 표면은 제 1 부분 및 제 2 부분을 포함하고, 플라즈마 대면이다. 제 1 부분은 제 1 두께를 갖고, 편평한 제 1 표면 영역을 포함한다. 제 2 부분은 제 2 부분이 제 2 두께로부터 제 1 두께로 변환하도록 가변하는 두께를 갖는 제 2 표면 영역을 포함한다.
다른 특징들에서, 제 2 부분은 제 2 부분이 제 2 두께로부터 제 1 두께로 테이퍼링되도록 기울어진다. 제 2 표면 영역은 단차진다. 제 2 표면 영역은 커브된다. 제 2 표면 영역은 볼록하다. 제 2 표면 영역은 구간적으로 선형이다. 상부 전극의 꼭짓점들 및 코너부들은 0.5 ㎜ 내지 10.0 ㎜의 반경으로 라운딩된다.
기판 프로세싱 시스템에 사용하기 위한 상부 전극은 제 1 표면 영역을 갖는 제 1 부분; 및 제 1 표면 영역을 넘어 연장하고 상부 전극의 중심에 대해 대칭적으로 위치되는 제 2 부분을 포함한다. 제 2 부분은 정점 (apex) 및 외주부 (outer periphery) 를 갖고, 정점으로부터 외주부로 테이퍼링된다.
다른 특징들에서, 제 1 표면 영역은 편평하거나 오목하다. 정점은 상부 전극이 중심과 정렬된다. 제 1 부분은 제 1 반경을 갖고, 제 2 부분은 제 2 반경을 갖고, 그리고 제 1 반경은 제 2 반경보다 크다. 제 2 반경은 기판 프로세싱 시스템의 동작 동안 상부 전극 아래에 생성된 전기장의 제 3 반경에 대응한다. 제 2 반경은 제 3 반경 이상이다.
다른 특징들에서, 제 2 부분의 기울기는 기판 프로세싱 시스템의 동작 동안 상부 전극 아래에 생성된 전기장에 대응한다. 제 2 부분은 적어도 단차지고, 커브되고, 볼록하고, 그리고 구간적으로 선형인 것 중 하나이다. 제 1 부분 및 제 2 부분은 기판-대면이다. 제 1 부분 및 제 2 부분 중 적어도 하나는 프로세스 가스들로 하여금 가스 분배 디바이스로부터 상부 전극을 통해 흐르게 하도록 구성된 복수의 홀들을 더 포함한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시의 원리들에 따른 예시적인 기판 프로세싱 시스템이다.
도 2는 예시적인 기판 프로세싱 챔버이다.
도 3은 본 개시의 원리들에 따른 예시적인 상부 전극을 포함하는 기판 프로세싱 챔버이다.
도 4는 본 개시의 원리들에 따른 또 다른 예시적인 상부 전극을 포함하는 기판 프로세싱 챔버이다.
도 5a, 도 5b 및 도 5c는 본 개시의 원리들에 따른 예시적인 상부 전극들이다.
도 6a 및 도 6b는 본 개시의 원리들에 따른 예시적인 상부 전극들이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
에칭 프로세스의 일부 양태들은 기판 프로세싱 시스템, 기판, 가스 혼합물들, 온도, RF (radio frequency) 및 RF 전력, 등의 특성들에 따라 가변할 수도 있다. 예를 들어, 플로우 패턴들, 따라서 에칭 레이트 및 에칭 균일도는 기판 프로세싱 시스템의 프로세싱 챔버 내의 컴포넌트들의 치수들에 따라 가변할 수도 있다. 일부 예시적인 프로세스들에서, 전체 에칭 레이트들은 기판의 상부 표면과 가스 분배 디바이스의 하단 표면 사이의 거리가 증가함에 따라 가변한다. 또한, 에칭 레이트들은 기판의 중심부로부터 기판의 외주부 (outer perimeter) 로 가변할 수도 있다. 예를 들어, 기판의 외주부에서, 시스 벤딩 (sheath bending) 및 이온 입사 각도 틸팅 (ion incidence angle tilt) 이 HARC (high aspect ratio contact) 프로파일 틸팅을 유발할 수 있고, 플라즈마 밀도 강하는 에칭 레이트 및 에칭 깊이 감쇠 (roll off) 를 유발할 수 있고, 반응성 종 (예를 들어, 에천트들 및/또는 증착 전구체들) 과 연관된 화학적 로딩 (chemical loading) 은 피처 CD (critical dimension) 불균일성을 유발할 수 있다. 또한, 에칭 부산물들과 같은 재료가 기판 상에 재증착될 수 있다. 에칭 레이트들은, 이로 제한되는 것은 아니지만, RF 및 RF 전력, 온도, 및 기판의 상부 표면을 가로지르는 가스 플로우 속도들을 포함하는 다른 프로세스 파라미터들에 따라 가변할 수도 있다.
기판의 프로세싱에 영향을 줄 수도 있는 컴포넌트들은 이로 제한되는 것은 아니지만, 가스 분배 디바이스 (예를 들어, 또한 상부 전극에 대응할 수도 있는 샤워헤드), 플라즈마 한정 슈라우드, 및/또는 베이스플레이트를 포함하는 기판 지지부, 하나 이상의 에지 링들, 커플링 링들, 등을 포함한다. 예를 들어, 유전체 플라즈마 에칭 프로세스들은 플라즈마 대면 편평한 하단 표면을 갖는 상부 전극을 사용할 수도 있다. 일부 애플리케이션들에서, 고 RF 소스 전력 (예를 들어, 60 ㎒, 40 ㎒, 등으로 제공된 RF 소스 전력) 은 기판 위의 프로세싱 볼륨 내에서 중심-피크된 (center-peaked) 플라즈마 분포를 유발할 수도 있다. 또한, 고 바이어스 전력 (예를 들어, 400 ㎑, 2 ㎒, 등으로 제공된 바이어스 전력) 은 기판의 에지 영역 (예를 들어, 중심으로부터 80 내지 150 ㎜의 에지 피크) 에 플라즈마 밀도 피크를 유발할 수도 있다. 중심 피크 및 에지 피크를 포함하는 플라즈마 분포는 "W" 형상 방사상 플라즈마 불균일도로서 지칭될 수도 있다.
이에 따라, 불균일 플라즈마 분포는 불균일한 프로세싱 결과들 (예를 들어, 에칭) 을 유발할 수도 있다. 일부 애플리케이션들 (예를 들어, 고 종횡비 에칭 애플리케이션들) 에서, 방사상 플라즈마 불균일도는 기판에 걸친 에칭 불균일도에 더하여 프로파일 틸팅을 발생시킬 수도 있다. 종횡비가 증가함에 따라 (예를 들어, 50보다 큰 종횡비), 프로파일 틸팅에 대한 허용 오차 (tolerance) 가 감소하고 매우 작은 틸팅 (예를 들어, 0.1 ° 미만) 이 목표될 수도 있다.
본 개시의 원리들에 따른 시스템들 및 방법들은 방사상 플라즈마 분포 및 균일도를 제어하기 위해 상부 전극의 치수들 및 기하구조 (예를 들어, 프로파일) 을 변경한다. 예를 들어, 테이퍼링된 (tapered) (즉, 비스듬하거나 (angled), 기울어지거나 (sloped), 틸팅되거나 (tilted), 커브되거나 (curved), 성형되는 (shaped), 등), 플라즈마-대면 하부 표면을 갖는 상부 전극이 사용된다. 일 예에서, 상부 전극은 방사상 방향의 중심으로부터 상부 전극의 외주부를 향해 테이퍼링된다. 일부 예들에서, 테이퍼링은 상부 전극의 외주부로 연장되지 않을 수도 있고 대신 외주부의 방사상 내측에서 거리를 두고 중단될 수도 있다. 다른 예들에서, 테이퍼링은 상부 전극의 외주부로 연장될 수도 있다. 이에 따라, 상부 전극의 두께는 상부 전극의 중심으로부터 방사상 거리에 기초하여 가변한다.
테이퍼링의 치수들 (예를 들어, 상부 전극의 방사상 거리에서 각각의 두께, 테이퍼링의 반경 또는 길이, 등) 은 목표된 방사상 플라즈마 분포에 따라 선택될 수도 있다. 예를 들어, 테이퍼링의 두께는 상부 전극의 중심에서 피크 플라즈마 밀도에 따라 결정될 수도 있다. 반대로, 테이퍼링의 반경 또는 길이는 방사상 플라즈마 밀도 변화도 (gradient) 의 길이 스케일 (scale) 에 따라 결정될 수도 있다. 상부 전극의 중심에서 테이퍼링의 두께는 프로세싱 볼륨의 중심에서 피크 플라즈마 밀도를 감소시키고 제거하도록 선택되는 한편, 테이퍼링의 반경 또는 길이는 방사상 방향에서 플라즈마 불균일도를 감소시키고 (즉, 없애고 (smooth out)) 최소화하도록 선택된다. 이에 따라, 고 종횡비 에칭시 플라즈마 불균일도에 의해 유발된 프로파일 틸팅 및 에칭 불균일도가 최소화될 수도 있다.
이제 도 1을 참조하면, 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 단지 예를 들면, 기판 프로세싱 시스템 (100) 은 RF 플라즈마를 사용한 에칭, 증착 및/또는 다른 적합한 기판 프로세싱을 수행하기 위해 사용될 수도 있다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 챔버 (102) 는 상부 전극 (104) 및 ESC (electrostatic chuck) 와 같은 기판 지지부 (106) 를 포함한다. 동작 동안, 기판 (108) 이 기판 지지부 (106) 상에 배치된다. 특정한 기판 프로세싱 시스템 (100) 및 챔버 (102) 가 예로서 도시되지만, 본 개시의 원리들은 다른 타입들의 기판 프로세싱 시스템들 및 챔버들에 적용될 수도 있다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (109) 와 같은 가스 분배 디바이스를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 실린더형이고, 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에 스템 부분의 반대편 단부로부터 외향으로 방사상으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 도전 플레이트를 포함할 수도 있고, 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다. 본 개시의 원리들에 따른 상부 전극 (104) 은 이하에 보다 상세히 기술된 바와 같이 테이퍼링된, 플라즈마-대면 하부 표면을 가질 수도 있다.
기판 지지부 (106) 는 하부 전극으로서 역할을 하는, 도전성 베이스플레이트 (110) 를 포함한다. 베이스플레이트 (110) 는 세라믹층 (112) 을 지지한다. 일부 예들에서, 세라믹층 (112) 은 세라믹 멀티-존 히팅 플레이트와 같은 히팅층을 포함할 수도 있다. 내열 층 (114)(예를 들어, 본딩층) 은 세라믹층 (112) 과 베이스플레이트 (110) 사이에 배치될 수도 있다. 베이스플레이트 (110) 는 베이스플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다. 기판 지지부 (106) 는 기판 (108) 의 외주부를 둘러싸도록 구성된 에지 링 (118) 을 포함할 수도 있다.
RF 생성 시스템 (120) 은 RF 전력을 생성하고 상부 전극 (104) 및 하부 전극 (예를 들어, 기판 지지부 (106) 의 베이스플레이트 (110)) 중 하나로 출력한다. 상부 전극 (104) 및 베이스플레이트 (110) 중 다른 하나는 DC 접지될 수도 있거나, RF 접지될 수도 있거나 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 또는 베이스플레이트 (110) 에 의해 피딩되는 RF 전력을 생성하는 RF 전력 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도성으로 또는 리모트로 생성될 수도 있다. 예를 목적으로 도시되었지만, RF 생성 시스템 (120) 은 CCP (capacitively coupled plasma) 시스템에 대응하고, 본 개시의 원리들은 단지 예를 들면 TCP (transformer coupled plasma) 시스템들, CCP 캐소드 시스템들, 리모트 마이크로웨이브 플라즈마 생성 및 전달 시스템들, 등과 같은, 다른 적합한 시스템들로 구현될 수도 있다.
가스 전달 시스템 (130) 은 하나 이상의 가스 소스들 (132-1, 132-2, … 및 132-N (집합적으로 가스 소스들 (132)) 을 포함하고, N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 가스 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들은 밸브들 (134-1, 134-2, … 및 134-N (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (mass flow controllers) (136-1, 136-2, … 및 136-N (집합적으로 질량 유량 제어기들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력은 프로세싱 챔버 (102) 로 피드된다. 단지 예를 들면, 매니폴드 (140) 의 출력은 샤워헤드 (109) 로 피딩된다.
온도 제어기 (142) 는 세라믹층 (112) 에 배치된 복수의 TCE들 (thermal control elements) 과 같은 복수의 가열 엘리먼트들 (144) 에 연결될 수도 있다. 예를 들면, 가열 엘리먼트들 (144) 은 이로 제한되는 것은 아니지만, 멀티-존 가열 플레이트의 각각의 존들에 대응하는 매크로 가열 엘리먼트들 및/또는 멀티-존 가열 플레이트의 복수의 존들에 걸쳐 배치된 마이크로 가열 엘리먼트들의 어레이를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 및 기판 (108) 의 온도를 제어하기 위해 복수의 가열 엘리먼트들 (144) 을 제어하도록 사용될 수도 있다.
온도 제어기 (142) 는 채널들 (116) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (146) 와 연통할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 를 냉각하기 위해 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 는 프로세싱 챔버 (102) 로부터 에칭 부산물들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 는 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 하나 이상의 로봇들 (170) 은 기판 지지부 (106) 상으로 기판들을 전달하고 그리고 기판 지지부 (106) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇들 (170) 은 EFEM (171) 과 로드록 (172) 사이, 로드록과 VTM (173) 사이, VTM (173) 와 기판 지지부 (106) 사이, 등에서 기판들을 이송할 수도 있다. 별도의 제어기로서 도시되지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에 구현될 수도 있다. 일부 예들에서, 보호 시일 (176) 이 세라믹층 (112) 과 베이스플레이트 (110) 사이의 본딩층 (114) 의 주변부 둘레에 제공될 수도 있다.
일부 예들에서, 프로세싱 챔버 (102) 는 C-슈라우드와 같은 플라즈마 한정 슈라우드 (180) 를 포함할 수도 있다. C-슈라우드 (180) 는 플라즈마 영역 (182) 내에 플라즈마를 한정하기 위해 상부 전극 (104) 및 기판 지지부 (106) 둘레에 배치된다. 일부 예들에서, C-슈라우드 (180) 는 실리콘 (Si) 또는 폴리실리콘과 같은 반도체 재료를 포함한다. C-슈라우드 (180) 는 가스들로 하여금 프로세싱 챔버 (102) 로부터 밸브 (150) 및 펌프 (152) 를 통해 벤팅되도록 (vent) 플라즈마 영역 (182) 로부터 흐르게 하도록 구성된 하나 이상의 슬롯들 (184) 을 포함할 수도 있다.
이제 도 2를 참조하면, 기판 지지부 (204) 및 가스 분배 디바이스 (208) (예를 들어, 샤워헤드) 를 포함하는 예시적인 기판 프로세싱 챔버 (200) 가 도시된다. 기판 지지부 (204) 는 하부 전극으로서 기능할 수도 있는 베이스플레이트 (212) 를 포함한다. 반대로, 가스 분배 디바이스 (208) 는 상부 전극 (216) 을 포함할 수도 있다. 일부 예들에서, 상부 전극 (216) 은 내측 전극 (220) 및 외측 전극 (224) 을 포함할 수도 있다. 예를 들어, 내측 전극 (220) 및 외측 전극 (224) 은 디스크 및 환형 링에 각각 대응할 수도 있다 (즉, 외측 전극 (224) 이 내측 전극 (220) 의 외측 에지를 둘러싼다). 간략함을 위해 본 명세서에 사용될 때, 본 개시는 내측 전극 (220) 및 외측 전극 (224) 은 집합적으로 상부 전극 (216) 으로서 참조될 것이다.
베이스플레이트 (212) 는 세라믹층 (228) 을 지지한다. 세라믹층 (228) 은 기판 (232) 을 지지한다. 일부 예들에서, 본딩층 (236) 이 세라믹층 (228) 과 베이스플레이트 (212) 사이에 배치되고, 보호 시일 (240) 이 세라믹층 (228) 과 베이스플레이트 (212) 사이의 본딩층 (236) 의 주변부 둘레에 제공된다. 기판 지지부 (204) 는 기판 (232) 의 외주부를 둘러싸도록 구성된 에지 링 (242) 을 포함할 수도 있다. 일부 예들에서, 프로세싱 챔버 (200) 는 상부 전극 (216) 둘레에 배치된 플라즈마 한정 슈라우드 (244) 를 포함할 수도 있다. 상부 전극 (216), 기판 지지부 (204) (예를 들어, 세라믹층 (228)), 에지 링 (242), 및 플라즈마 한정 슈라우드 (244) 는 기판 (232) 위에 프로세싱 볼륨 (예를 들어, 플라즈마 영역) (248) 을 규정한다.
도 2에 도시된 바와 같이, 상부 전극 (216) 의 하부 표면 (252) 은 실질적으로 편평하고 플라즈마-대면이다. 예를 들어, 하부 표면 (252) 은 평면형이고, 프로세싱 챔버 (200) 에 대해 수평 배향을 갖고, 그리고 기판 (232) 및 세라믹층 (228) 에 평행하다. 256에 도시된 바와 같이, 편평한 하부 표면 (252) 을 갖는 상부 전극 (216) 은 중심-피크 플라즈마 밀도 분포 ("플라즈마 분포") 를 발생시킨다. 이에 따라, 플라즈마 분포는 불균일하고, 중심 피크 (264) (즉, 프로세싱 볼륨 (248) 및 상부 전극 (216) 에 대해 센터링된 (centered) 수직 z 방향의 밀도 피크) 를 포함하고, 그리고 r 방향 (즉, 방사상 방향) 에서 감소할 수도 있다. 플라즈마 분포는 외측 피크 (264) 를 더 포함할 수도 있다. 도 2에 도시된 플라즈마 분포는 기판 (232) 의 프로파일 틸팅 (예를 들어, 기판 (232) 의 중간-반경 영역에서) 및 에칭 불균일도와 같은 프로세싱 불균일도들을 발생시킬 수도 있다.
예를 들어, 플라즈마 분포는 대응하는 RF 전기장 (E-field) 분포 및 플라즈마로의 이의 전력 축적물에 의해 유발된다. E-field 분포는 인가된 RF에 대응하여 생성된 플라즈마의 유효 RF 파장에 종속되고, 따라서 E-field 분포는 일반적으로 플라즈마 분포와 상관된다. 예를 들어, 도 2에서, E-field 분포는 256에 도시된 플라즈마 분포와 유사할 수도 있다. 이에 따라, E-field 분포는 플라즈마 분포의 중심 피크 (264) 에 대응하는 영역에서 보다 클 수도 있고 r 방향 (즉, 반경이 증가함) 으로 감소될 수도 있다. 즉, E-field 분포는 일부 거리에 걸쳐 방사상 감쇠 (decay) 를 나타낸다.
CCP 시스템들에서, 플라즈마를 생성하도록 사용된 RF 전력은 수직 방향의 E-field 분포의 용량 컴포넌트 Ez를 생성하고, 이는 용량성 플라즈마 열 (capacitive plasma heating) 을 유발한다. 이에 따라, 유효 RF 파장이 기판 반경에 가깝거나 보다 작을 때 용량성 플라즈마 열은 플라즈마 분포의 중심 피크 (264) 의 영역에서 증가한다. 반대로, 방사상 방향에서 E-field 분포의 유도성 컴포넌트 Er은 중심 피크 (264) 의 영역에서 본질적으로 0이다. 즉, 도 2에 도시된 플라즈마 분포에 대응하는 E-field 분포는 E = Ez에 대응할 수도 있고, 여기서 중심 피크 (264) 의 영역에서 Er = 0이다.
이제 도 3을 참조하면, 기판 지지부 (304) 및 가스 분배 디바이스 (308) (예를 들어, 샤워헤드) 를 포함하는 또 다른 예시적인 기판 프로세싱 챔버 (300) 가 도시된다. 기판 지지부 (304) 은 하부 전극으로서 기능할 수도 있는 베이스플레이트 (312) 를 포함한다. 반대로, 가스 분배 디바이스 (308) 는 상부 전극 (316) 을 포함할 수도 있다. 일부 예들에서, 상부 전극 (316) 은 내측 전극 (320) 및 외측 전극 (324) 을 포함할 수도 있다. 예를 들어, 내측 전극 (320) 및 외측 전극 (324) 은 동심 디스크 및 링에 각각 대응할 수도 있다 (즉, 외측 전극 (324) 이 내측 전극 (320) 의 외측 에지를 둘러싼다). 간략함을 위해 본 명세서에 사용될 때, 본 개시는 내측 전극 (320) 및 외측 전극 (324) 을 집합적으로 상부 전극 (316) 으로서 참조될 것이다.
베이스플레이트 (312) 는 세라믹 층 (328) 을 지지한다. 세라믹 층 (328) 은 기판 (332) 을 지지한다. 일부 예들에서, 본딩 층 (336) 은 세라믹 층 (328) 과 베이스플레이트 (312) 사이에 배치되고, 보호 시일 (340) 이 세라믹 층 (328) 과 베이스플레이트 (312) 사이에서 본딩 층 (336) 의 주변부 둘레에 제공된다. 기판 지지부 (304) 는 기판 (332) 의 외주부를 둘러싸도록 구성된 에지 링 (342) 을 포함할 수도 있다. 일부 예들에서, 프로세싱 챔버 (300) 는 상부 전극 (316) 을 둘레에 배치된 플라즈마 한정 슈라우드 (344) 를 포함할 수도 있다. 상부 전극 (316), 기판 지지부 (304) (예를 들어, 세라믹 층 (328)), 에지 링 (342), 및 플라즈마 한정 슈라우드 (344) 는 기판 (332) 위에 프로세싱 볼륨 (예를 들어, 플라즈마 영역) (348) 을 규정한다.
도 3에 도시된 바와 같이, 상부 전극 (316) 의 하부 표면 (352) 은 테이퍼링되고, 플라즈마-대면이다. 예를 들어, 하부 표면 (352) 은 제 1 두께를 갖는 제 1 부분 (356) 및 일반적으로 편평하고 테이퍼링된 (즉, 기울어진) 제 2 부분 (360) 을 포함한다. 제 2 부분 (360) 은 반경 R (즉, 중심 (364) 으로부터의 거리) 이 증가함에 따라 하부 표면 (352) 의 중심 (364) 에서의 높이 H로부터 감소된다. 이에 따라, 제 2 부분 (360) 의 두께는 반경이 증가함에 따라 가변한다 (예를 들어, 감소한다). 368에 도시된 바와 같이, 테이퍼링된 하부 표면 (352) 을 갖는 상부 전극 (316) 은 플라즈마 분포의 중심 피크를 억제한다. 즉, 도 3에 도시된 바와 같은 플라즈마 분포는 도 2에 도시된 바와 같은 중심 피크 (264) 를 포함하지 않는다. 또한, 테이퍼링된 제 2 부분 (360) 은 작은 갭 구역 (area) (즉, 중심 영역 (372) 내) 로부터 큰 갭 구역 (즉, 외측 영역 (376) 내) 으로의 플라즈마 확산을 용이하게 하고, 따라서 중심 영역 (372) 에서 플라즈마 밀도를 하강시킨다.
도 2의 예와 반대로, 테이퍼링된 하부 표면 (352) 은 중심 영역 (372) 에서 수직 방향의 E-field 컴포넌트 Ez의 감소된 용량 및 방사상 방향의 비제로 유도성 E-field 컴포넌트의 생성을 발생시킨다. 유도성 컴포넌트 Er은, 플라즈마 생성에 효율적인 유도성 플라즈마 열에 기여한다. 또한, 반경 R이 증가함에 따라 유도성 컴포넌트 Er은 증가한다. 이에 따라, 유도성 컴포넌트 Er 반경에 따라 증가하고 용량성 컴포넌트 Ez는 반경에 따라 감소하기 때문에, 유도성 컴포넌트 Er은 용량성 컴포넌트 Ez의 감소에 의해 유발된 플라즈마 분포 및 열의 변동을 보상한다. 즉, 도 3에 도시된 플라즈마 분포에 대응하는 E-field E는, 용량성 컴포넌트 Ez 및 유도성 컴포넌트 Er 모두를 결합하고, 따라서 억제된 중심 피크를 갖는 보다 균일한 플라즈마 분포를 야기하는, E = Ez + Er에 대응할 수도 있다.
이제 도 4를 참조하면, 기판 지지부 (404) 및 가스 분배 디바이스 (408) (예를 들어, 샤워헤드) 를 포함하는 또 다른 예시적인 기판 프로세싱 챔버 (400) 가 도시된다. 기판 지지부 (404) 는 하부 전극으로서 기능할 수도 있는 베이스플레이트 (412) 를 포함한다. 반대로, 가스 분배 디바이스 (408) 는 상부 전극 (416) 을 포함할 수도 있다. 일부 예들에서, 상부 전극 (416) 은 내측 전극 (420) 및 외측 전극 (424) 을 포함할 수도 있다. 예를 들어, 내측 전극 (420) 및 외측 전극 (424) 은 동심 디스크 및 링에 각각 대응할 수도 있다 (즉, 외측 전극 (424) 은 내측 전극 (420) 의 외측 에지를 둘러싼다). 간략함을 위해 본 명세서에 사용될 때, 본 개시는 내측 전극 (420) 및 외측 전극 (424) 은 집합적으로 상부 전극 (416) 으로서 지칭될 것이다.
베이스플레이트 (412) 는 세라믹 층 (428) 을 지지한다. 세라믹 층 (428) 은 기판 (432) 을 지지한다. 일부 예들에서, 본딩 층 (436) 은 세라믹 층 (428) 과 베이스플레이트 (412) 사이에 배치되고, 보호 시일 (440) 이 세라믹 층 (428) 과 베이스플레이트 (412) 사이에서 본딩 층 (436) 의 주변부 둘레에 제공된다. 기판 지지부 (404) 는 기판 (432) 의 외주부를 둘러싸도록 구성된 에지 링 (442) 을 포함할 수도 있다. 일부 예들에서, 프로세싱 챔버 (400) 는 상부 전극 (416) 을 둘레에 배치된 플라즈마 한정 슈라우드 (444) 를 포함할 수도 있다. 상부 전극 (416), 기판 지지부 (404) (예를 들어, 세라믹 층 (428)), 에지 링 (442), 및 플라즈마 한정 슈라우드 (444) 는 기판 (432) 위에 프로세싱 볼륨 (예를 들어, 플라즈마 영역) (448) 을 규정한다.
도 4에 도시된 바와 같이, 상부 전극 (416) 의 하부 표면 (452) 은 테이퍼링되고, 플라즈마-대면이다. 예를 들어, 하부 표면 (452) 은 제 1 두께를 갖는 제 1 부분 (456) 및 일반적으로 편평하고 테이퍼링된 (즉, 기울어진) 제 2 부분 (460) 을 포함한다. 제 2 부분 (460) 은 반경 R (즉, 중심 (464) 으로부터의 거리) 이 증가함에 따라 하부 표면 (452) 의 중심 (464) 에서의 높이 H로부터 감소된다. 이에 따라, 제 2 부분 (460) 의 두께는 반경이 증가함에 따라 가변한다 (예를 들어, 감소한다). 468에 도시된 바와 같이, 테이퍼링된 하부 표면 (452) 을 갖는 상부 전극 (416) 은 플라즈마 분포의 중심 피크를 억제한다. 즉, 도 4에 도시된 바와 같은 플라즈마 분포는 도 2에 도시된 바와 같은 중심 피크 (264) 를 포함하지 않는다. 또한, 테이퍼링된 제 2 부분 (460) 은 작은 갭 구역 (즉, 중심 영역 (472) 내) 로부터 큰 갭 구역 (즉, 외측 영역 (476) 내) 으로의 플라즈마 확산을 용이하게 하고, 따라서 중심 영역 (472) 에서 플라즈마 밀도를 하강시킨다.
도 3의 예와 유사하게, 테이퍼링된 하부 표면 (452) 은 중심 영역 (472) 에서 수직 방향의 E-field 컴포넌트 Ez의 감소된 용량 및 방사상 방향의 비제로 유도성 E-field 컴포넌트의 생성을 발생시킨다. 이에 따라, 유도성 컴포넌트 Er 반경에 따라 증가하고 용량성 컴포넌트 Ez는 반경에 따라 감소하기 때문에, 유도성 컴포넌트 Er은 용량성 컴포넌트 Ez의 감소에 의해 유발된 플라즈마 분포 및 열의 변동을 보상한다. 도 3의 예와 반대로, 제 2 부분 (460) 의 테이퍼링은 보다 작은 기울기를 갖고 제 2 부분 (360) 의 테이퍼링보다 점진적이다 (즉, 제 2 부분 (460) 의 두께는 반경이 증가할 때 보다 낮은 레이트 또는 각도로 감소된다). 이에 따라, 기판 (432) 에 걸친 플라즈마 밀도 균일도 및 프로파일 틸팅이 개선된다.
도 3 및 도 4에 도시된 바와 같이, 제 2 부분들 (360 및 460) 의 치수들 (예를 들어, 높이 H, 반경 R, 기울기의 각도, 등) 은 각각의 프로세싱 챔버들 (300 및 400) 에서 E-field의 특성들 및 플라즈마 분포에 따라 선택될 수도 있다. 예를 들어, 제 2 부분들 (360 및 460) 의 높이 H는 중심 영역들 (372 및 472) 내 E-field의 최대 크기 및 플라즈마 밀도에 따라 선택될 수도 있다. 반대로, 제 2 부분들 (360 및 460) 의 반경 R은 대응하는 E-field의 반경 및 플라즈마 밀도 변화도에 따라 선택될 수도 있다. 일 예에서, 반경 R은 E-field의 길이 스케일 및 플라즈마 방사상 변화도 이상일 수도 있다. 예를 들어, E-field및 플라즈마 밀도의 방사상 감쇠가 75 ㎜에서 저점 (trough) 도달하면, 제 2 부분 (360 또는 460) 의 반경 R은 적어도 75 ㎜일 수도 있다. 다른 예들에서, 제 2 부분들 (360 및 460) 의 각각의 기울기들이 E-field 및 플라즈마 밀도의 기울기들에 대응할 수도 있다. 즉, E-field 및 플라즈마 밀도가 방사상으로 감쇠함에 따라, 제 2 부분 (360 또는 460) 의 높이 H는 E-field 및 플라즈마 밀도 감쇠에 비례하여 방사상으로 감소할 수도 있다.
이러한 방식으로, 상부 전극들 (316/416) 의 치수들은 특정한 프로세싱 챔버의 동작 특성들에 따라 선택될 수도 있다. 예를 들어, 플라즈마 분포, E-field, 등과 같은 특성들이 (예를 들어, 설치된 통상의 상부 전극을 사용하여) 먼저 관찰되고 측정될 수도 있다. 본 개시의 원리들에 따른 상부 전극의 치수들은 나중에 챔버의 측정된 동작 특성들에 기초하여 결정될 수도 있다. 일부 예들에서, 상부 전극들 (316/416) 의 꼭짓점들 및 코너부들 (예를 들어, 꼭짓점들 (380/480) 에서와 같은 비스듬한 전이부들) 은 0.5 ㎜ 내지 10.0 ㎜의 반경으로 라운딩 (rounded) 될 수도 있다.
도 5a, 도 5b 및 도 5c에 도시된 바와 같이, 상부 전극 (500) 은 플라즈마 분포를 변경하도록 구성된 다른 예시적인 하부 표면들 (504-1, 504-2, 및 504-3) (집합적으로 하부 표면들 (504) 로 참조됨) 을 포함할 수도 있다. 예를 들어, 도 5a에 도시된 바와 같이, 상부 전극 (500) 의 하부 표면 (504-1) 은 단차지거나 (stepped) 계단형 (stair cased) 일 수도 있다. 즉, 하부 표면 (504-1) 은 상부 전극 (500) 의 중심 영역 (508) 으로부터 상부 전극의 외측 영역 (512) 으로 계단식 (stepwise) 방식으로 감소하는 두께를 가질 수도 있다. 도 5b에 도시된 바와 같이, 상부 전극 (500) 의 하부 표면 (504-2) 은 커브될 (예를 들어, 볼록할) 수도 있다. 즉, 하부 표면 (504-2) 은 상부 전극 (500) 의 중심 영역 (508) 으로부터 상부 전극의 외측 영역 (512) 으로 곡선 (curvilinear) 방식으로 감소하는 두께를 가질 수도 있다. 도 5c에 도시된 바와 같이, 하부 표면 (504-3) 은 구간적으로 (piecewise) 선형 방식으로 기울어지거나 비스듬할 수도 있다. 즉, 하부 표면 (504-3) 은 상부 전극 (500) 의 중심 영역 (508) 으로부터 상부 전극의 외측 영역 (512) 으로 상이한 각도들로 감소하고 그리고/또는 증가하는 두께를 가질 수도 있다. 예를 들어, 하부 표면 (504-3) 의 두께는 중심 영역 (508) 에서 제 1 각도로 감소될 수도 있고, 중간-내측 영역 (516) 에서 제 2 각도로 감소될 수도 있고, 중간-외측 (520) 에서 제 3 각도로 증가할 수도 있고, 그리고 외측 영역 (512) 에서 제 4 각도로 감소할 수도 있다. 이에 따라, 하부 표면들 (504) 은 특정한 기판 프로세싱 챔버에서 플라즈마 분포 특성들에 따라 선택될 수도 있고 구성될 수도 있다. 일부 예들에서, 상부 전극 (500) 및 하부 표면들 (504) 의 꼭짓점들 및 코너부들은 0.5 ㎜ 내지 10.0 ㎜의 반경으로 라운딩될 수도 있다.
도 6a 및 도 6b에 도시된 바와 같이, 상부 전극 (600) 은 플라즈마 분포를 변경하도록 구성된 다른 예시적인 하부 표면들 (604-1 및 604-2) (집합적으로 하부 표면들 (604) 로 참조됨) 을 포함할 수도 있다. 예를 들어, 도 6a에 도시된 바와 같이, 상부 전극 (600) 의 하부 표면 (604-1) 은 중심 영역 (608) 에서 커브될 (예를 들어, 볼록) 할 수도 있고, 외측 영역 (612) 에서 오목할 수도 있다. 즉, 하부 표면 (604-1) 은 볼록한 중심 영역 (608) 으로부터 오목한 외측 영역 (612) 으로 천이하고, 그리고 중심 영역 (608) 및 오목한 영역 (612) 모두는 두께가 가변한다. 예를 들어, 하부 표면 (604-1) 은 중심 영역 (608) 으로부터 그리고 외측 영역 (612) 내로 곡선 방식으로 감소하고 외측 영역 (612) 으로부터 에지 영역 (616) 으로 증가하는 두께를 가질 수도 있다. 도 6a에 도시된 에지 영역 (616) 에서, 하부 표면 (604-1) 은 편평할 수도 있다.
도 6b에 도시된 바와 같이, 상부 전극 (600) 의 하부 표면 (604-2) 은 중심 영역 (608) 에서 테이퍼링될 (예를 들어, 기울어질) 수도 있고 외측 영역 (612) 에서 오목할 수도 있다. 즉, 하부 표면 (604-2) 은 테이퍼링된 중심 영역 (608) 으로부터 오목한 외측 영역 (612) 으로 천이되고, 그리고 중심 영역 (608) 및 오목한 영역 (612) 모두는 두께가 가변한다. 예를 들어, 하부 표면 (604-2) 은 중심 영역 (608) 으로부터 그리고 외측 영역 (612) 내로 선형 방식으로 감소하고, 이어서 외측 영역 (612) 으로부터 에지 영역 (616) 으로 증가하는 두께를 가질 수도 있다. 도 6b에 도시된 에지 영역 (616) 에서, 하부 표면 (604-1) 은 볼록할 수도 있고, 둥글어 질 수도 있고, 라운딩, 등이 될 수도 있다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 웨이퍼의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (27)

  1. 기판 프로세싱 시스템에 사용하기 위한 상부 전극에 있어서,
    상기 상부 전극은 하부 표면을 포함하고,
    상기 하부 표면은 제 1 부분 및 제 2 부분을 포함하고, 플라즈마-대면이고,
    상기 제 1 부분은 제 1 두께를 갖는 제 1 표면 영역을 포함하고, 그리고
    상기 제 2 부분은 상기 제 2 부분이 제 2 두께로부터 상기 제 1 두께로 변환하도록 (transitions) 가변하는 두께를 갖는 제 2 표면 영역을 포함하는, 상부 전극.
  2. 제 1 항에 있어서,
    상기 제 2 두께는 상기 상부 전극의 중심에서 상기 제 2 부분의 높이에 대응하는, 상부 전극.
  3. 제 1 항에 있어서,
    상기 제 1 부분은 제 1 반경을 갖고, 상기 제 2 부분은 제 2 반경을 갖고, 그리고 상기 제 1 반경은 상기 제 2 반경보다 큰, 상부 전극.
  4. 제 3 항에 있어서,
    상기 제 2 반경은 상기 기판 프로세싱 시스템의 동작 동안 상기 상부 전극 아래에 생성된 전기장의 제 3 반경에 대응하는, 상부 전극.
  5. 제 4 항에 있어서,
    상기 제 2 반경은 상기 제 3 반경 이상인, 상부 전극.
  6. 제 1 항에 있어서,
    상기 제 2 표면 영역은 상기 제 2 부분이 상기 제 2 두께로부터 상기 제 1 두께로 테이퍼링되도록 (taper) 기울어지는, 상부 전극.
  7. 제 6 항에 있어서,
    상기 제 2 부분의 기울기는 상기 기판 프로세싱 시스템의 동작 동안 상기 상부 전극 아래에 생성된 전기장에 대응하는, 상부 전극.
  8. 제 1 항에 있어서,
    상기 제 2 표면 영역은 단차진 (stepped), 상부 전극.
  9. 제 1 항에 있어서,
    상기 제 2 표면 영역은 커브되는, 상부 전극.
  10. 제 9 항에 있어서,
    상기 제 2 표면 영역은 볼록한, 상부 전극.
  11. 제 1 항에 있어서,
    상기 제 2 표면 영역은 구간적으로 (piecewise) 선형인, 상부 전극.
  12. 제 1 항에 있어서,
    상기 상부 전극의 꼭짓점들 및 코너부들은 0.5 ㎜ 내지 10 ㎜의 반경으로 라운딩되는 (rounded), 상부 전극.
  13. 제 1 항에 있어서,
    상기 하부 표면은 프로세스 가스들로 하여금 가스 분배 디바이스로부터 상기 상부 전극을 통해 흐르게 하도록 구성된 복수의 홀들을 더 포함하는, 상부 전극.
  14. 제 1 항에 기재된 상기 상부 전극을 포함하는 가스 분배 디바이스.
  15. 제 14 항에 있어서,
    상기 가스 분배 디바이스는 샤워헤드에 대응하는, 가스 분배 디바이스.
  16. 제 14 항에 기재된 상기 가스 분배 디바이스를 포함하는 기판 프로세싱 시스템.
  17. 기판 프로세싱 시스템에 사용하기 위한 상부 전극에 있어서,
    상기 상부 전극은,
    제 1 표면 영역을 갖는 제 1 부분; 및
    상기 제 1 표면 영역을 넘어 연장하고 상기 상부 전극의 중심에 대해 대칭적으로 위치되는 제 2 부분을 포함하고, 상기 제 2 부분은 정점 (apex) 및 외주부 (outer periphery) 를 갖고, 상기 제 2 부분은 상기 정점으로부터 상기 외주부로 테이퍼링되는, 상부 전극.
  18. 제 17 항에 있어서,
    상기 제 1 표면 영역은 편평한, 상부 전극.
  19. 제 17 항에 있어서,
    상기 제 1 표면 영역은 오목한, 상부 전극.
  20. 제 17 항에 있어서,
    상기 정점은 상기 상부 전극이 상기 중심과 정렬되는, 상부 전극.
  21. 제 17 항에 있어서,
    상기 제 1 부분은 제 1 반경을 갖고, 상기 제 2 부분은 제 2 반경을 갖고, 그리고 상기 제 1 반경은 상기 제 2 반경보다 큰, 상부 전극.
  22. 제 21 항에 있어서,
    상기 제 2 반경은 상기 기판 프로세싱 시스템의 동작 동안 상기 상부 전극 아래에 생성된 전기장의 제 3 반경에 대응하는, 상부 전극.
  23. 제 22 항에 있어서,
    상기 제 2 반경은 상기 제 3 반경 이상인, 상부 전극.
  24. 제 17 항에 있어서,
    상기 제 2 부분의 기울기는 상기 기판 프로세싱 시스템의 동작 동안 상기 상부 전극 아래에 생성된 전기장에 대응하는, 상부 전극.
  25. 제 17 항에 있어서,
    상기 제 2 부분은 단차지고, 커브되고, 볼록하고, 그리고 구간적으로 선형인 것 중 적어도 하나인, 상부 전극.
  26. 제 17 항에 있어서,
    상기 제 1 부분 및 상기 제 2 부분은 기판-대면인, 상부 전극.
  27. 제 17 항에 있어서,
    상기 제 1 부분 및 상기 제 2 부분 중 적어도 하나는 프로세스 가스들로 하여금 가스 분배 디바이스로부터 상기 상부 전극을 통해 흐르게 하도록 구성된 복수의 홀들을 더 포함하는, 상부 전극.
KR1020190007436A 2018-02-05 2019-01-21 플라즈마 프로세싱시 균일도 제어를 위한 테이퍼링된 상부 전극 KR102035960B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/888,719 US20190244793A1 (en) 2018-02-05 2018-02-05 Tapered upper electrode for uniformity control in plasma processing
US15/888,719 2018-02-05

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190129191A Division KR102236832B1 (ko) 2018-02-05 2019-10-17 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극

Publications (2)

Publication Number Publication Date
KR20190095117A true KR20190095117A (ko) 2019-08-14
KR102035960B1 KR102035960B1 (ko) 2019-10-23

Family

ID=67476986

Family Applications (7)

Application Number Title Priority Date Filing Date
KR1020190007436A KR102035960B1 (ko) 2018-02-05 2019-01-21 플라즈마 프로세싱시 균일도 제어를 위한 테이퍼링된 상부 전극
KR1020190129191A KR102236832B1 (ko) 2018-02-05 2019-10-17 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR1020210041999A KR102275987B1 (ko) 2018-02-05 2021-03-31 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR1020210088189A KR102378582B1 (ko) 2018-02-05 2021-07-06 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR1020220034841A KR102451669B1 (ko) 2018-02-05 2022-03-21 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR1020220125403A KR102556016B1 (ko) 2018-02-05 2022-09-30 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR1020230089976A KR20230112093A (ko) 2018-02-05 2023-07-11 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극

Family Applications After (6)

Application Number Title Priority Date Filing Date
KR1020190129191A KR102236832B1 (ko) 2018-02-05 2019-10-17 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR1020210041999A KR102275987B1 (ko) 2018-02-05 2021-03-31 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR1020210088189A KR102378582B1 (ko) 2018-02-05 2021-07-06 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR1020220034841A KR102451669B1 (ko) 2018-02-05 2022-03-21 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR1020220125403A KR102556016B1 (ko) 2018-02-05 2022-09-30 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR1020230089976A KR20230112093A (ko) 2018-02-05 2023-07-11 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극

Country Status (4)

Country Link
US (1) US20190244793A1 (ko)
KR (7) KR102035960B1 (ko)
CN (1) CN110277293A (ko)
TW (2) TWI802347B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230039182A (ko) * 2021-09-14 2023-03-21 주식회사 티이엠 조립형 프로파일 상부 전극 및 이를 포함하는 플라즈마 처리 장치
US11862440B2 (en) 2020-12-16 2024-01-02 Samsung Electronics Co., Ltd. Semiconductor processing equipment including electrostatic chuck for plasma processing

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190244793A1 (en) * 2018-02-05 2019-08-08 Lam Research Corporation Tapered upper electrode for uniformity control in plasma processing
JP7066920B2 (ja) * 2018-09-28 2022-05-13 ラム リサーチ コーポレーション プラズマチャンバの電極への電力送出を最適化するシステムおよび方法
US20210287881A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for tuning semiconductor processes
KR20220021514A (ko) 2020-08-14 2022-02-22 삼성전자주식회사 상부 전극 및 이를 포함하는 기판 처리 장치
KR102583263B1 (ko) * 2020-12-30 2023-10-04 세메스 주식회사 기판 처리 장치
WO2023043091A1 (ko) * 2021-09-14 2023-03-23 주식회사 티이엠 조립형 프로파일 상부 전극 및 이를 포함하는 플라즈마 처리 장치
CN114727464B (zh) * 2022-03-29 2023-03-24 电子科技大学 一种延长等离子球化射频炬使用寿命的方法及射频炬
WO2024054774A1 (en) * 2022-09-07 2024-03-14 Lam Research Corporation Shaped silicon outer upper electrode for plasma processing
KR102615786B1 (ko) * 2023-04-26 2023-12-19 에스케이엔펄스 주식회사 상부 전극, 이를 포함하는 반도체 소자 제조 장치 및 반도체 소자의 제조 방법
KR102611375B1 (ko) * 2023-04-26 2023-12-06 에스케이엔펄스 주식회사 상부 전극, 이를 포함하는 반도체 소자 제조 장치 및 반도체 소자의 제조 방법
KR102615787B1 (ko) * 2023-04-26 2023-12-19 에스케이엔펄스 주식회사 상부 전극, 이를 포함하는 반도체 소자 제조 장치 및 반도체 소자의 제조 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000062949A (ko) * 1999-03-18 2000-10-25 에이에스엠 저펜 가부시기가이샤 플라즈마 cvd 막 형성장치
KR20040005836A (ko) * 2000-10-13 2004-01-16 램 리서치 코포레이션 플라즈마 공정의 균일성 향상을 위한 돌출부가 있는 상부전극
KR20080104236A (ko) * 2006-03-16 2008-12-02 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 그것에 이용되는 전극
KR20100069661A (ko) * 2007-10-01 2010-06-24 오리콘 솔라 아이피 아게, 트루바흐 필름 소재에의 증착
KR101202151B1 (ko) * 2003-09-10 2012-11-15 외를리콘 솔라 아게, 트뤼프바흐 직사각형 대면적 기판 처리용 고주파 플라즈마 반응기의전압 불균일성 보상 방법
KR20150057095A (ko) * 2013-11-18 2015-05-28 주성엔지니어링(주) 플라즈마 발생용 전극 및 이를 포함하는 플라즈마 처리 장치

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100252210B1 (ko) * 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
JP2001267305A (ja) * 2000-03-17 2001-09-28 Hitachi Ltd プラズマ処理装置
JP4047616B2 (ja) * 2002-04-03 2008-02-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20050013734A (ko) * 2003-07-29 2005-02-05 삼성전자주식회사 플라즈마 식각장치
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
KR101264695B1 (ko) * 2006-09-01 2013-05-16 엘지디스플레이 주식회사 플라즈마 화학 기상 증착 장치
US7829815B2 (en) * 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
DE102007028293B4 (de) * 2007-06-20 2009-09-03 Universität Augsburg Plasmareaktor, dessen Verwendung und Verfahren zur Herstellung einkristalliner Diamantschichten
KR20090044420A (ko) * 2007-10-31 2009-05-07 주식회사 하이닉스반도체 반도체 소자 제조용 플라즈마 공정 장치
KR101094976B1 (ko) * 2008-02-08 2011-12-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
CN102047388A (zh) * 2008-06-20 2011-05-04 应用材料股份有限公司 气体分布喷头裙部
US9177761B2 (en) * 2009-08-25 2015-11-03 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus, method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
KR101112745B1 (ko) * 2010-02-02 2012-02-24 위순임 가변형 용량 결합 전극을 구비한 플라즈마 반응기
US8617350B2 (en) * 2011-06-15 2013-12-31 Belight Technology Corporation, Limited Linear plasma system
US20140299273A1 (en) * 2013-04-08 2014-10-09 Lam Research Corporation Multi-segment electrode assembly and methods therefor
CN104835712A (zh) * 2015-03-25 2015-08-12 沈阳拓荆科技有限公司 一种应用于半导体等离子体处理装置的弧面喷淋头
JP2016184610A (ja) * 2015-03-25 2016-10-20 株式会社東芝 上部電極、エッジリングおよびプラズマ処理装置
US20190244793A1 (en) * 2018-02-05 2019-08-08 Lam Research Corporation Tapered upper electrode for uniformity control in plasma processing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000062949A (ko) * 1999-03-18 2000-10-25 에이에스엠 저펜 가부시기가이샤 플라즈마 cvd 막 형성장치
KR20040005836A (ko) * 2000-10-13 2004-01-16 램 리서치 코포레이션 플라즈마 공정의 균일성 향상을 위한 돌출부가 있는 상부전극
KR101202151B1 (ko) * 2003-09-10 2012-11-15 외를리콘 솔라 아게, 트뤼프바흐 직사각형 대면적 기판 처리용 고주파 플라즈마 반응기의전압 불균일성 보상 방법
KR20080104236A (ko) * 2006-03-16 2008-12-02 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 그것에 이용되는 전극
KR20100069661A (ko) * 2007-10-01 2010-06-24 오리콘 솔라 아이피 아게, 트루바흐 필름 소재에의 증착
KR20150057095A (ko) * 2013-11-18 2015-05-28 주성엔지니어링(주) 플라즈마 발생용 전극 및 이를 포함하는 플라즈마 처리 장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11862440B2 (en) 2020-12-16 2024-01-02 Samsung Electronics Co., Ltd. Semiconductor processing equipment including electrostatic chuck for plasma processing
KR20230039182A (ko) * 2021-09-14 2023-03-21 주식회사 티이엠 조립형 프로파일 상부 전극 및 이를 포함하는 플라즈마 처리 장치

Also Published As

Publication number Publication date
KR20230112093A (ko) 2023-07-26
KR102035960B1 (ko) 2019-10-23
KR20210040337A (ko) 2021-04-13
KR20220138849A (ko) 2022-10-13
TW202316521A (zh) 2023-04-16
TWI763969B (zh) 2022-05-11
KR102236832B1 (ko) 2021-04-06
TW201941298A (zh) 2019-10-16
KR102275987B1 (ko) 2021-07-13
KR102451669B1 (ko) 2022-10-06
KR102378582B1 (ko) 2022-03-23
CN110277293A (zh) 2019-09-24
TW202228205A (zh) 2022-07-16
KR20190120741A (ko) 2019-10-24
TWI802347B (zh) 2023-05-11
KR20220042082A (ko) 2022-04-04
US20190244793A1 (en) 2019-08-08
KR20210089121A (ko) 2021-07-15
KR102556016B1 (ko) 2023-07-13

Similar Documents

Publication Publication Date Title
KR102035960B1 (ko) 플라즈마 프로세싱시 균일도 제어를 위한 테이퍼링된 상부 전극
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
KR102454532B1 (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
KR102458699B1 (ko) 개선된 프로세스 균일도를 갖는 기판 지지부
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
KR102401704B1 (ko) 이동가능한 에지 링 설계들
KR102430432B1 (ko) 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
KR20220073858A (ko) 하단 링 및 중간 에지 링
KR20210038993A (ko) 연장된 수명을 갖는 한정 링
KR20200142587A (ko) 프로세싱 챔버의 세정 프로세스 동안 에지 링 포커싱된 증착
TWI835453B (zh) 用於電漿處理中之均勻性控制的漸縮上電極
KR20220024568A (ko) 기판 프로세싱 시스템들을 위한 감소된 직경 캐리어 링 하드웨어
KR20220160073A (ko) 노치된 (notch) 웨이퍼들을 프로세싱하기 위한 플라즈마-배제-존 (plasma-exclusion-zone) 링들
KR20220141878A (ko) 기판 프로세싱 페데스탈들을 위한 내부 핀들 (fins) 을 갖는 냉각제 채널

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant