KR20190082709A - Gas distribution apparatus and substrate processing apparatus having the same - Google Patents

Gas distribution apparatus and substrate processing apparatus having the same Download PDF

Info

Publication number
KR20190082709A
KR20190082709A KR1020190078856A KR20190078856A KR20190082709A KR 20190082709 A KR20190082709 A KR 20190082709A KR 1020190078856 A KR1020190078856 A KR 1020190078856A KR 20190078856 A KR20190078856 A KR 20190078856A KR 20190082709 A KR20190082709 A KR 20190082709A
Authority
KR
South Korea
Prior art keywords
plate
gas
substrate
gas distribution
space
Prior art date
Application number
KR1020190078856A
Other languages
Korean (ko)
Inventor
김수웅
황철주
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020190078856A priority Critical patent/KR20190082709A/en
Publication of KR20190082709A publication Critical patent/KR20190082709A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The present invention relates to a gas distributing apparatus, which sprays process gas on a substrate. The present invention provides a gas distributing apparatus, which includes: an upper plate; a lower plate spaced apart from the upper plate by a predetermined interval and having a plurality of spray holes; a side wall plate provided on a side surface between the upper plate and the lower plate; and a temperature control member provided between the upper plate and the lower plate and controlling temperature between the upper plate and the lower plate, and a substrate processing apparatus having the same.

Description

가스 분배 장치 및 이를 구비하는 기판 처리 장치{Gas distribution apparatus and substrate processing apparatus having the same}[0001] The present invention relates to a gas distribution apparatus and a substrate processing apparatus having the same,

본 발명은 가스 분배 장치에 관한 것으로, 특히 가스 분배 장치의 내부 온도를 조절하여 가스 분배 장치 내부에서 공정 가스의 반응을 방지할 수 있는 가스 분배 장치 및 이를 구비하는 기판 처리 장치에 관한 것이다.The present invention relates to a gas distribution apparatus, and more particularly, to a gas distribution apparatus capable of preventing the reaction of a process gas inside a gas distribution apparatus by adjusting an internal temperature of the gas distribution apparatus and a substrate processing apparatus having the gas distribution apparatus.

일반적으로 반도체 소자, 유기 소자 및 태양 전지는 복수의 박막을 증착하고 식각하여 원하는 특성의 소자를 제조한다. 이러한 박막의 증착 또는 식각을 진행하기 위해 기판 처리 장치는 약 300℃ 정도의 온도를 유지한다.In general, a semiconductor device, an organic device, and a solar cell are fabricated by depositing and etching a plurality of thin films to produce devices having desired characteristics. The substrate processing apparatus maintains a temperature of about 300 DEG C to carry out the deposition or etching of such a thin film.

예를 들어, 기판 상에 소정의 박막을 형성하기 위해 기판을 고온으로 가열한 후 기판 상에 공정 가스를 분사하는데, 공정 가스는 기판의 열에 의해 분해되어 기판 상에 박막을 형성하게 된다. 이때, 기판의 전면에 공정 가스를 균일하게 분사하기 위해 복수의 분사홀이 형성된 샤워헤드 등의 가스 분배 장치를 기판의 상측에 위치시킨다. 따라서, 챔버 외부의 가스 공급관을 통해 제공되는 공정 가스가 가스 분배 장치를 통해 챔버의 반응 공간으로 균일하게 분사된다.For example, in order to form a predetermined thin film on a substrate, the substrate is heated to a high temperature and then a process gas is sprayed onto the substrate. The process gas is decomposed by the heat of the substrate to form a thin film on the substrate. At this time, a gas distribution device such as a showerhead having a plurality of injection holes is positioned above the substrate in order to uniformly inject the process gas onto the entire surface of the substrate. Thus, the process gas provided through the gas supply pipe outside the chamber is uniformly injected into the reaction space of the chamber through the gas distribution device.

그러나, 가스 분배 장치가 챔버 내측에 위치함으로써 많은 문제가 발생된다. 그중 하나가 가스 분배 장치의 분사홀의 막힘 현상이다. 즉, 챔버 내측의 공정 온도에 의해 가스 분내 장치 내측의 온도가 상승하고 그에 따라 가스 분배 장치에서 균일하게 확산되는 공정 가스가 열에 의해 반응하게 된다. 공정 가스의 반응에 의해 가스 분배 장치 내부에 파티클이 생성되고, 파티클에 의해 분사홀이 막히게 된다.However, many problems arise because the gas distribution device is located inside the chamber. One of them is clogging of the injection hole of the gas distribution apparatus. That is, the temperature inside the gas-injecting device rises due to the process temperature inside the chamber, and the process gas uniformly diffused in the gas distributing device thereby reacts with heat. Particles are generated inside the gas distribution apparatus by the reaction of the process gas, and the injection holes are clogged by the particles.

따라서, 가스 분사 장치 내측이 챔버 내부의 온도에 영향을 받지 않도록 하는 것이 중요하다.Therefore, it is important that the inside of the gas injection device is not affected by the temperature inside the chamber.

본 발명은 가스 분사 장치 내부의 온도 상승을 억제하여 공정 가스에 의한 파티클 생성을 억제하고, 그에 따라 분사홀의 막힘을 방지할 수 있는 가스 분배 장치 및 이를 구비하는 기판 처리 장치를 제공한다.The present invention provides a gas distribution apparatus and a substrate processing apparatus having the gas distribution apparatus capable of suppressing the temperature rise inside the gas injection apparatus and suppressing the generation of particles by the process gas, thereby preventing clogging of the injection holes.

본 발명은 가스 분배 장치 내부에 온도 조절 부재를 마련하여 가스 분배 장치 내부의 온도를 챔버 내부의 온도와 다르게 조절할 수 있는 가스 분배 장치 및 이를 구비하는 기판 처리 장치를 제공한다.The present invention provides a gas distribution apparatus and a substrate processing apparatus having the gas distribution apparatus, wherein a temperature control member is provided inside the gas distribution apparatus so that the temperature inside the gas distribution apparatus can be adjusted differently from the temperature inside the chamber.

본 발명의 실시 예에 따른 가스 분배 장치는 기판 상에 공정 가스를 분사하는 가스 분배 장치로서, 상부판과, 복수의 분사홀이 형성된 하부판을 포함하는 가스 분배부; 및 내부에 온도 조절 수단이 마련되고, 상기 가스 분배부의 내부 공간을 상하로 분할하며, 복수의 관통홀이 형성된 판형 부재;를 포함하고, 상기 상부판과 판형 부재 사이의 공간으로 공급된 공정 가스는 상기 복수의 관통홀을 통하여 상기 판형 부재와 하부판 사이의 공간으로 공급되고, 상기 판형 부재와 하부판 사이의 공간으로 공급된 공정 가스는 상기 복수의 분사홀을 통하여 기판 상에 분사된다.A gas distribution apparatus according to an embodiment of the present invention is a gas distribution apparatus for spraying a process gas onto a substrate, comprising: a gas distribution unit including a top plate and a bottom plate having a plurality of injection holes; And a plate member having a plurality of through holes formed therein and having a temperature adjusting means therein and dividing an inner space of the gas distributing unit into upper and lower parts and the process gas supplied to the space between the upper plate and the plate- The process gas supplied to the space between the plate member and the lower plate is injected onto the substrate through the plurality of injection holes.

상기 온도 조절 수단은 냉각 수단을 포함할 수 있다.The temperature regulating means may comprise cooling means.

상기 온도 조절 수단은 라인 형상으로 마련되고, 내부에 냉매가 유동할 수 있다.The temperature regulating means is provided in a line shape, and the refrigerant can flow into the inside.

상기 냉매를 공급하기 위한 냉매 공급관 및 상기 냉매를 배출하기 위한 냉매 배출관이 상기 상부판을 관통하여 상기 판형 부재의 적어도 일 측에 마련될 수 있다.A refrigerant supply pipe for supplying the refrigerant and a refrigerant discharge pipe for discharging the refrigerant may be provided on at least one side of the plate member through the upper plate.

상기 판형 부재는 복수로 분할 및 결합 가능할 수 있다.The plate-like member can be divided into plural parts and can be combined with each other.

상기 판형 부재는 적어도 둘로 분할되고, 적어도 하나가 서로 다른 높이에 마련될 수 있다.The plate member may be divided into at least two, and at least one may be provided at different heights.

상기 판형 부재는 상기 상부판과 하부판 사이에 70% 내지 80%의 면적으로 마련될 수 있다.The plate member may be provided in an area of 70% to 80% between the upper plate and the lower plate.

상기 온도 조절 수단은 가열 수단을 포함할 수 있다.The temperature adjusting means may include a heating means.

상기 가스 분배부는 상기 상부판과 하부판 사이의 측면에 마련된 측벽판을 더 포함하고, 상기 판형 부재는 측면이 상기 측벽판과 맞닿게 설치될 수 있다.The gas distribution unit may further include a side wall plate provided on a side surface between the top plate and the bottom plate, and the side plate may be provided so as to abut the side plate.

상기 상부판 및 하부판은 상기 기판의 형상으로 마련될 수 있다.The upper plate and the lower plate may be provided in the shape of the substrate.

상기 상부판 및 하부판은 직사각형 형상으로 마련되고, 상기 측벽판의 모서리 부분에 제 2 분사홀이 국부적으로 더 형성될 수 있다.The upper plate and the lower plate may be formed in a rectangular shape, and a second injection hole may be formed locally at an edge portion of the side wall plate.

본 발명의 실시 예에 따른 기판 처리 장치는 공정 챔버; 상기 공정 챔버의 내측에 서로 대향되게 마련된 기판 안치부 및 가스 분배부; 상기 가스 분배부와 연결되어 공정 가스를 공급하는 가스 공급부; 및 내부에 온도 조절 수단이 마련되고, 상기 가스 분배부의 내부 공간을 상하로 분할하며, 복수의 관통홀이 형성된 판형 부재;를 포함하고, 상기 상부판과 판형 부재 사이의 공간으로 공급된 공정 가스는 상기 복수의 관통홀을 통하여 상기 판형 부재와 하부판 사이의 공간으로 공급되고, 상기 판형 부재와 하부판 사이의 공간으로 공급된 공정 가스는 상기 복수의 분사홀을 통하여 기판 상에 분사된다.A substrate processing apparatus according to an embodiment of the present invention includes a processing chamber; A substrate holding part and a gas distribution part provided inside the process chamber so as to face each other; A gas supply unit connected to the gas distribution unit to supply a process gas; And a plate member having a plurality of through holes formed therein and having a temperature adjusting means therein and dividing an inner space of the gas distributing unit into upper and lower parts and the process gas supplied to the space between the upper plate and the plate- The process gas supplied to the space between the plate member and the lower plate is injected onto the substrate through the plurality of injection holes.

상기 공정 챔버 상부에 마련된 플라즈마 발생부를 더 포함할 수 있다.And a plasma generator disposed above the process chamber.

상기 온도 조절 수단은 냉각 수단을 포함할 수 있다.The temperature regulating means may comprise cooling means.

상기 온도 조절 수단은 가열 수단을 포함할 수 있다.The temperature adjusting means may include a heating means.

상기 판형 부재는 적어도 둘로 분할되고, 적어도 하나가 서로 다른 높이에 마련될 수 있다.The plate member may be divided into at least two, and at least one may be provided at different heights.

상기 가스 공급부는 상기 상부판을 관통하여 상기 상부판과 판형 부재 사이의 공간에 적어도 하나의 공정 가스를 공급하는 적어도 하나의 가스 공급관을 포함할 수 있다.The gas supply unit may include at least one gas supply pipe passing through the top plate to supply at least one process gas to the space between the top plate and the plate-shaped member.

상기 가스 공급부는 상기 상부판을 관통하여 상기 상부판과 판형 부재 사이에 적어도 하나의 제 1 공정 가스를 공급하는 적어도 하나의 제 1 가스 공급관과, 상기 상부판 및 판형 부재를 관통하여 상기 판형 부재와 하부판 사이에 적어도 하나의 제 2 공정 가스를 공급하는 적어도 하나의 제 2 가스 공급관을 포함할 수 있다.The gas supply unit includes at least one first gas supply pipe passing through the upper plate and supplying at least one first process gas between the upper plate and the plate-shaped member, at least one first gas supply pipe passing through the upper plate and the plate- And at least one second gas supply pipe for supplying at least one second process gas between the bottom plate.

상기 판형 부재의 관통홀에 삽입되어 상기 하부판의 분사홀에 연결된 분사 노즐을 더 포함할 수 있다.And a spray nozzle inserted into the through hole of the plate member and connected to the spray hole of the lower plate.

본 발명의 실시 예들은 공정 챔버 내에 공정 가스를 분사하는 가스 분배부 내부에 온도 조절 부재를 마련하여 가스 분배부 내부의 온도를 조절한다. 즉, 온도 조절 부재를 이용하여 가스 분배부 내부의 온도를 공정 챔버 내부의 온도보다 낮게 유지할 수 있다.Embodiments of the present invention provide a temperature control member inside a gas distribution portion that injects process gas into a process chamber to adjust the temperature inside the gas distribution portion. That is, the temperature inside the gas distribution unit can be kept lower than the temperature inside the process chamber by using the temperature control member.

따라서, 가스 분배부 내부에서 공정 가스의 반응을 방지할 수 있고, 그에 따른 파티클 생성을 억제할 수 있어 분사홀의 막힘을 방지할 수 있다. 또한, 온도 조절 부재를 이용하여 가스 분배부 내부의 온도를 상승시킬 수도 있어 가스 분배부의 온도가 너무 낮아져 공정 가스의 냉각에 의한 파티클 생성을 억제할 수 있다.Therefore, it is possible to prevent the reaction of the process gas in the inside of the gas distribution portion, to suppress the generation of particles, and to prevent clogging of the injection holes. In addition, since the temperature inside the gas distribution portion can be raised by using the temperature control member, the temperature of the gas distribution portion becomes too low, and generation of particles due to cooling of the process gas can be suppressed.

도 1은 본 발명의 일 실시 예에 따른 기판 처리 장치의 단면 개략도.
도 2 및 도 3은 본 발명의 일 실시 예에 따른 가스 분배 장치의 분해 사시도 및 결합 단면도.
도 4 내지 도 7은 본 발명의 다른 실시 예들에 따른 가스 분배 장치의 분해 사시도 또는 결합 단면도.
도 8 내지 도 13은 본 발명의 또다른 실시 예들에 따른 가스 분배 장치의 평면도 및 단면도.
1 is a schematic cross-sectional view of a substrate processing apparatus according to an embodiment of the present invention;
2 and 3 are an exploded perspective view and a cross-sectional view of a gas distribution apparatus according to an embodiment of the present invention.
4 to 7 are exploded perspective views or engaging sectional views of a gas distribution apparatus according to another embodiment of the present invention;
Figures 8-13 are a top view and a cross-sectional view of a gas distribution device in accordance with yet another embodiment of the present invention.

이하, 첨부된 도면을 참조하여 본 발명의 실시 예를 상세히 설명하기로 한다. 그러나, 본 발명은 이하에서 개시되는 실시 예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시 예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. It should be understood, however, that the invention is not limited to the disclosed embodiments, but is capable of other various forms of implementation, and that these embodiments are provided so that this disclosure will be thorough and complete, It is provided to let you know completely.

도 1은 본 발명의 일 실시 예에 따른 기판 처리 장치의 단면도이다. 또한, 도 2 및 도 3은 본 발명의 일 실시 예에 따른 가스 분배부의 분해 사시도 및 결합 단면도이다.1 is a cross-sectional view of a substrate processing apparatus according to an embodiment of the present invention. 2 and 3 are an exploded perspective view and an assembled cross-sectional view of a gas distribution unit according to an embodiment of the present invention.

도 1 내지 도 3을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치는 소정의 반응 공간을 마련하는 공정 챔버(100)와, 공정 챔버(100) 내부의 하측에 위치하여 기판(10)을 지지하는 기판 지지부(200)와, 공정 챔버(100) 내부에 기판 지지부(200)와 대향되어 상측에 마련되며 공정 가스를 기판(10) 상에 분사하는 가스 분배부(300)와, 가스 분배부(300)에 공정 가스를 공급하는 가스 공급부(400)를 포함한다. 1 to 3, a substrate processing apparatus according to an embodiment of the present invention includes a process chamber 100 for providing a predetermined reaction space, a substrate 10 positioned below the inside of the process chamber 100, A gas distributor 300 disposed on the upper side of the process chamber 100 to face the substrate supporter 200 and injecting the process gas onto the substrate 10, And a gas supply unit 400 for supplying a process gas to the distribution unit 300.

공정 챔버(100)는 내부 공간을 갖는 챔버 몸체(110)와, 챔버 몸체(110)에 착탈 가능하도록 결합되어 반응 공간을 밀봉시키는 챔버 리드(120)를 구비한다. 챔버 몸체(110)는 상부가 개방된 통 형상으로 제작되고, 챔버 리드(120)는 챔버 몸체(110)의 상부를 차폐하는 판 형상으로 제작된다. 챔버 리드(120)의 중앙부에는 가스 공급관(410)이 연결되는 연결 구멍(미도시)이 마련된다. 또한, 도시되지 않았지만, 챔버 몸체(110)와 챔버 리드(120)의 결합면에는 오링 또는 가스켓과 같은 별도의 밀봉 부재가 마련될 수 있고, 챔버 몸체(110)와 챔버 리드(120)를 결합 고정시키는 별도의 고정 부재가 더 구비될 수도 있다. 그리고, 챔버 몸체(110)의 일측에는 기판(10)이 출입하는 출입구가 마련되고, 내부 공간을 배기하는 배기 수단이 접속된다. 물론 이에 한정되지 않고, 다양한 구조의 공정 챔버(100)가 이용될 수 있는데, 예를 들어 챔버 리드(120)와 챔버 몸체(110)가 단일화된 단일 공정 챔버가 이용될 수 있고, 챔버 리드(120)가 챔버 몸체(110)의 하부에 마련된 공정 챔버 등이 이용될 수도 있다.The process chamber 100 includes a chamber body 110 having an inner space and a chamber lid 120 detachably coupled to the chamber body 110 to seal the reaction space. The chamber body 110 is formed in a cylindrical shape having an open top and the chamber lid 120 is formed in a plate shape for shielding the upper portion of the chamber body 110. A connection hole (not shown) is provided at the center of the chamber lead 120 to connect the gas supply pipe 410. Although not shown, a separate sealing member such as an O-ring or a gasket may be provided on the coupling surface between the chamber body 110 and the chamber lid 120, and the chamber body 110 and the chamber lid 120 may be fixed A separate fixing member may be further provided. An inlet and an outlet through which the substrate 10 enters and exits are provided on one side of the chamber body 110, and an exhaust means for exhausting the internal space is connected. A process chamber 100 having a variety of structures may be used. For example, a single process chamber in which the chamber lid 120 and the chamber body 110 are united can be used, and the chamber lid 120 May be used in a process chamber provided under the chamber body 110, or the like.

기판 지지부(200)는 기판(10)을 지지하는 기판 지지대(210)와, 기판 지지대(210)를 승강시키는 구동부(220)와, 구동부(220)와 기판 지지대(210) 사이를 연결하는 연결축(230)을 포함할 수 있다. 또한, 도시되지 않았지만, 기판(10)의 로딩 및 언로딩을 위한 복수의 리프트 핀부를 더 포함할 수 있다. 기판 지지대(210) 상에는 적어도 하나의 기판(10)이 마련된다. 기판(10)은 대략 직사각형의 판 형상으로 마련될 수 있고, 원형으로 마련될 수도 있다. 즉, 직사각형의 판 형상의 기판(10)은 LCD 등의 평판 표시 장치, 태양 전지 등의 제조에 이용되는 유리 기판을 포함할 수 있고, 원형의 기판(10)은 반도체 메모리 또는 발광 소자의 제조에 이용되는 실리콘 웨이퍼 또는 사파이어 웨이퍼 등을 포함할 수 있다. 한편, 기판(10)이 원형으로 마련되는 경우 복수의 기판(10)이 기판 지지대(210) 상에 예를 들어 방사형으로 배치될 수 있다. 즉, 기판 지지대(210)의 중앙부를 중심으로 복수의 기판(10)이 원형으로 소정 간격을 유지하며 배치될 수 있다. 본 실시 예에서는 기판(10)이 직사각형의 형상을 갖고, 그에 따라 가스 분배부(300)가 직사각형의 형상을 갖는 경우를 설명한다. 한편, 기판 지지대(210)는 기판(10)의 형상으로 제작될 수 있는데, 기판(10)의 형상에 따라 원형 또는 대략 직사각형의 형상을 가질 수 있다. 물론, 기판 지지대(210)는 기판(10)의 형상과 일치하지 않는 형상을 가질 수도 있다. 기판 지지대(210)는 그 내부에 기판(10)을 가열 및 냉각하는 온도 조절 수단을 구비할 수 있다. 이에 따라 기판(10)을 공정 온도로 가열할 수 있다. 즉, 온도 조절 수단을 이용하여 기판 지지대(210)를 가열함으로써 기판(10)을 소정 온도로 가열하고, 가열된 기판(10) 상에 소정 박막을 형성할 수 있다. 이때, 온도 조절 수단은 기판 지지대(210)의 내부 또는 표면에 마련될 수도 있고, 별도의 가열 수단이 기판 지지대(210) 하측에 위치할 수도 있다. 한편, 기판 지지대(210)는 구동부(220)에 의해 상승 및 하강하고, 회전할 수 있다. 이를 통해 기판(10)의 공정 위치를 설정할 수 있고, 기판(10)의 로딩 및 언로딩을 용이하게 수행할 수도 있으며, 복수의 기판(10)에 공정 가스를 순차적으로 균일하게 공급할 수 있다. 이때, 구동부(220)로 모터를 구비하는 스테이지를 사용할 수 있다. 그리고, 구동부(220)는 공정 챔버(100)의 외측에 마련되는 것이 효과적인데, 이를 통해 구동부(220)의 움직임에 의한 파티클 발생을 방지할 수 있다. 여기서, 연결축(230)에 의해 구동부(220)의 구동력(상승 및 하강력 그리고 회전력)이 기판 지지대(210)에 전달된다. 연결축(230)은 공정 챔버(100)의 바닥면을 관통하여 기판 지지대(210)에 접속된다. 이때, 연결축(230)이 관통하는 공정 챔버(100)의 관통홀 영역에는 공정 챔버(100)의 밀봉을 위한 밀봉 수단(240)이 마련될 수 있다.The substrate supporting unit 200 includes a substrate supporting table 210 for supporting the substrate 10, a driving unit 220 for moving the substrate supporting table 210 up and down, a connecting shaft 220 connecting the driving unit 220 and the substrate supporting table 210, (230). Further, although not shown, it may further include a plurality of lift pin portions for loading and unloading the substrate 10. At least one substrate 10 is provided on the substrate support 210. The substrate 10 may be provided in a substantially rectangular plate shape or may be provided in a circular shape. That is, the rectangular plate-like substrate 10 may include a flat panel display such as an LCD or the like, and a glass substrate used for manufacturing a solar cell, and the circular substrate 10 may be used for manufacturing a semiconductor memory or a light- A silicon wafer or a sapphire wafer to be used, and the like. On the other hand, when the substrate 10 is provided in a circular shape, a plurality of the substrates 10 may be radially arranged on the substrate support 210, for example. That is, a plurality of the substrates 10 may be arranged in a circular shape with a predetermined spacing about the center of the substrate support 210. In this embodiment, the case where the substrate 10 has a rectangular shape and the gas distribution portion 300 has a rectangular shape will be described. Meanwhile, the substrate support 210 may be formed in the shape of the substrate 10, and may have a circular or substantially rectangular shape depending on the shape of the substrate 10. Of course, the substrate support 210 may have a shape that does not match the shape of the substrate 10. The substrate support 210 may include temperature control means for heating and cooling the substrate 10 therein. Thus, the substrate 10 can be heated to the process temperature. That is, the substrate 10 can be heated to a predetermined temperature by heating the substrate support 210 using the temperature control means, and a predetermined thin film can be formed on the heated substrate 10. At this time, the temperature controlling means may be provided inside or on the surface of the substrate support 210, and a separate heating means may be located below the substrate support 210. On the other hand, the substrate support 210 can be raised and lowered by the driving unit 220 and rotated. In this way, the process position of the substrate 10 can be set, the loading and unloading of the substrate 10 can be easily performed, and the process gas can be supplied uniformly and sequentially to the plurality of substrates 10. At this time, a stage having a motor as the driving unit 220 may be used. Also, it is effective that the driving unit 220 is provided outside the process chamber 100, and the generation of particles due to the movement of the driving unit 220 can be prevented. Here, the driving force (rising and falling force and rotational force) of the driving unit 220 is transmitted to the substrate support table 210 by the connection shaft 230. The connection shaft 230 is connected to the substrate support 210 through the bottom surface of the process chamber 100. At this time, a sealing means 240 for sealing the process chamber 100 may be provided in the through-hole region of the process chamber 100 through which the connection shaft 230 passes.

가스 분배부(300)는 공정 챔버(100) 내의 상부에 기판 안치판(210)과 대향하는 위치에 마련되며, 공정 가스를 공정 챔버(100)의 하측으로 분사한다. 가스 분배부(300)는 기판(10) 또는 기판 지지대(210)의 형상에 따라 예를 들어 원형 또는 직사각형 형상으로 제작될 수 있으며, 내부에 소정의 공간이 마련되도록 제작된다. 즉, 가스 분배부(300)는 도 2 및 도 3에 도시된 바와 같이 예를 들어 대략 직사각형의 상부판(310)과 하부판(320)이 소정의 간격으로 이격되고 이들 사이의 공간을 밀폐하도록 측벽판(330)이 형성된 구조로 제작된다. 또한, 상부판(310)과 하부판(320) 사이의 내부 공간에 온도 조절 부재(340)가 더 마련된다.The gas distributor 300 is provided at a position opposed to the substrate positioning plate 210 at an upper portion in the process chamber 100 and injects the process gas to the lower side of the process chamber 100. The gas distributor 300 may be formed in a circular or rectangular shape, for example, according to the shape of the substrate 10 or the substrate support 210, and may be formed to have a predetermined space therein. 2 and 3, the gas distributor 300 includes a top plate 310 and a bottom plate 320 spaced apart from each other by a predetermined distance, for example, The plate 330 is formed. Further, a temperature regulating member 340 is further provided in an internal space between the top plate 310 and the bottom plate 320.

이러한 가스 분배부(300)는 상부판(310)이 챔버 리드(120)와 접촉되어 결합되고, 상부판(310)의 예를 들어 중앙부를 포함한 적어도 어느 한 부분에 제 1 연결홀(312)이 마련되고, 제 1 연결홀(312)와 이격되어 각각 적어도 하나의 제 2 및 제 3 연결홀(314, 316)이 형성될 수 있다. 제 1 연결홀(312)은 가스 공급부(400)의 가스 공급관(410)과 연결되고, 제 2 및 제 3 연결홀(314, 316)은 온도 조절 부재(340)에 냉매를 공급 및 배출하기 위한 냉매 공급관(342) 및 냉매 배출관(344)이 연결된다. 여기서, 가스 공급관(410)은 챔버 리드(120)를 관통하여 가스 분배부(300)의 상부판(310)과 연결되고, 제 1 가스 공급관(412)과 제 2 가스 공급관(414)이 소정 간격 이격되어 연결될 수 있다.The gas distribution unit 300 includes a top plate 310 coupled to the chamber lid 120 and a first connection hole 312 formed in at least one portion of the top plate 310, And at least one second and third connection holes 314 and 316 may be formed to be spaced apart from the first connection hole 312. The first and second connection holes 312 and 312 are connected to the gas supply pipe 410 of the gas supply unit 400 and the second and third connection holes 314 and 316 are connected to the temperature control member 340 The refrigerant supply pipe 342 and the refrigerant discharge pipe 344 are connected. Here, the gas supply pipe 410 is connected to the top plate 310 of the gas distribution unit 300 through the chamber lid 120, and the first gas supply pipe 412 and the second gas supply pipe 414 are connected to each other at a predetermined interval Can be spaced apart and connected.

그리고, 하부판(320)에는 기판(10)에 공정 가스를 분사하기 위한 복수의 분사홀(322)이 형성된다. 복수의 분사홀(322)은 다양한 패턴으로 형성될 수 있는데, 기판(10) 상에 공정 가스가 균일하게 분사될 수 있는 패턴으로 형성되는 것이 바람직하다. 예를 들어, 복수의 분사홀(322)은 다양한 크기로 형성될 수 있는데, 하부판(320)의 중앙부는 홀의 크기를 줄이고 외곽으로 갈수록 홀의 크기를 증가시킬 수 있다. 이는 가스 공급관(410)과 대응되는 하부판(320)의 중앙부가 더 많은 공정 가스를 분사할 수 있기 때문에 중앙부로부터 분사되는 공정 가스를 줄이고 외곽으로 갈수록 공정 가스의 분사량을 증가시켜 기판(10)의 전 영역에 균일한 양으로 공정 가스를 공급하기 위함이다. 뿐만 아니라, 복수의 분사홀(322)을 동일한 크기로 형성할 수 있는데, 이 경우 중앙부에는 분사홀(322)의 간격을 늘리고 외곽으로 갈수록 분사홀(322) 사이의 간격을 줄일 수 있다.A plurality of injection holes 322 for injecting the process gas into the substrate 10 are formed on the lower plate 320. The plurality of ejection holes 322 may be formed in various patterns, and it is preferable that the ejection holes 322 are formed on the substrate 10 in such a pattern that the process gas can be uniformly injected. For example, the plurality of injection holes 322 may be formed in various sizes. The center of the lower plate 320 may reduce the size of the holes and increase the size of the holes toward the outskirts. Since the central portion of the lower plate 320 corresponding to the gas supply pipe 410 can inject more process gas, the process gas injected from the central portion can be reduced, So as to supply the process gas in a uniform amount to the region. In addition, the plurality of injection holes 322 may be formed to have the same size. In this case, the interval between the injection holes 322 may be increased in the central portion and the interval between the injection holes 322 may be decreased toward the outer portion.

또한, 온도 조절 부재(340)는 상부판(310)과 하부판(320) 사이의 가스 분배부(300) 내부에 마련된다. 온도 조절 부재(340)는 내부에 소정의 공간이 마련된 소정의 판 형상으로 마련될 수 있으며, 예를 들어 직사각형, 원형 등 상부판 및 하부판(310, 320)와 동일 형상을 가질 수 있다. 또한, 온도 조절 부재(340)는 측면이 측벽판(330)의 내측면과 맞닿게 설치되어 가스 분배부(300)의 내부 공간을 상하로 분할할 수 있다. 이러한 온도 조절 부재(340)는 내부 공간에 냉각수 등의 냉매가 유동할 수 있다. 즉, 온도 조절 부재(340)의 내부에 냉매가 유동하는 냉매 유로(348)가 형성될 수 있고, 별도의 냉매 유로가 형성되지 않고 내부 전체에 냉매가 유돌할 수 있다. 냉매 유로(348)가 형성되는 경우 온도 조절 부재(340)의 상부판 및 하부판 사이에 격벽이 형성되고 격벽에 의해 소정 형상의 냉매 유로(348)가 형성될 수 있다. 이러한 온도 조절 부재(340)는 각각 적어도 하나의 냉매 공급관(342) 및 냉매 배출관(344)이 상부를 관통하여 연결될 수 있다. 즉, 냉매 공급관(342) 및 냉매 배출관(344)은 챔버 리드(120), 가스 분배부(300)의 상부판(320), 그리고 온도 조절 부재(340)의 상부를 관통하여 온도 조절 부재(340)의 내부 공간과 연결될 수 있다. 이때, 냉매 공급관(342)로부터 공급된 냉매가 온도 조절 부재(340) 내부를 순환하여 냉매 배출관(344)로 배출되도록 냉매 공급관(342)과 냉매 배출관(344)은 되도록 멀리 이격되는 것이 바람직하다. 물론, 냉매 공급관(342) 및 냉매 배출관(344)은 다양한 방식으로 온도 조절 부재(340)와 연결될 수 있다. 예를 들어, 가스 분배부(300)의 측벽판(330)을 통해 온도 조절 부재(340) 내부로 냉매가 공급되고 배출될 수 있다. 이를 위해 온도 조절 부재(340)의 측면에 냉매 공급구(미도시) 및 냉매 배출구(미도시)가 적어도 하나 각각 형성되고, 이와 동시에 가스 분배부(300)의 측벽판(330)에도 온도 조절 부재(340)의 냉매 공급구 및 냉매 배출구와 각각 연결되는 냉매 공급구 및 냉매 배출구가 각각 적어도 하나 형성되어 냉매 공급관(342) 및 냉매 배출관(344)이 측벽판(330)의 냉매 공급구 및 냉매 배출구와 연결될 수도 있다. 또한, 온도 조절 부재(340)는 복수의 관통홀(346)이 형성된다. 즉, 가스 공급관(410)을 통해 가스 분배부(300)의 상부판(310)과 온도 조절 부재(340) 사이의 공간으로 공급된 공정 가스를 하부판(320)의 분사홀(322)를 통해 기판(10) 상으로 분사될 수 있도록 온도 조절 부재(340)에는 상하 관통하는 복수의 관통홀(346)이 형성된다. 이때, 관통홀(346)의 형상은 분사홀(322)의 형상과 동일할 수 있다. 즉, 중앙부로 가장자리로 갈수록 관통홀(346)의 크기가 커질 수 있고, 관통홀(346)의 밀도가 조밀해질 수 있다. 그러나, 관통홀(346)의 형상은 분사홀(322)의 형상과 다르게 모든 영역에서 동일 크기 및 동일 밀도로 형성될 수도 있다. 한편, 온도 조절 부재(340)는 상부판(310) 및 하부판(320) 사이의 중앙 영역에 위치할 수 있으나, 어느 한쪽으로 치우쳐 위치할 수도 있는데, 예를 들어 공정 챔버(100) 내부의 온도에 영향을 더 받을 수 있는 하부판(320)측으로 치우쳐 위치할 수도 있다. 이러한 온도 조절 부재(340)는 가스 분배부(300) 내부의 온도를 공정 가스의 반응 온도 이하의 온도, 즉 공정 챔버(100) 내부의 온도보다 낮게 유지하도록 한다. 따라서, 가스 분배부(300) 내부에서 공정 가스가 반응하지 않아 파티클이 생성되지 않고, 그에 따라 분사홀(312)의 막힘 현상을 방지할 수 있다. 한편, 온도 조절 부재(340)는 가스 분배부(300) 내부의 냉각 뿐만 아니라 가스 분배부(300) 내부를 가열할 수도 있다. 즉, 온도 조절 부재(340)의 냉매에 의해 가스 분배부(300) 내부의 온도가 너무 낮아져 오히려 공정 가스의 냉각에 의한 파티클이 생성될 수 있는데, 이를 방지하기 위해 온도 조절 부재(340)에 열선 등의 가열 수단(미도시)이 마련될 수 있다. 가열 수단이 마련되는 경우 냉매 유로와 다른 경로, 예를 들어 냉매 유로 사이에 열선이 형성될 수 있다. 또한, 온도 조절 부재(340)는 복수로 분할 제작되어 결합 및 분할이 가능할 수 있다.The temperature regulating member 340 is provided inside the gas distribution unit 300 between the top plate 310 and the bottom plate 320. The temperature regulating member 340 may be formed in a predetermined plate shape having a predetermined space therein. For example, the temperature regulating member 340 may have the same shape as the upper plate and the lower plates 310 and 320, such as a rectangle or a circle. In addition, the temperature adjusting member 340 may be provided so as to abut the inner surface of the side wall plate 330 so that the inner space of the gas distributing unit 300 can be vertically divided. In this temperature regulating member 340, a coolant such as cooling water may flow into the inner space. That is, the refrigerant flow path 348 through which the refrigerant flows can be formed inside the temperature adjusting member 340, and the refrigerant can flow into the entire interior without forming a separate refrigerant flow path. When the refrigerant passage 348 is formed, a partition wall is formed between the upper plate and the lower plate of the temperature control member 340, and a refrigerant passage 348 having a predetermined shape can be formed by the partition wall. The temperature regulating member 340 may be connected to at least one refrigerant supply pipe 342 and a refrigerant discharge pipe 344, respectively, through the upper portion. That is, the refrigerant supply pipe 342 and the refrigerant discharge pipe 344 pass through the chamber lid 120, the top plate 320 of the gas distribution unit 300, and the temperature regulating member 340, As shown in FIG. At this time, it is preferable that the refrigerant supply pipe 342 and the refrigerant discharge pipe 344 are spaced as far as possible so that the refrigerant supplied from the refrigerant supply pipe 342 circulates inside the temperature adjusting member 340 and is discharged to the refrigerant discharge pipe 344. Of course, the refrigerant supply pipe 342 and the refrigerant discharge pipe 344 can be connected to the temperature control member 340 in various ways. For example, the refrigerant may be supplied into and discharged from the temperature control member 340 through the side wall plate 330 of the gas distribution unit 300. At least one of a coolant supply port (not shown) and a coolant discharge port (not shown) is formed on the side surface of the temperature regulating member 340. At the same time, The coolant supply port 342 and the coolant discharge port 344 are connected to the coolant supply port of the side wall plate 330 and the coolant discharge port of the side wall plate 330, Lt; / RTI > Further, the temperature regulating member 340 has a plurality of through holes 346 formed therein. The process gas supplied to the space between the top plate 310 and the temperature regulating member 340 of the gas distribution unit 300 through the gas supply pipe 410 is injected through the injection hole 322 of the bottom plate 320, A plurality of through holes 346 are vertically formed in the temperature control member 340 so as to be sprayed onto the substrate 10. At this time, the shape of the through hole 346 may be the same as the shape of the injection hole 322. That is, the size of the through-hole 346 can be increased and the density of the through-hole 346 can be increased toward the edge toward the center. However, the shape of the through-hole 346 may be formed in the same size and the same density in all regions different from the shape of the injection hole 322. [ The temperature regulating member 340 may be located at a central region between the top plate 310 and the bottom plate 320 but may be biased to either side. And may be biased toward the lower plate 320 side where the influence can be further exerted. The temperature regulating member 340 keeps the temperature inside the gas distributing unit 300 lower than the reaction temperature of the process gas, that is, the temperature inside the process chamber 100. Therefore, the process gas does not react within the gas distribution unit 300, so that no particles are generated, and clogging of the injection hole 312 can be prevented. The temperature control member 340 may heat the inside of the gas distribution unit 300 as well as the inside of the gas distribution unit 300. That is, the temperature inside the gas distribution unit 300 may be too low due to the refrigerant of the temperature control member 340, and particles may be generated by cooling the process gas. To prevent this, (Not shown) may be provided. When the heating means is provided, a heat ray may be formed between the refrigerant path and another path, for example, the refrigerant path. Also, the temperature regulating member 340 may be divided into a plurality of parts and may be combined and divided.

가스 공급부(400)는 공정 가스를 가스 분배부(300)에 공급하는 가스 공급관(410)과, 공정 가스를 저장하는 가스 공급원(420)을 포함한다. 가스 공급부(400)는 예를 들어 기판(10) 상에 소정 박막의 증착 가스, 식각 가스 등이 공급될 수 있다. 즉, 가스 공급부(400)를 통해 다양한 가스를 공급함으로써 공정 챔버(100) 내에서 박막 증착, 식각 등 다양한 공정을 진행할 수 있다. 예를 들어, 가스 공급원(420)은 SiH4 등의 소오스 가스, O2, O3 등의 반응 가스, Ar, N2 등의 퍼지 가스를 각각 공급할 수 있다. 여기서, 가스 공급원(420)은 복수의 공정 가스를 각각 공급하기 위해 복수로 마련될 수 있고, 가스 공급관(410)도 가스 공급원(420)의 수에 대응되는 수로 마련될 수 있다. 한편, 가스 공급원(420)으로부터 공급되는 공정 가스의 유량 및 공급을 제어하기 위해 가스 공급원(420)과 가스 공급관(410) 사이에 유량 제어기(미도시)가 마련될 수 있으며, 밸브 및 질량 흐름 제어기 등을 포함할 수 있다.The gas supply part 400 includes a gas supply pipe 410 for supplying the process gas to the gas distribution part 300 and a gas supply source 420 for storing the process gas. The gas supply part 400 may be provided with a predetermined thin film deposition gas, an etching gas, or the like on the substrate 10, for example. That is, various processes such as thin film deposition, etching, and the like can be performed in the process chamber 100 by supplying various gases through the gas supply unit 400. For example, the gas supply source 420 can supply a source gas such as SiH 4 , a reaction gas such as O 2 and O 3 , and a purge gas such as Ar and N 2 . Here, the gas supply source 420 may be provided in plural to supply a plurality of process gases, respectively, and the gas supply pipe 410 may be provided in a number corresponding to the number of the gas supply sources 420. On the other hand, a flow controller (not shown) may be provided between the gas supply source 420 and the gas supply pipe 410 to control the flow rate and supply of the process gas supplied from the gas supply source 420, And the like.

한편, 도시되지 않았지만, 공정 챔버(100) 내부에 공정 가스의 플라즈마를 발생시키기 위한 플라즈마 발생부가 더 마련될 수 있다. 플라즈마 발생부는 공정 챔버(100)의 상부, 즉 챔버 리드(120)의 상부에 마련되어 공정 챔버(100) 내부로 공급된 공정 가스를 이온화하여 플라즈마를 생성시키는 전기장을 유도한다. 플라즈마 발생부는 공정 챔버(100)의 상부에 인접하여 설치되는 안테나(미도시)를 포함할 수 있다. 안테나은 다수의 턴으로 감긴 나선형으로 마련되거나, 동심원 형태로 배치되어 서로 연결된 다수의 원형 코일을 포함할 수도 있다. 그러나, 안테나는 나선형 코일 또는 동심원상의 원형 코일뿐만 아니라 여러 가지 다른 형태를 가진 코일로 이루어질 수도 있고, 상부 안테나 및 하부 안테나의 복층 구조로 이루어질 수도 있다. 안테나가 복층 구조로 이루어질 경우 상부 안테나는 하부 안테나에 의해 생성되는 플라즈마의 밀도가 낮은 부위, 예컨대 기판(10)의 가장자리 부위에 대응하는 위치에 배치될 수 있다. 따라서, 상부 안테나에 의해 기판 가장자리 부위의 플라즈마 밀도가 높아지게 되어 기판(10)의 반경 방향 전체에 걸쳐 플라즈마 밀도 분포의 균일성을 확보할 수 있게 된다. 한편, 안테나은 구리 등의 도전성 재료로 제작되며, 내부가 빈 관 형상으로 제작될 수 있다. 안테나가 관 형상으로 제작되는 경우 냉각수 또는 냉매가 흐를 수 있기 때문에 안테나의 온도 상승을 억제할 수 있다. 또한, 안테나는 일 단부가 RF 전원과 연결되고, 타 단부는 접지 단자와 연결된다. 따라서, 안테나은 RF 전원으로부터 공급받은 RF 전원에 따라 공정 챔버(100) 내부에서 플라즈마를 발생시키게 된다. 한편, 안테나가 하부 안테나와 상부 안테나의 복층 구조로 이루어질 경우 하부 안테나와 상부 안테나는 하나의 RF 전원에 연결될 수 있고, 각각 다른 RF 전원에 연결될 수도 있다. 특히, 하부 안테나와 상부 안테나를 하나의 RF 전원에 함께 연결하는 경우 두 안테나는 RF 전원에 병렬로 연결되는 것이 자체 인덕턴스가 감소하게 되므로 바람직하다. 즉, 두 안테나를 병렬로 연결하게 되면 인덕턴스가 낮아지게 되므로 플라즈마 방사 효율이 높아지게 되는 장점이 있다. 또한, RF 전원과 안테나 사이에 정합 회로가 마련될 수 있다. 따라서, 플라즈마 발생부는 안테나에 RF 전류가 흐르게 되어 자기장이 발생되고, 이 자기장 내의 자속의 시간에 따른 변화에 의해 공정 챔버(100) 내부에는 전기장이 유도된다. 유도 전기장은 가스 공급부(400)를 통해 공정 챔버(100) 내부로 유입된 공정 가스를 이온화시켜 플라즈마를 생성하게 된다.Although not shown, a plasma generating unit for generating a plasma of the process gas may be further provided in the process chamber 100. The plasma generator is provided at an upper portion of the process chamber 100, that is, at an upper portion of the chamber lid 120, to ionize the process gas supplied into the process chamber 100 to induce an electric field for generating plasma. The plasma generating part may include an antenna (not shown) installed adjacent to an upper portion of the process chamber 100. The antenna may be spirally wound in a plurality of turns or may include a plurality of circular coils arranged in concentric circles and connected to each other. However, the antenna may be a spiral coil or a concentric circular coil, as well as a coil having various other shapes, or may have a multi-layer structure of an upper antenna and a lower antenna. When the antenna is of a multi-layer structure, the upper antenna may be disposed at a position corresponding to a lower density region of the plasma generated by the lower antenna, for example, an edge portion of the substrate 10. [ Accordingly, the plasma density at the edge portion of the substrate is increased by the upper antenna, and uniformity of the plasma density distribution can be ensured over the entire radial direction of the substrate 10. [ On the other hand, the antenna is made of a conductive material such as copper, and the inside can be formed into an empty tube shape. When the antenna is manufactured in a tubular shape, cooling water or a refrigerant can flow, thereby suppressing an increase in the temperature of the antenna. Further, the antenna has one end connected to the RF power source and the other end connected to the ground terminal. Accordingly, the antenna generates plasma in the process chamber 100 according to the RF power supplied from the RF power source. Meanwhile, when the antenna has a multi-layer structure of a lower antenna and an upper antenna, the lower antenna and the upper antenna may be connected to one RF power source, or may be connected to different RF power sources. Particularly, when the lower antenna and the upper antenna are connected together to one RF power source, it is preferable that the two antennas are connected in parallel to the RF power source because the self inductance is reduced. That is, if the two antennas are connected in parallel, the inductance is lowered, and the plasma radiation efficiency is increased. Further, a matching circuit may be provided between the RF power source and the antenna. Accordingly, a RF current flows through the antenna to generate a magnetic field, and an electric field is induced in the process chamber 100 due to a change with time of the magnetic flux in the magnetic field. The induced electric field ionizes the process gas introduced into the process chamber 100 through the gas supply unit 400 to generate a plasma.

상기한 바와 같이 본 발명의 일 실시 예에 따른 기판 처리 장치는 공정 챔버(100)에 공정 가스를 분사하는 가스 분배부(300) 내부에 온도 조절 부재(340)를 마련하여 가스 분배부(300) 내부의 온도를 조절한다. 즉, 온도 조절 부재(340)를 이용하여 가스 분배부(300) 내부의 온도를 공정 챔버(100) 내부의 온도보다 낮게 유지할 수 있다. 따라서, 가스 분배부(300) 내부에서 공정 가스의 반응을 방지할 수 있고, 그에 따른 파티클 생성을 억제할 수 있는 분사홀(322)의 막힘을 방지할 수 있다. 또한, 온도 조절 부재(340)를 이용하여 가스 분배부(300) 내부의 온도를 상승시킬 수 있어 가스 분배부(300)의 온도가 너무 낮아져 공정 가스의 냉각에 의한 파티클 생성을 억제할 수 있다. As described above, the substrate processing apparatus according to the embodiment of the present invention includes the temperature control member 340 in the gas distribution unit 300 for spraying the process gas into the process chamber 100, Adjust the internal temperature. That is, the temperature inside the gas distribution unit 300 can be kept lower than the temperature inside the process chamber 100 by using the temperature regulating member 340. Therefore, it is possible to prevent the reaction of the process gas in the gas distribution portion 300 and to prevent the clogging of the injection holes 322 which can suppress the generation of particles. In addition, the temperature inside the gas distribution unit 300 can be increased by using the temperature control member 340, so that the temperature of the gas distribution unit 300 becomes too low, and generation of particles due to cooling of the process gas can be suppressed.

또한, 본 발명의 실시 예들에 따른 가스 분배부(300)는 온도 조절 부재(340)가 판 형상 뿐만 아니라 도 4에 도시된 바와 같이 라인 형상으로 제작될 수도 있다. 라인 형상의 온도 조절 부재(340)는 상부판(310)과 하부판(320) 사이의 공간에 평행하게 마련될 수도 있고, 수직하게 마련될 수도 있으며, 평행 및 수직을 조합하여 마련될 수도 있다. 라인 형상의 온도 조절 부재(340)가 평행하게 마련되는 경우 서로 마주보는 두 측벽판(330) 사이에 굴곡지게 연장 형성될 수 있다. 라인 형상의 온도 조절 부재(340)가 수직하게 마련되는 경우 상부판(310)과 하부판(320) 사이에 굴곡지게 형성될 수 있다.In addition, in the gas distribution unit 300 according to the embodiments of the present invention, the temperature regulating member 340 may be formed into a plate shape as well as a line shape as shown in FIG. The line-shaped temperature regulating member 340 may be provided parallel to the space between the top plate 310 and the bottom plate 320, may be provided vertically, or may be provided in combination of parallel and perpendicular. When the line-shaped temperature regulating members 340 are provided in parallel, they can be bent and extended between the two side wall plates 330 facing each other. When the line-shaped temperature regulating member 340 is provided vertically, it may be bent between the top plate 310 and the bottom plate 320.

그리고, 온도 조절 부재(340)는 도 5에 도시된 바와 같이 가스 분배부(300) 내부의 일부 영역에 마련될 수도 있다. 즉, 공정 챔버(100)의 온도에 의해 많은 영향을 받는 가스 분배부(300) 내부의 중앙 영역을 포함하여 70%∼80%의 면적으로 온도 조절 부재(340)가 마련될 수 있다. 물론, 라인 형상의 온도 조절 부재(340)가 마련되는 경우에도 중앙 영역에 조밀하도록 온도 조절 부재(340)가 마련될 수 있다. 한편, 상기한 실시 예들은 온도 조절 부재(340)가 상부판(310)과 하부판(320) 사이의 중앙부에 마련되는 경우를 예시하였으나, 도 6 및 도 7에 도시된 바와 같이 온도 조절 부재(340)가 하부판(320) 또는 상부판(310)의 내표면에 접촉되거나 이격되어 마련될 수도 있다.The temperature control member 340 may be provided in a part of the interior of the gas distribution unit 300 as shown in FIG. That is, the temperature adjusting member 340 may be provided in an area of 70% to 80% including the central region inside the gas distribution unit 300, which is greatly affected by the temperature of the process chamber 100. Of course, even when the line-shaped temperature regulating member 340 is provided, the temperature regulating member 340 may be provided in the central region. 6 and 7, the temperature regulating member 340 may be disposed at a central portion between the upper plate 310 and the lower plate 320. However, as shown in FIGS. 6 and 7, May be provided in contact with or spaced from the inner surface of the bottom plate 320 or the top plate 310.

또한, 본 발명에 따른 온도 조절 부재(340)는 적어도 둘 이상으로 분할되어 구성될 수도 있다. 예를 들어, 온도 조절 부재(340)는 도 8 내지 도 11에 도시된 바와 같이 가스 분배부(300)의 중앙부에 형성된 제 1 연결홀(312)를 중심으로 둘로 분할될 수도 있고, 도 12 및 도 13에 도시된 바와 같이 셋 이상으로 분할될 수도 있다. 이때, 도 8에 도시된 바와 같이 제 1 및 제 2 온도 조절 부재(340a, 340b)는 제 1 연결홀(312)의 간격과 동일 간격을 유지할 수도 있고, 도 9에 도시된 바와 같이 가스 분배부(300)의 중앙부를 중심으로 소정 간격 이격되어 마련될 수 있다. 또한, 제 1 및 제 2 온도 조절 부재(340a, 340b)는 동일 높이에 마련될 수도 있고, 서로 다른 높이로 마련될 수도 있다. 예를 들어, 도 10에 도시된 바와 같이 제 1 및 제 2 온도 조절 부재(340a, 340b)는 상부판(310)에 접촉되거나 소정 간격 이격되어 동일 높이에 마련될 수도 있고, 도 11에 도시된 바와 같이 제 1 온도 조절 부재(340a)는 상부판(310)에 접촉되어 마련되고 제 2 온도 조절 부재(340b)는 상부판(310)과 소정 간격 이격되어 서로 다른 높이에 마련될 수도 있다. 한편, 온도 조절 부재(340)가 셋 이상으로 분할될 경우에도 도 12 및 도 13에 도시된 바와 같이 제 1, 제 2 및 제 3 온도 조절 부재(340a, 340b, 340c)는 적어도 어느 하나가 서로 다른 높이에 마련될 수 있다. 여기서, 온도 조절 부재(340)가 복수로 분할되는 경우 각 온도 조절 부재(340)에는 냉매 유입관(342) 및 냉매 배출관(344)가 별도로 마련된다.Also, the temperature adjusting member 340 according to the present invention may be divided into at least two or more. For example, as shown in FIGS. 8 to 11, the temperature control member 340 may be divided into two parts around the first connection hole 312 formed at the center of the gas distribution part 300, It may be divided into three or more as shown in FIG. 8, the first and second temperature control members 340a and 340b may maintain the same interval as the interval of the first connection holes 312, And may be spaced apart from each other by a predetermined distance. The first and second temperature regulating members 340a and 340b may be provided at the same height or at different heights. For example, as shown in FIG. 10, the first and second temperature control members 340a and 340b may be provided at the same height by being in contact with or spaced apart from the upper plate 310, The first temperature adjusting member 340a may be provided in contact with the top plate 310 and the second temperature adjusting member 340b may be provided at a different height from the top plate 310 by a predetermined distance. 12 and 13, at least one of the first, second, and third temperature regulating members 340a, 340b, and 340c may be formed of at least one of the temperature regulating members 340a, 340b, and 340c, Can be provided at different heights. Here, when the temperature regulating member 340 is divided into a plurality of parts, the refrigerant inflow pipe 342 and the refrigerant discharge pipe 344 are separately provided in the respective temperature regulating members 340.

한편, 본 발명의 실시 예에 따른 가스 분배부(300)는 측면에 제 2 분사홀(미도시)이 형성될 수 있다. 이러한 제 2 분사홀은 대략 직사각형 형태의 기판(10)에 공정 가스를 분사하는 대략 직사각형 형태의 가스 분배부(300)에 적용될 수 있다. 즉, 분사홀(312)만으로는 기판(10)의 전 영역에 균일한 가스 공급에 한계가 있고, 특히 직사각형 기판(10)의 모서리 부분에는 다른 영역보다 적은 양의 공정 가스가 공급된다. 따라서, 기판(10)의 모서리 부분에 공정 가스의 분사량을 증가시키기 위해 가스 분배부(300)는 측벽판(330)의 소정 영역에 복수의 제 2 분사홀(332)이 마련된다. 예를 들어, 제 2 분사홀은 사각 형상의 가스 분배부(300)의 모서리 부분에 인접한 두 측벽판(330)에 마련된다. 즉, 제 2 분사홀은 네개의 모서리에 각각 인접한 측벽판(330)에 서로 대칭되는 영역에 마련될 수 있다. 이렇게 제 2 분사홀이 마련됨으로써 공정 가스가 기판(10)의 모서리 부분에 더 많이 분사되도록 한다. 이때, 제 2 분사홀은 측벽판(330)의 상부로부터 하부로 복수 형성되는데, 복수의 제 2 분사홀은 동일한 직경으로 형성될 수 있고, 상부에서 하부로 갈수록 직경이 다르게 형성될 수도 있으며, 모서리 부분에서 측면으로 갈수록 직경이 다르게 형성될 수 있다. 또한, 제 2 분사홀는 하부판(320)과 온도 조절 부재(340) 사이의 공간에 대응되는 영역에 형성될 수 있으며, 상부판(310)과 온도 조절 부재(340) 사이의 공간에 대응되는 영역에 형성될 수 있고, 두 영역 모두에 형성될 수도 있다. 이렇게 제 2 분사홀이 형성됨으로써 기판(10) 모서리 부분의 공정 가스의 공급량을 증가시킬 수 있고, 그에 따라 기판(10) 모서리 부분의 막질 저하를 방지할 수 있어 기판(10)의 전체 영역에 일정한 막질의 박막을 형성할 수 있다.Meanwhile, a second injection hole (not shown) may be formed on a side surface of the gas distribution part 300 according to an embodiment of the present invention. This second injection hole can be applied to a substantially rectangular gas distribution portion 300 that injects a process gas into the substantially rectangular substrate 10. In other words, only the injection holes 312 limit the uniform gas supply to the entire region of the substrate 10, and in particular, a smaller amount of the process gas is supplied to the corner portions of the rectangular substrate 10 than other regions. Therefore, in order to increase the injection amount of the process gas at the edge portion of the substrate 10, the gas distribution portion 300 is provided with a plurality of second injection holes 332 in a predetermined region of the side wall plate 330. For example, the second injection hole is provided in the two side wall plates 330 adjacent to the corner portions of the rectangular gas distribution portion 300. That is, the second ejection holes may be provided in the areas symmetrical to each other on the side wall plates 330 adjacent to the four corners. Thus, the second injection hole is provided so that the process gas is injected to the corner portion of the substrate 10 more. At this time, a plurality of second injection holes are formed from the upper portion to the lower portion of the side wall plate 330. The plurality of second injection holes may be formed to have the same diameter, and may have different diameters from the upper portion to the lower portion, The diameter may be formed differently from the side to the side. The second injection hole may be formed in a region corresponding to the space between the lower plate 320 and the temperature regulating member 340 and may be formed in a region corresponding to the space between the upper plate 310 and the temperature regulating member 340 And may be formed in both regions. By forming the second ejection holes in this manner, the amount of process gas supplied to the edge portion of the substrate 10 can be increased, thereby preventing deterioration of film quality at the edge portion of the substrate 10, A film-like thin film can be formed.

본 발명의 기술적 사상은 상기 실시 예에 따라 구체적으로 기술되었으나, 상기 실시 예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주지해야 한다. 또한, 본 발명의 기술분야에서 당업자는 본 발명의 기술 사상의 범위 내에서 다양한 실시 예가 가능함을 이해할 수 있을 것이다.Although the technical idea of the present invention has been specifically described according to the above embodiments, it should be noted that the above embodiments are for explanation purposes only and not for the purpose of limitation. It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit and scope of the invention.

100 : 공정 챔버 200 : 기판 안치부
300 : 가스 분배부 400 : 가스 공급부
310 : 상부판 320 : 하부판
330 : 측벽판 340 : 온도 조절 부재
100: Process chamber 200: Substrate chamber
300: gas distribution part 400: gas supply part
310: top plate 320: bottom plate
330: side wall plate 340: temperature adjusting member

Claims (19)

기판 상에 공정 가스를 분사하는 가스 분배 장치로서,
상부판과, 복수의 분사홀이 형성된 하부판을 포함하는 가스 분배부; 및
내부에 온도 조절 수단이 마련되고, 상기 가스 분배부의 내부 공간을 상하로 분할하며, 복수의 관통홀이 형성된 판형 부재;를 포함하고,
상기 상부판과 판형 부재 사이의 공간으로 공급된 공정 가스는 상기 복수의 관통홀을 통하여 상기 판형 부재와 하부판 사이의 공간으로 공급되고, 상기 판형 부재와 하부판 사이의 공간으로 공급된 공정 가스는 상기 복수의 분사홀을 통하여 기판 상에 분사되는 가스 분배 장치.
A gas distribution device for injecting a process gas onto a substrate,
A gas distribution unit including a top plate and a bottom plate having a plurality of injection holes; And
And a plate-like member provided with a temperature controlling means therein and dividing an inner space of the gas distributing unit into upper and lower portions and having a plurality of through holes,
Wherein the process gas supplied to the space between the upper plate and the plate member is supplied to the space between the plate member and the lower plate through the plurality of through holes and the process gas supplied to the space between the plate member and the lower plate comprises the plurality Wherein the gas is injected onto the substrate through the injection hole of the gas injection hole.
청구항 1에 있어서,
상기 온도 조절 수단은 냉각 수단을 포함하는 가스 분배 장치.
The method according to claim 1,
Wherein the temperature regulating means comprises cooling means.
청구항 2에 있어서,
상기 온도 조절 수단은 라인 형상으로 마련되고, 내부에 냉매가 유동하는 가스 분배 장치.
The method of claim 2,
Wherein the temperature regulating means is provided in a line shape, and the refrigerant flows inside the temperature regulating means.
청구항 3에 있어서,
상기 냉매를 공급하기 위한 냉매 공급관 및 상기 냉매를 배출하기 위한 냉매 배출관이 상기 상부판을 관통하여 상기 판형 부재의 적어도 일 측에 마련된 가스 분배 장치.
The method of claim 3,
A refrigerant supply pipe for supplying the refrigerant and a refrigerant discharge pipe for discharging the refrigerant are provided on at least one side of the plate member through the upper plate.
청구항 1에 있어서,
상기 판형 부재는 복수로 분할 및 결합 가능한 가스 분배 장치.
The method according to claim 1,
Wherein the plate-like member is capable of being divided and coupled in plural.
청구항 1에 있어서,
상기 판형 부재는 적어도 둘로 분할되고, 적어도 하나가 서로 다른 높이에 마련되는 가스 분배 장치.
The method according to claim 1,
Wherein the plate member is divided into at least two and at least one is provided at different heights.
청구항 1에 있어서,
상기 판형 부재는 상기 상부판과 하부판 사이에 70% 내지 80%의 면적으로 마련되는 가스 분배 장치.
The method according to claim 1,
Wherein the plate-like member is provided between the upper plate and the lower plate in an area of 70% to 80%.
청구항 1에 있어서,
상기 온도 조절 수단은 가열 수단을 포함하는 가스 분배 장치.
The method according to claim 1,
Wherein the temperature regulating means comprises heating means.
청구항 1에 있어서,
상기 가스 분배부는 상기 상부판과 하부판 사이의 측면에 마련된 측벽판을 더 포함하고,
상기 판형 부재는 측면이 상기 측벽판과 맞닿게 설치되는 가스 분배 장치.
The method according to claim 1,
The gas distribution unit may further include a side wall plate provided on a side surface between the top plate and the bottom plate,
Wherein the plate member is provided so as to abut a side surface of the side wall plate.
청구항 1에 있어서,
상기 상부판 및 하부판은 상기 기판의 형상으로 마련되는 가스 분배 장치.
The method according to claim 1,
Wherein the upper plate and the lower plate are provided in the shape of the substrate.
청구항 10에 있어서,
상기 상부판 및 하부판은 직사각형 형상으로 마련되고, 상기 측벽판의 모서리 부분에 제 2 분사홀이 국부적으로 더 형성된 가스 분배 장치.
The method of claim 10,
Wherein the top plate and the bottom plate are provided in a rectangular shape, and a second injection hole is formed locally at an edge portion of the side wall plate.
공정 챔버;
상기 공정 챔버의 내측에 서로 대향되게 마련된 기판 안치부 및 가스 분배부;
상기 가스 분배부와 연결되어 공정 가스를 공급하는 가스 공급부; 및
내부에 온도 조절 수단이 마련되고, 상기 가스 분배부의 내부 공간을 상하로 분할하며, 복수의 관통홀이 형성된 판형 부재;를 포함하고,
상기 상부판과 판형 부재 사이의 공간으로 공급된 공정 가스는 상기 복수의 관통홀을 통하여 상기 판형 부재와 하부판 사이의 공간으로 공급되고, 상기 판형 부재와 하부판 사이의 공간으로 공급된 공정 가스는 상기 복수의 분사홀을 통하여 기판 상에 분사되는 기판 처리 장치.
A process chamber;
A substrate holding part and a gas distribution part provided inside the process chamber so as to face each other;
A gas supply unit connected to the gas distribution unit to supply a process gas; And
And a plate-like member provided with a temperature controlling means therein and dividing an inner space of the gas distributing unit into upper and lower portions and having a plurality of through holes,
Wherein the process gas supplied to the space between the upper plate and the plate member is supplied to the space between the plate member and the lower plate through the plurality of through holes and the process gas supplied to the space between the plate member and the lower plate comprises the plurality Is injected onto the substrate through the injection hole of the substrate.
청구항 12에 있어서,
상기 공정 챔버 상부에 마련된 플라즈마 발생부를 더 포함하는 기판 처리 장치.
The method of claim 12,
And a plasma generating unit provided above the process chamber.
청구항 12 또는 청구항 13에 있어서,
상기 온도 조절 수단은 냉각 수단을 포함하는 기판 처리 장치.
The method according to claim 12 or 13,
Wherein the temperature control means includes cooling means.
청구항 12 또는 청구항 13에 있어서,
상기 온도 조절 수단은 가열 수단을 포함하는 기판 처리 장치.
The method according to claim 12 or 13,
Wherein the temperature regulating means comprises a heating means.
청구항 12 또는 청구항 13에 있어서,
상기 판형 부재는 적어도 둘로 분할되고, 적어도 하나가 서로 다른 높이에 마련되는 기판 처리 장치.
The method according to claim 12 or 13,
Wherein the plate member is divided into at least two and at least one is provided at different heights.
청구항 12 또는 청구항 13에 있어서,
상기 가스 공급부는 상기 상부판을 관통하여 상기 상부판과 판형 부재 사이의 공간에 적어도 하나의 공정 가스를 공급하는 적어도 하나의 가스 공급관을 포함하는 기판 처리 장치.
The method according to claim 12 or 13,
Wherein the gas supply portion includes at least one gas supply pipe passing through the top plate to supply at least one process gas to a space between the top plate and the plate-shaped member.
청구항 12 또는 청구항 13에 있어서,
상기 가스 공급부는 상기 상부판을 관통하여 상기 상부판과 판형 부재 사이에 적어도 하나의 제 1 공정 가스를 공급하는 적어도 하나의 제 1 가스 공급관과, 상기 상부판 및 판형 부재를 관통하여 상기 판형 부재와 하부판 사이에 적어도 하나의 제 2 공정 가스를 공급하는 적어도 하나의 제 2 가스 공급관을 포함하는 기판 처리 장치.
The method according to claim 12 or 13,
The gas supply unit includes at least one first gas supply pipe passing through the upper plate and supplying at least one first process gas between the upper plate and the plate-shaped member, at least one first gas supply pipe passing through the upper plate and the plate- And at least one second gas supply pipe for supplying at least one second process gas between the bottom plate.
청구항 18에 있어서,
상기 판형 부재의 관통홀에 삽입되어 상기 하부판의 분사홀에 연결된 분사 노즐을 더 포함하는 기판 처리 장치.
19. The method of claim 18,
And a spray nozzle inserted into the through hole of the plate member and connected to the spray hole of the lower plate.
KR1020190078856A 2019-07-01 2019-07-01 Gas distribution apparatus and substrate processing apparatus having the same KR20190082709A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020190078856A KR20190082709A (en) 2019-07-01 2019-07-01 Gas distribution apparatus and substrate processing apparatus having the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190078856A KR20190082709A (en) 2019-07-01 2019-07-01 Gas distribution apparatus and substrate processing apparatus having the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020120104997A Division KR101997145B1 (en) 2012-09-21 2012-09-21 Gas distribution apparatus and substrate processing apparatus having the same

Publications (1)

Publication Number Publication Date
KR20190082709A true KR20190082709A (en) 2019-07-10

Family

ID=67254783

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190078856A KR20190082709A (en) 2019-07-01 2019-07-01 Gas distribution apparatus and substrate processing apparatus having the same

Country Status (1)

Country Link
KR (1) KR20190082709A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230157122A (en) * 2022-05-09 2023-11-16 피에스케이 주식회사 An apparatus for treating substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230157122A (en) * 2022-05-09 2023-11-16 피에스케이 주식회사 An apparatus for treating substrate

Similar Documents

Publication Publication Date Title
KR102627544B1 (en) Low volume showerhead with faceplate holes for improved flow uniformity
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
KR101451244B1 (en) Liner assembly and substrate processing apparatus having the same
US9095038B2 (en) ICP source design for plasma uniformity and efficiency enhancement
US6886491B2 (en) Plasma chemical vapor deposition apparatus
US9431216B2 (en) ICP source design for plasma uniformity and efficiency enhancement
TW201814407A (en) Chamber with flow-through source
KR20050000727A (en) High density plasma processing apparatus
KR20070086852A (en) Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
KR101420709B1 (en) Substrate supporting apparatus and substrate processing apparatus having the same
KR20200087267A (en) Integrated showerhead with improved hole pattern to deliver radical and precursor gases to the downstream chamber to enable remote plasma film deposition
KR101997145B1 (en) Gas distribution apparatus and substrate processing apparatus having the same
KR20160134908A (en) Substrate processing apparatus
KR20190082709A (en) Gas distribution apparatus and substrate processing apparatus having the same
KR101614032B1 (en) Substrate processing apparatus
US12020907B2 (en) Faceplate with localized flow control
KR200375234Y1 (en) Improved Structure of Downstream Plasma Reactor
KR101794155B1 (en) Gas distribution apparatus and substrate processing apparatus having the same
KR20130120787A (en) Treatment apparatus for large area substrate, gas supplying apparatus for large area substrate and showerhead support unit
KR102644722B1 (en) Large-area High-Density Plasma Chemical Vapor Deposition Apparatus
KR20240068362A (en) Gas diffusing member, and substrate processing equipment having the same
CN218146933U (en) Substrate processing system, showerhead for substrate processing system and showerhead assembly
JP5302834B2 (en) Plasma processing equipment
KR20240070215A (en) Gas supply system for substrate processing equipment, and substrate processing equipment having the same
KR20170124996A (en) Gas distribution apparatus and substrate processing apparatus having the same

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application