KR20190030153A - 이온들의 지향성을 상승시키기 위한 멀티 레짐 플라즈마 웨이퍼 프로세싱 - Google Patents

이온들의 지향성을 상승시키기 위한 멀티 레짐 플라즈마 웨이퍼 프로세싱 Download PDF

Info

Publication number
KR20190030153A
KR20190030153A KR1020180062030A KR20180062030A KR20190030153A KR 20190030153 A KR20190030153 A KR 20190030153A KR 1020180062030 A KR1020180062030 A KR 1020180062030A KR 20180062030 A KR20180062030 A KR 20180062030A KR 20190030153 A KR20190030153 A KR 20190030153A
Authority
KR
South Korea
Prior art keywords
state
signal
generator
during
power level
Prior art date
Application number
KR1020180062030A
Other languages
English (en)
Other versions
KR102369627B1 (ko
Inventor
알렉세이 마라크타노브
린 자오
펠릭스 코자케비치
케네스 루체시
지강 첸
존 패트릭 홀란드
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20190030153A publication Critical patent/KR20190030153A/ko
Application granted granted Critical
Publication of KR102369627B1 publication Critical patent/KR102369627B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

멀티 레짐 플라즈마 웨이퍼 프로세싱을 제공하기 위한 시스템들 및 방법들이 기술된다. 시스템들 및 방법들은 3 개의 상태들을 갖는다. 상태들 중 제 1 상태 동안, 에칭 동작이 수행된다. 상태들 중 제 2 상태 동안, 킬로헤르쯔 RF (radio frequency) 신호의 전력 레벨은 스택 층의 하단 표면 상에 입사하는 이온들의 지향성을 상승시키도록 0보다 크다. 상태들 중 제 3 상태 동안, 스택 층의 상단부에서 마스크의 손실이 감소되고 증착이 수행될 수도 있다.

Description

이온들의 지향성을 상승시키기 위한 멀티 레짐 플라즈마 웨이퍼 프로세싱{MULTI REGIME PLASMA WAFER PROCESSING TO INCREASE DIRECTIONALITY OF IONS}
본 실시예들은 이온들의 지향성을 상승시키기 위한 멀티 레짐 플라즈마 웨이퍼 프로세싱에 관한것이다.
일부 플라즈마 프로세싱 시스템들에서, RF (radio frequency) 신호는 플라즈마 챔버 내의 전극에 제공된다. RF 신호는 플라즈마 챔버 내에서 플라즈마를 생성하도록 사용된다. 플라즈마는 다양한 동작들, 예를 들어, 하부 전극 상에 위치된 기판을 세정하고, 기판을 에칭하는 것, 등에 사용된다. 플라즈마를 사용한 기판의 프로세싱 동안, RF 신호는 2 상태들 사이에서 전이한다.
이러한 맥락에서 본 개시에 기술된 실시예들이 발생한다.
본 개시의 실시예들은 이온들의 지향성을 상승시키기 위해 멀티 레짐 플라즈마 웨이퍼 프로세싱을 위한 시스템들, 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시예들은 다수의 방식들, 예를 들어, 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터 판독가능 매체 상의 방법으로 구현될 수 있다는 것이 인식될 것이다. 몇몇 실시예들이 이하에 기술된다.
몇몇 실시예들에서, 멀티 플라즈마 레짐 스킴이 기술된다. 멀티 플라즈마 레짐 스킴에서, 상태 S1 동안, 고밀도 및 고 이온 에너지를 갖는 플라즈마가 플라즈마 챔버 내에서 생성되거나 유지된다. 예를 들어, 상태 S1 동안 x 킬로헤르쯔 (㎑) RF 생성기는 15000 W의 전력 레벨을 갖는 전력을 공급하고, y 메가헤르쯔 (㎒) RF 생성기는 5000 W의 전력 레벨을 갖는 전력을 공급한다. 또한, 상태 S2 동안, x ㎑ RF 생성기는 저 주파수 전력, 예컨대 3000 W 내지 15000 W의 범위의 전력 레벨을 갖는다. y ㎒ RF 생성기는 상태 S2 동안 어떠한 전력도 공급하지 않거나 최소량의 전력을 공급한다. 예시를 위해, y ㎒ RF 생성기는 상태 S2 동안 턴오프된다. 상태 S2는 좁은 IAD (ion angular distribution) 를 갖는 고밀도 이온 플럭스를 생성한다. 상태 S2 동안 보다 지향성의 고에너지 이온 플럭스는 디바이스 콘택트 홀의 에칭 프로파일을 개선하고, CD (critical dimension), 예컨대 마스크 넥을 확대하고, 이온 각도 분포의 프로파일의 수직 지향성을 상승시키고, 그리고 에칭될 웨이퍼의 스택층의 하단 표면으로 이온 플럭스를 증가시킨다. 하단 표면에서 많은 양의 이온 플럭스는 보다 높은 에칭 레이트를 발생시킨다. 상태 S2가 고에너지 이온 플럭스를 갖기 때문에, 상태 S2는 스택층의 상단에 증착되는 마스크의 손실을 가속화한다. 상태 S3 동안, 폴리머가 스택층 상에 증착되고 마스크 손실 레이트는 상태 S2에 비해 감소된다.
일부 실시예들에서, 스택층의 하단부를 향해 플라즈마의 이온들의 지향성을 상승시키는 방법이 기술된다. 방법은 디지털 펄싱된 신호를 수신하는 단계를 포함한다. 디지털 펄싱된 신호는 제 1 상태, 제 2 상태, 및 제 3 상태를 갖는다. 방법은 디지털 펄싱된 신호가 제 3 상태로부터 제 1 상태로 전이하는지 여부를 결정하는 단계 및 디지털 펄싱된 신호가 제 3 상태로부터 제 1 상태로 전이한다는 결정시 제 1 상태 동안 전력 레벨을 갖는 킬로헤르쯔 (㎑) RF (radio frequency) 신호를 생성하도록 ㎑ RF 생성기를 제어하는 단계를 더 포함한다. 방법은 디지털 펄싱된 신호가 제 3 상태로부터 제 1 상태로 전이한다는 결정시 제 1 상태 동안 전력 레벨을 갖는 메가헤르쯔 (㎒) RF 신호를 생성하도록 ㎒ RF 생성기를 제어하는 단계를 포함한다. 방법은 디지털 펄싱된 신호가 제 1 상태로부터 제 2 상태로 전이하는지 여부를 결정하는 단계 및 디지털 펄싱된 신호가 제 1 상태로부터 제 2 상태로 전이한다는 결정시 제 2 상태 동안 전력 레벨을 갖는 ㎑ RF 신호를 생성하도록 ㎑ RF 생성기를 제어하는 단계를 포함한다. 방법은 디지털 펄싱된 신호가 제 1 상태로부터 제 2 상태로 전이한다는 결정시 제 2 상태 동안 전력 레벨을 갖는 ㎒ RF 신호를 생성하도록 ㎒ RF 생성기를 제어하는 단계를 포함한다. 제 2 상태 동안 ㎒ RF 신호의 전력 레벨은 제 1 상태 동안 ㎒ RF 신호의 전력 레벨보다 낮다. 방법은 디지털 펄싱된 신호가 제 2 상태로부터 제 3 상태로 전이하는지 여부를 결정하는 단계 및 디지털 펄싱된 신호가 제 2 상태로부터 제 3 상태로 전이한다는 결정시 제 3 상태 동안 전력 레벨을 갖는 ㎑ RF 신호를 생성하도록 ㎑ RF 생성기를 제어하는 단계를 포함한다. 스택층의 하단부를 향해 플라즈마의 이온들의 지향성을 상승시키기 위해 제 2 상태 동안 ㎑ RF 신호의 전력 레벨은 제 1 상태 동안 ㎑ RF 신호의 전력 레벨보다 낮고 그리고 제 2 상태 동안 ㎑ RF 신호의 전력 레벨은 제 3 상태 동안 ㎑ RF 신호의 전력 레벨보다 높다. 방법은 디지털 펄싱된 신호가 제 2 상태로부터 제 3 상태로 전이한다는 결정시 제 3 상태 동안 전력 레벨을 갖는 ㎒ RF 신호를 생성하도록 ㎒ RF 생성기를 제어하는 단계를 포함한다.
본 명세서에 기술된 이온들의 지향성을 상승시키기 위한 멀티 레짐 플라즈마 웨이퍼 프로세싱의 일부 장점들은 x ㎑ RF 생성기의 상태 S2가 마스크의 형상을 제어하도록, IAD를 제어함으로써 콘택트 에칭 프로파일을 제어하도록, 그리고 에칭 레이트 또는 쓰루풋을 상승시키도록 사용된다는 것을 포함한다. 예를 들어, x ㎑ RF 생성기의 상태 S2 동안, 2-상태 RF 생성기의 로우 상태 동안의 전력 레벨과 비교하여 x ㎑ RF 생성기에 의해 공급되는 전력 레벨이 상승된다. 전력 레벨의 상승은 또한 스택층 내에 형성된 하단 표면 상에 입사하는 이온들의 수직 지향성을 상승시키는 동안 에칭 레이트 또는 쓰루풋을 상승시킨다.
다른 양태들은 첨부된 도면들과 함께 취해진, 이하의 상세한 기술로부터 자명해질 것이다.
실시예들은 첨부된 도면들과 함께 취해진, 이하의 기술을 참조함으로써 가장 잘 이해될 수도 있다.
도 1은 이온들의 지향성을 상승시키도록 멀티상태 플라즈마 프로세싱을 수행하기 위한 플라즈마툴의 실시예의 블록도이다.
도 2는 킬로헤르쯔 (㎑) RF 생성기에 생성된 RF 신호의 3 상태들을 예시하고 메가헤르쯔 (㎒) RF 생성기에 의해 생성된 RF 신호의 3 상태들을 예시하기 위한 그래프의 실시예의 도면이다.
도 3은 클록 신호, 펄싱된 신호, ㎑ RF 생성기에 의해 생성된 RF 신호, 및 ㎒ RF 생성기에 의해 생성된 RF 신호 간의 동기화를 예시하기 위한 복수의 그래프의 도면이다.
도 4a는 플라즈마 챔버 내에서 형성된 플라즈마의 이온들의 eV (electron volts) 의 에너지 분포를 예시하는 플롯이다.
도 4b는 플라즈마 챔버 내에서 형성된 이온들의 에너지 분포를 예시하는 플롯이다.
도 5a는 도 1을 참조하여 본 명세서에 기술된 방법들이 적용되지 않을 때 기판의 실시예의 도면이다.
도 5b는 도 1을 참조하여 본 명세서에 기술된 방법들이 적용될 때 기판의 실시예의 도면이다.
도 6은 50 ㎑ RF 생성기, 100 ㎑ RF 생성기, 2 ㎒ RF 생성기, 또는 27 ㎒ RF 생성기와 비교하여 400 ㎑ RF 생성기가 도 1의 플라즈마 툴에 사용될 때 IAD (ion angular distribution) 가 가장 좁다는 것을 예시하기 위한 그래프의 실시예이다.
도 7은 50 ㎑ RF 생성기, 100 ㎑ RF 생성기, 2 ㎒ RF 생성기, 또는 27 ㎒ RF 생성기가 400 ㎑ RF 생성기 대신 사용될 때와 비교하여 400 ㎑ RF 생성기가 플라즈마 툴에 사용될 때 동일한 양의 정규화된 이온들의 이온 플럭스가 보다 높은 종횡비를 달성하는 것을 용이하게 한다는 것을 예시하기 위한 그래프의 실시예이다.
이하의 실시예들은 이온들의 지향성을 상승시키도록 멀티상태 플라즈마 프로세싱을 수행하기 위한 시스템들 및 방법들을 기술한다. 제시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부를 사용하지 않고 실시될 수도 잇다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 제시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
도 1은 이온들의 지향성을 상승시키도록 멀티상태 플라즈마 프로세싱을 수행하기 위한 플라즈마 툴 (100) 의 실시예의 블록도이다. 플라즈마 툴 (100) 은 x 킬로헤르쯔 (㎑) RF (radio frequency) 생성기, y 메가헤르쯔 (㎒) RF 생성기, 호스트 컴퓨터 (104), 임피던스 매칭 네트워크 (IMN) (106), 및 플라즈마 챔버 (108) 를 포함한다. x ㎑ RF 생성기는 400 킬로헤르쯔 (㎑) 의 동작 주파수를 갖는 400 ㎑ RF 생성기이다. 예를 들어, x ㎑ RF 생성기는 380 ㎑ 내지 420 ㎑ 범위의 주파수로 동작한다. 또 다른 예로서, x ㎑ RF 생성기는 370 ㎑ 내지 430 ㎑ 범위의 주파수로 동작한다. y ㎒ RF 생성기의 예들은 2 ㎒, 또는 13.56 ㎒, 또는 27 ㎒, 또는 60 ㎒ RF 생성기를 포함한다. 호스트 컴퓨터 (104) 의 예들은 데스크탑 컴퓨터, 또는 랩탑 컴퓨터, 또는 스마트폰, 또는 태블릿, 등을 포함한다.
x ㎑ RF 생성기는 DSP (digital signal processor) (110), 전력 제어기 PWRS1x, 다른 전력 제어기 PWRS2x, 또 다른 전력 제어기 PWRS3x, AFT (auto frequency tuner) AFTS1x, 다른 AFT AFTS2x, 또 다른 AFT AFTS3x, RF 전력 공급부 Psx, 및 드라이버 시스템 (120) 을 포함한다. 본 명세서에 사용된 바와 같은, RF 전력 공급부의 예들은 RF 오실레이터를 포함한다. 예시를 위해, RF 전력 공급부는 RF의 사인파와 같은 오실레이팅 신호를 생성하는 전자 회로이다. 또 다른 예시로서, RF 전력 공급부는 석영 결정 근방 또는 상의 전극에 전압이 인가될 때 미리 결정된 주파수에서 왜곡되는 석영 결정을 갖는 결정 오실레이터이다. 본 명세서에 사용된 바와 같이, 프로세서는 ASIC (application specific IC), 또는 PLD (programmable logic device), 또는 CPU (central processing unit), 또는 마이크로프로세서, 또는 마이크로콘트롤러이다. 본 명세서에 사용된 바와 같이, 제어기는 ASIC, 또는 PLD, 또는 CPU, 또는 마이크로프로세서, 또는 마이크로콘트롤러, 또는 프로세서이다. 본 명세서에 사용된 바와 같은, 드라이버 시스템의 예들은 하나 이상의 트랜지서트들을 포함한다.
플라즈마 챔버 (108) 는 척 (126) 및 척 (126) 과 대면하는 상부 전극 (128) 을 포함한다. 상부 전극 (128) 은 접지에 커플링된다. 플라즈마 챔버 (108) 는 또한 다른 컴포넌트들 (미도시), 상부 전극 (128) 을 둘러싸는 상부 전극 연장부, 척 (126) 을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 연장부, 상부 PEZ (plasma exclusion zone) 링, 하부 PEZ 링, 등을 포함한다. 상부 전극 (128) 은 하부 전극을 포함하는 척 (126) 에 대향하고 마주보도록 위치된다. 예를 들어, 척 (126) 은 하부 전극의 상단에 부착되는 세라믹층 및 하부 전극의 하단부에 부착되는 설비 플레이트를 포함한다. 하부 전극은 금속, 예를 들어, 양극산화된 알루미늄, 알루미늄의 합금, 등으로 이루어진다. 또한, 상부 전극 (128) 은 금속, 예를 들어, 알루미늄, 알루미늄의 합금, 등으로 이루어진다.
기판 (130), 예를 들어, 반도체 웨이퍼는 척 (126) 의 상부 표면 상에 지지된다. 집적 회로들, 예를 들어, ASIC, PLD, 등이 기판 (130) 상에 전개되고 IC들은 다양한 디바이스들, 예를 들어, 휴대 전화들, 태블릿들, 스마트폰들, 컴퓨터들, 랩탑들, 네트워킹 장비, 등에 사용된다.
상부 전극 (128) 은 중앙 가스 피드에 커플링되는 하나 이상의 홀들을 포함한다 (미도시). 중앙가스 피드는 가스 공급부 (미도시) 로부터 하나 이상의 프로세스 가스들을 수용한다. 하나 이상의 프로세스 가스들의 예들은 산소-함유 가스, 예컨대 O2를 포함한다. 하나 이상의 프로세스 가스들의 다른 예들은 불소-함유 가스, 예를 들어, 테트라플루오로메탄 (CF4), 설퍼헥사플루오라이드 (SF6), 헥사플루오로에탄 (C2F6), 등을 포함한다.
DSP (110) 는 전력 제어기들 (PWRS1x, PWRS2x, 및 PWRS3x), 그리고 AFT들 (AFTS1x, AFTS2x, 및 AFTS3x)에 커플링된다. 또한, 전력 제어기들 (PWRS1x, PWRS2x, 및 PWRS3x) 및 AFT들 (AFTS1x, AFTS2x, 및 AFTS3x) 은 드라이버 시스템 (120) 에 커플링된다. 드라이버 시스템 (120) 은 RF 전력 공급부 Psx에 커플링된다. RF 전력 공급부 Psx는 x ㎑ RF 생성기의 출력부 (122) 를 통해 IMN (106) 의 입력부에 커플링되는, RF 케이블 (124) 에 커플링된다.
IMN (106) 의 출력부는 RF 송신 라인 (125) 을 통해 척 (126) 의 하부 전극에 커플링된다. RF 송신 라인 (125) 은 절연체에 의해 둘러싸이는 금속 막대 (rod) 를 포함하고, 절연체는 시스에 의해 또한 둘러싸인다. 금속 막대는 RF 스트랩을 통해 실린더에 커플링되고 실린더들은 척 (126) 에 커플링된다.
y ㎒ RF 생성기는 DSP (132), 전력 제어기 PWRS1y, 다른 전력 제어기 PWRS2y, 또다른 전력 제어기 PWRS3y, AFT AFTS1y, 및 다른 AFT AFTS2y를 포함한다. y ㎒ RF 생성기는 또 다른 AFT AFTS3y, RF 전력 공급부 Psy, 및 드라이버 시스템 (134) 을 더 포함한다. DSP (132) 는 전력 제어기들 (PWRS1y, PWRS2y, 및 PWRS3y) 에 그리고 AFT들 (AFTS1y, AFTS2y, 및 AFTS3y) 에 커플링된다. 또한, 전력 제어기들 (PWRS1y, PWRS2y, 및 PWRS3y) 및 AFT들 (AFTS1y, AFTS2y, 및 AFTS3y) 은 드라이버 시스템 (134) 에 커플링된다. 드라이버 시스템 (134) 은 RF 전력 공급부 Psy에 커플링된다. RF 전력 공급부 Psy는 y ㎒ RF 생성기의 출력부 (136) 를 통해 RF 케이블 (138) 에 커플링되고, RF 케이블 (138) 은 IMN (106) 의 또 다른 입력부에 커플링된다. RF 케이블 (138) 이 커플링된 IMN (106) 의 다른 입력부는 RF 케이블 (124) 이 커플링된 IMN (106) 의 입력부와 상이하다.
IMN (106) 은 IMN (106) 의 입력부들에 커플링된 소스의 임피던스와 IMN (106) 의 출력부에 커플링된 부하의 임피던스를 매칭시키도록 전기 회로 컴포넌트들, 예를 들어, 인덕터들, 커패시터들, 레지스터들, 또는 이들 중 2 이상의 조합 등을 포함한다. 예를 들어, IMN (106) 은 플라즈마 챔버 (108) 및 IMN (106) 의 출력부에 커플링된 RF 송신 라인 (125) 의 임피던스와 IMN (106) 의 입력부들에 커플링된 x ㎑ RF 생성기, RF 케이블 (124), y ㎒ RF 생성기, 및 RF 케이블 (138) 의 임피던스를 매칭시킨다. 일 실시예에서, IMN (106) 의 하나 이상의 전기 회로 컴포넌트들은 IMN (106) 의 출력부에 커플링된 부하의 임피던스와 IMN (106) 의 입력부들에 커플링된 소스의 임피던스 사이의 매칭을 용이하게 하도록 튜닝된다. IMN (106) 은 소스를 향한 방향, 예컨대 부하로부터 소스를 향해 RF 전력이 반사될 확률을 감소시킨다.
호스트 컴퓨터 (104) 는 프로세서 (142) 및 메모리 디바이스 (144) 를 포함한다. 프로세서 (142) 는 메모리 디바이스 (144) 에 커플링된다. 메모리 디바이스의 예들은 RAM (random access memory) 및 ROM (read-only memory) 을 포함한다. 예시를 위해, 메모리 디바이스는 플래시 메모리, 하드디스크, 또는 저장 디바이스, 등이다. 메모리 디바이스는 컴퓨터-판독가능 매체의 예이다. 프로세서 (142) 는 케이블 (146) 을 통해 DSP (110) 에 커플링되고 케이블 (148) 을 통해 DSP (132) 에 커플링된다. 케이블 (146) 또는 케이블 (148) 의 예들은 직렬 방식으로 데이터를 송신하도록 사용되는 케이블, 병렬 방식으로 데이터를 송신하도록 사용되는 케이블, 및 USB (universal serial bus) 프로토콜을 적용함으로써 데이터를 송신하도록 사용되는 케이블을 포함한다.
프로세서 (142) 의 제어 회로는 펄싱된 신호 (150), 예를 들어, TTL (transistor-transistor logic) 신호, 디지털 펄싱 신호, 사각-성형된 파형, 3 개의 상태들 S1 내지 S3에 대해 3 개의 듀티 사이클들을 갖는 펄싱된 신호, 등을 생성하도록 사용된다. 프로세서 (142) 의 제어 회로의 예들은 TTL 회로를 포함한다.
펄싱된 신호 (150) 는 상태들 S1, S2, 및 S3을 포함한다. 예를 들어, 펄싱된 신호 (150) 의 상태 S1은 클록 신호 (152) 의 클록 사이클의 일부 동안 1의 논리 레벨 및 클록 사이클의 또 다른 부분 동안 0의 논리 레벨을 갖는다. 또 다른 예로서, 펄싱된 신호 (150) 의 상태 S2는 클록 사이클의 일부 동안 1의 논리 레벨 및 클록 사이클의 또 다른 부분 동안 0의 논리 레벨을 갖는다. 또 다른 예로서, 펄싱된 신호 (150) 의 상태 S3은 클록 사이클의 일부 동안 1의 논리 레벨 및 클록 사이클의 또 다른 부분 동안 0의 논리 레벨을 갖는다. 다양한 실시예들에서, 상태들 S1, S2, 및 S3은 펄싱된 신호 (150) 의 클록 사이클 동안 1회 실행되고 복수의 클록 사이클들로 반복된다. 예를 들어, 클록 사이클은 상태들 S1 내지 S3을 포함하고 클록 신호 (152) 의 또 다른 클록 사이클은 상태들 S1 내지 S3을 포함한다. 예시를 위해, 클록 사이클의 기간의 일부 동안, 상태 S1이 실행되고, 클록 사이클의 다른 기간 동안 상태 S2가 실행되고, 클록 사이클 사이클의 기간의 나머지 부분 동안, 상태 S3이 실행된다.
일부 실시예들에서, 상태들 S1 내지 S3 각각은 1/3 듀티 사이클을 갖는다. 몇몇 실시예들에서, 상태들 S1 내지 S3 각각은 상태들 S1 내지 S3의 임의의 남아 있는 듀티 사이클과 상이한 듀티 사이클을 갖는다. 예를 들어, 상태 S1은 a % 듀티 사이클을 갖고, 상태 S2는 b %의 듀티 사이클을 갖고, 상태 S3은 (100-a-b) %의 듀티 사이클을 갖고, a 및 b는 정수들이고 a는 b와 상이한 수이다.
다양한 실시예들에서, 프로세서 (142) 의 제어 회로 대신, 클록 소스, 예를 들어, 결정 오실레이터, 등이 아날로그 클록 신호를 생성하도록 사용되고, 아날로그 클록 신호는 아날로그-디지털 변화기에 의해 펄싱된 신호 (150) 와 유사한 디지털 신호로 변환된다. 예를 들어, 결정 오실레이터는 결정 오실레이터 근방 전극에 전압을 인가함으로써 전기장에서 오실레이팅하도록 이루어진다. 예시를 위해, 결정 오실레이터는 클록 신호 (152) 의 클록 사이클의 제 1 부분 동안 제 1 주파수로, 클록 신호 (152) 의 클록 사이클의 제 2 부분 동안 제 2 주파수로, 클록 신호 (152) 의 클록 사이클의 나머지 부분 동안 제 3 주파수로 오실레이팅한다. 제 3 주파수는 제 2 주파수와 상이하고, 제 2 주파수는 제 1 주파수와 상이하다. 일부 실시예들에서, 제 1 주파수는 제 2 주파수와 동일하지만 제 3 주파수와 상이하다. 다양한 실시예들에서, 제 1 주파수는 제 3 주파수와 동일하지만 제 2 주파수와 상이하다. 다양한 실시예들에서, 프로세서 (142) 대신, 디지털 클록 소스는 펄싱된 신호 (150) 를 생성한다.
프로세서 (142) 는 메모리 디바이스 (144) 로부터의 레시피에 액세스한다. 레시피의 예들은 상태 S1에 대해 x ㎑ RF 생성기에 인가될 전력 설정점, 상태 S2에 대해 x ㎑ RF 생성기에 인가될 전력 설정점, 상태 S3에 대해 x ㎑ RF 생성기에 인가될 전력 설정점, 상태 S1에 대해 x ㎑ RF 생성기에 인가될 주파수 설정점, 상태 S2에 대해 x ㎑ RF 생성기에 인가될 주파수 설정점, 상태 S3에 대해 x ㎑ RF 생성기에 인가될 주파수 설정점, 상태 S1에 대해 y ㎒ RF 생성기에 인가될 전력 설정점, 상태 S2에 대해 y ㎒ RF 생성기에 인가될 전력 설정점, 상태 S3에 대해 y ㎒ RF 생성기에 인가될 전력 설정점, 상태 S1에 대해 y ㎒ RF 생성기에 인가될 주파수 설정점, 상태 S2에 대해 y ㎒ RF 생성기에 인가될 주파수 설정점, 상태 S3에 대해 y ㎒ RF 생성기에 인가될 주파수 설정점, 하나 이상의 프로세스 가스들의 화학물질, 상부 전극 (128) 과 척 (126) 간 갭, 또는 이들의 조합을 포함한다.
프로세서 (142) 는 펄싱된 신호 (150) 를 사용하여 인스트럭션을 케이블 (146) 을 통해 DSP (110) 로 전송한다. 케이블 (146) 을 통해 DSP (110) 로 전송된 인스트럭션은 펄싱된 신호 (150), S1 동안 x ㎑ RF 생성기에 인가될 전력 설정점, 상태 S2에 대해 x ㎑ RF 생성기에 인가될 전력 설정점, 상태 S3에 대해 x ㎑ RF 생성기에 인가될 전력 설정점, 상태 S1에 대해 x ㎑ RF 생성기에 인가될 주파수 설정점, 상태 S2에 대해 x ㎑ RF 생성기에 인가될 주파수 설정점, 및 상태 S3에 대해 x ㎑ RF 생성기에 인가될 주파수 설정점에 관한 정보를 갖는다. 펄싱된 신호 (150) 에 관한 정보는 x ㎑ RF 생성기에 의해 생성될 RF 신호가 클록 사이클의 전이 시간 ts1에 상태 S1로부터 상태 S2로 전이하는 것으로, RF 신호가 클록 사이클의 전이 시간 ts2에 상태 S2로부터 상태 S3로 전이하는 것으로, 그리고 RF 신호가 클록 사이클의 전이 시간 ts3에 상태 S3으로부터 상태 S1로 전이하는 것으로 DSP (110) 에 나타낸다. DSP (110) 는 인스트럭션으로부터 상태 S1에 대한 전력 설정점이 펄싱된 신호 (150) 의 상태 S1 동안 인가되고, 상태 S2에 대한 전력 설정점이 펄싱된 신호 (150) 의 상태 S2 동안 인가되고, 상태 S3에 대한 전력 설정점이 펄싱된 신호 (150) 의 상태 S3 동안 인가되고, 상태 S1에 대한 주파수 설정점이 펄싱된 신호 (150) 의 상태 S1 동안 인가되고, 상태 S2에 대한 주파수 설정점이 펄싱된 신호 (150) 의 상태 S2 동안 인가되고, 그리고 상태 S3에 대한 주파수 설정점이 펄싱된 신호 (150) 의 상태 S3 동안 인가된다고 결정한다. 또한, DSP (110) 는 인스트럭션 및 펄싱된 신호 (150) 으로부터, x ㎑ RF 생성기에 의해 생성될 RF 신호가 클록 사이클의 전이 시간 ts1에 상태 S1로부터 상태 S2로 전이되고, RF 신호가 클록 사이클의 전이 시간 ts2에 상태 S2로부터 상태 S3로 전이되고, 그리고 RF 신호가 클록 사이클의 전이 시간 ts3에 상태 S3로부터 상태 S1로 전이된다고 결정한다. 전이 시간들 ts1 내지 ts3은 클록 신호 (152) 의 클록 사이클에 대해 반복된다.
클록 신호 (152) 의 클록 사이클의 전이 시간 ts3에 대해, DSP (110) 는 상태 S1에 대한 전력 설정점을 전력 제어기 PWRS1x로 전송한다. 유사하게, 클록 신호 (152) 의 클록 사이클의 전이 시간 ts1에, DSP (110) 는 상태 S2에 대한 전력 설정점을 전력 제어기 PWRS2x로 전송한다. 또한, 클록 신호 (152) 의 클록 사이클의 전이 시간 ts2에, DSP (110) 는 상태 S3에 대한 전력 설정점을 전력 제어기 PWRS3x에 전송한다. 또한, 클록 사이클의 전이 시간 ts3에, DSP (110) 는 상태 S1에 대한 주파수 설정점을AFT AFTS1x로 전송한다. 또한, 클록 사이클의 전이 시간 ts1에, DSP (110) 는 상태 S2에 대한 주파수 설정점을 AFT AFTS2x로 전송한다. 또한, 클록 사이클의 전이 시간 ts2에, DSP (110) 는 상태 S3에 대한 주파수 설정점을 AFT AFTS3x에 전송한다.
상태 S1에 대한 전력 설정점 수신시, 전력 제어기 PWRS1x는 상태 S1에 대한 전력 설정점에 대응, 예를 들어, 1-대-1 관계를 갖고, 맵핑되고, 링크되는 등의 전류량을 결정한다. 상태 S1 동안 드라이버 시스템 (120) 에 의해 생성되는 전류량에 기초하여, 전력 제어기 PWRS1x는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (120) 로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (120) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psx로 전송한다. RF 전력 공급부 Psx는, 전류 신호 수신시, 상태 S1에 대한 전력 설정점을 갖는 RF 신호를 생성하고 RF 신호는 출력부 (122) 및 RF 케이블 (124) 을 통해 IMN (106) 의 입력부로 공급한다. 상태 S1에 대한 전력 설정점은 상태 S1 동안 RF 전력 공급부 Psx에 의해 유지된다.
유사하게, 상태 S2에 대한 전력 설정점 수신시, 전력 제어기 PWRS2x는 상태 S2에 대한 전력 설정점에 대응, 예를 들어, 1-대-1 관계를 갖고, 맵핑되고, 링크되는 등의 전류량을 결정한다. 상태 S2 동안 드라이버 시스템 (120) 에 의해 생성되는 전류량에 기초하여, 전력 제어기 PWRS2x는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (120) 로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (120) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psx로 전송한다. RF 전력 공급부 Psx는, 전류 신호 수신시, 상태 S2에 대한 전력 설정점을 갖는 RF 신호를 생성하고 RF 신호는 출력부 (122) 및 RF 케이블 (124) 을 통해 IMN (106) 의 입력부로 공급한다. 상태 S2에 대한 전력 설정점은 상태 S2 동안 RF 전력 공급부 Psx에 의해 유지된다.
또한, 상태 S3에 대한 전력 설정점 수신시, 전력 제어기 PWRS3x는 상태 S3에 대한 전력 설정점에 대응, 예를 들어, 1-대-1 관계를 갖고, 맵핑되고, 링크되는 등의 전류량을 결정한다. 상태 S3 동안 드라이버 시스템 (120) 에 의해 생성되는 전류량에 기초하여, 전력 제어기 PWRS3x는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (120) 로 전송한다. 상태 S3에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (120) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psx로 전송한다. RF 전력 공급부 Psx는, 전류 신호 수신시, 상태 S3에 대한 전력 설정점을 갖는 RF 신호를 생성하고 RF 신호는 출력부 (122) 및 RF 케이블 (124) 을 통해 IMN (106) 의 입력부로 공급한다. 상태 S3에 대한 전력 설정점은 상태 S3 동안 RF 전력 공급부 Psx에 의해 유지된다.
또한, 상태 S1에 대한 주파수 설정점 수신시, AFT AFTS1x는 상태 S1에 대한 주파수 설정점에 대응, 예를 들어, 1-대-1 관계를 갖고, 맵핑되고, 링크되는 등의 전류량을 결정한다. 상태 S1 동안 드라이버 시스템 (120) 에 의해 생성되는 전류량에 기초하여, AFT AFTS1x는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (120) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (120) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psx로 전송한다. RF 전력 공급부 Psx는, 전류 신호 수신시 상태 S1에 대한 주파수 설정점을 갖는 RF 신호를 생성하고 RF 신호를 출력부 (122) 및 RF 케이블 (124) 을 통해 IMN (106) 의 입력부에 공급한다. 상태 S1에 대한 주파수 설정점은 상태 S1 동안 RF 전력 공급부 Psx에 의해 유지된다. 상태 S1에 대한 전력 설정점 및 상태 S1에 대한 주파수 설정점을 갖는 RF 신호는 상태 S1 동안 생성된 RF 신호이다.
유사하게, 상태 S2에 대한 주파수 설정점 수신시, AFT AFTS2x는 상태 S2에 대한 주파수 설정점에 대응하는 전류량을 결정한다. 상태 S2 동안 드라이버 시스템 (120) 에 의해 생성되는 전류량에 기초하여, AFT AFTS2x는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (120) 으로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (120) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psx로 전송한다. RF 전력 공급부 Psx는, 전류 신호 수신시 상태 S2에 대한 주파수 설정점을 갖는 RF 신호를 생성하고 RF 신호를 출력부 (122) 및 RF 케이블 (124) 을 통해 IMN (106) 의 입력부에 공급한다. 상태 S2에 대한 주파수 설정점은 상태 S2 동안 RF 전력 공급부 Psx에 의해 유지된다. 상태 S2에 대한 전력 설정점 및 상태 S2에 대한 주파수 설정점을 갖는 RF 신호는 상태 S2 동안 생성된 RF 신호이다.
또한, 상태 S3에 대한 주파수 설정점 수신시, AFT AFTS3x는 상태 S3에 대한 주파수 설정점에 대응하는 전류량을 결정한다. 상태 S3 동안 드라이버 시스템 (120) 에 의해 생성되는 전류량에 기초하여, AFT AFTS3x는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (120) 으로 전송한다. 상태 S3에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (120) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psx로 전송한다. RF 전력 공급부 Psx는, 전류 신호 수신시 상태 S3에 대한 주파수 설정점을 갖는 RF 신호를 생성하고 RF 신호를 출력부 (122) 및 RF 케이블 (124) 을 통해 IMN (106) 의 입력부에 공급한다. 상태 S3에 대한 주파수 설정점은 상태 S3 동안 RF 전력 공급부 Psx에 의해 유지된다. 상태 S3에 대한 전력 설정점 및 상태 S3에 대한 주파수 설정점을 갖는 RF 신호는 상태 S3 동안 생성된 RF 신호이다.
프로세서 (142) 는 펄싱된 신호 (150) 를 사용하여 인스트럭션을 케이블 (148) 을 통해 DSP (132) 로 전송한다. 케이블 (148) 을 통해 DSP (132) 로 전송된 인스트럭션은 펄싱된 신호 (150), S1 동안 y ㎒ RF 생성기에 인가될 전력 설정점, 상태 S2에 대해 y ㎒ RF 생성기에 인가될 전력 설정점, 상태 S3에 대해 y ㎒ RF 생성기에 인가될 전력 설정점, 상태 S1에 대해 y ㎒ RF 생성기에 인가될 주파수 설정점, 상태 S2에 대해 y ㎒ RF 생성기에 인가될 주파수 설정점, 및 상태 S3에 대해 y ㎒ RF 생성기에 인가될 주파수 설정점에 관한 정보를 갖는다. 펄싱된 신호 (150) 에 관한 정보는 y ㎒ RF 생성기에 의해 생성될 RF 신호가 클록 신호 (152) 의 클록 사이클의 전이 시간 ts1에 상태 S1로부터 상태 S2로 전이하는 것으로, RF 신호가 클록 사이클의 전이 시간 ts2에 상태 S2로부터 상태 S3로 전이하는 것으로, 그리고 RF 신호가 클록 사이클의 전이 시간 ts3에 상태 S3으로부터 상태 S1로 전이하는 것으로 DSP (132) 에 나타낸다. DSP (132) 는 인스트럭션을 파싱 (parse) 하고, 인스트럭션으로부터 상태 S1에 대한 전력 설정점이 펄싱된 신호 (150) 의 상태 S1 동안 인가되고, 상태 S2에 대한 전력 설정점이 펄싱된 신호 (150) 의 상태 S2 동안 인가되고, 상태 S3에 대한 전력 설정점이 펄싱된 신호 (150) 의 상태 S3 동안 인가되고, 상태 S1에 대한 주파수 설정점이 펄싱된 신호 (150) 의 상태 S1 동안 인가되고, 상태 S2에 대한 주파수 설정점이 펄싱된 신호 (150) 의 상태 S2 동안 인가되고, 그리고 상태 S3에 대한 주파수 설정점이 펄싱된 신호 (150) 의 상태 S3 동안 인가된다고 결정한다. 또한, DSP (132) 는 인스트럭션으로부터, y ㎒ RF 생성기에 의해 생성될 RF 신호가 클록 사이클의 전이 시간 ts1에 상태 S1로부터 상태 S2로 전이되고, RF 신호가 클록 사이클의 전이 시간 ts2에 상태 S2로부터 상태 S3로 전이되고, 그리고 RF 신호가 클록 사이클의 전이 시간 ts3에 상태 S3로부터 상태 S1로 전이된다고 결정한다.
클록 신호 (152) 의 클록 사이클의 전이 시간 ts3에, DSP (132) 는 상태 S1에 대한 전력 설정점을 전력 제어기 PWRS1y로 전송한다. 유사하게, 클록 신호 (152) 의 클록 사이클의 전이 시간 ts1에, DSP (132) 는 상태 S2에 대한 전력 설정점을 전력 제어기 PWRS2y로 전송한다. 또한, 클록 신호 (152) 의 클록 사이클의 전이 시간 ts2에, DSP (132) 는 상태 S3에 대한 전력 설정점을 전력 제어기 PWRS3y로 전송한다. 또한, 클록 사이클의 전이 시간 ts3에, DSP (132) 는 상태 S1에 대한 주파수 설정점을 AFT AFTS1y로 전송한다. 또한, 클록 사이클의 전이 시간 ts1에, DSP (132) 는 상태 S2에 대한 주파수 설정점을 AFT AFTS2y로 전송한다. 또한, 클록 사이클의 전이 시간 ts2에, DSP (132) 는 상태 S3에 대한 주파수 설정점을 AFT AFTS3y로 전송한다.
상태 S1에 대한 전력 설정점 수신시, 전력 제어기 PWRS1y는 상태 S1에 대한 전력 설정점에 대응, 예를 들어, 1-대-1 관계를 갖고, 맵핑되고, 링크되는 등의 전류량을 결정한다. 상태 S1 동안 드라이버 시스템 (120) 에 의해 생성되는 전류량에 기초하여, 전력 제어기 PWRS1y는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (134) 로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (134) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psy로 전송한다. RF 전력 공급부 Psy는, 전류 신호 수신시, 상태 S1에 대한 전력 설정점을 갖는 RF 신호를 생성하고 RF 신호는 출력부 (136) 및 RF 케이블 (138) 을 통해 IMN (106) 의 다른 입력부로 공급한다. 상태 S1에 대한 전력 설정점은 상태 S1 동안 RF 전력 공급부 Psy에 의해 유지된다.
유사하게, 상태 S2에 대한 전력 설정점 수신시, 전력 제어기 PWRS2y는 상태 S2에 대한 전력 설정점에 대응, 예를 들어, 1-대-1 관계를 갖고, 맵핑되고, 링크되는 등의 전류량을 결정한다. 상태 S2 동안 드라이버 시스템 (134) 에 의해 생성되는 전류량에 기초하여, 전력 제어기 PWRS2y는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (134) 로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (134) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psy로 전송한다. RF 전력 공급부 Psy는, 전류 신호 수신시, 상태 S2에 대한 전력 설정점을 갖는 RF 신호를 생성하고 RF 신호는 출력부 (136) 및 RF 케이블 (138) 을 통해 IMN (106) 의 다른 입력부로 공급한다. 상태 S2에 대한 전력 설정점은 상태 S2 동안 RF 전력 공급부 Psy에 의해 유지된다.
또한, 상태 S3에 대한 전력 설정점 수신시, 전력 제어기 PWRS3y는 상태 S3에 대한 전력 설정점에 대응, 예를 들어, 1-대-1 관계를 갖고, 맵핑되고, 링크되는 등의 전류량을 결정한다. 상태 S3 동안 드라이버 시스템 (134) 에 의해 생성되는 전류량에 기초하여, 전력 제어기 PWRS3y는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (134) 로 전송한다. 상태 S3에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (134) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psy로 전송한다. RF 전력 공급부 Psy는, 전류 신호 수신시, 상태 S3에 대한 전력 설정점을 갖는 RF 신호를 생성하고 RF 신호는 출력부 (136) 및 RF 케이블 (138) 을 통해 IMN (106) 의 다른 입력부로 공급한다. 상태 S3에 대한 전력 설정점은 상태 S3 동안 RF 전력 공급부 Psy에 의해 유지된다.
또한, 상태 S1에 대한 주파수 설정점 수신시, AFT AFTS1y는 상태 S1에 대한 주파수 설정점에 대응, 예를 들어, 1-대-1 관계를 갖고, 맵핑되고, 링크되는 등의 전류량을 결정한다. 상태 S1 동안 드라이버 시스템 (134) 에 의해 생성되는 전류량에 기초하여, AFT AFTS1y는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (134) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (134) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psy로 전송한다. RF 전력 공급부 Psy는, 전류 신호 수신시 상태 S1에 대한 주파수 설정점을 갖는 RF 신호를 생성하고 RF 신호를 출력부 (136) 및 RF 케이블 (138) 을 통해 IMN (106) 의 다른 입력부에 공급한다. 상태 S1에 대한 주파수 설정점은 상태 S1 동안 RF 전력 공급부 Psy에 의해 유지된다. 상태 S1에 대한 전력 설정점 및 상태 S1에 대한 주파수 설정점을 갖는 RF 신호는 상태 S1 동안 y ㎒ RF 생성기에 의해 생성된 RF 신호이다.
유사하게, 상태 S2에 대한 주파수 설정점 수신시, AFT AFTS2y는 상태 S2에 대한 주파수 설정점에 대응하는 전류량을 결정한다. 상태 S2 동안 드라이버 시스템 (134) 에 의해 생성되는 전류량에 기초하여, AFT AFTS2y는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (134) 으로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (134) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psy로 전송한다. RF 전력 공급부 Psy는, 전류 신호 수신시 상태 S2에 대한 주파수 설정점을 갖는 RF 신호를 생성하고 RF 신호를 출력부 (136) 및 RF 케이블 (138) 을 통해 IMN (106) 의 다른 입력부에 공급한다. 상태 S2에 대한 주파수 설정점은 상태 S2 동안 RF 전력 공급부 Psy에 의해 유지된다. 상태 S2에 대한 전력 설정점 및 상태 S2에 대한 주파수 설정점을 갖는 RF 신호는 상태 S2 동안 y ㎒ RF 생성기에 의해 생성된 RF 신호이다.
또한, 상태 S3에 대한 주파수 설정점 수신시, AFT AFTS3y는 상태 S3에 대한 주파수 설정점에 대응하는 전류량을 결정한다. 상태 S3 동안 드라이버 시스템 (134) 에 의해 생성되는 전류량에 기초하여, AFT AFTS3y는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (134) 으로 전송한다. 상태 S3에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (134) 은 전류량을 갖는 전류 신호를 생성하고 RF 전력 공급부 Psy로 전송한다. RF 전력 공급부 Psy는, 전류 신호 수신시 상태 S3에 대한 주파수 설정점을 갖는 RF 신호를 생성하고 RF 신호를 출력부 (136) 및 RF 케이블 (138) 을 통해 IMN (106) 의 다른 입력부에 공급한다. 상태 S3에 대한 주파수 설정점은 상태 S3 동안 RF 전력 공급부 Psy에 의해 유지된다. 상태 S3에 대한 전력 설정점 및 상태 S3에 대한 주파수 설정점을 갖는 RF 신호는 상태 S3 동안 y ㎒ RF 생성기에 의해 생성된 RF 신호이다.
IMN (106) 의 입력부는, 입력부에서 x ㎑ RF 생성기에 의해 생성된 RF 신호를 RF 케이블 (124) 을 통해 출력부 (122) 로부터 수신하고, 다른 입력부에서, y ㎒ RF 생성기에 의해 생성된 RF 신호를 RF 케이블 (138) 을 통해 출력부 (136) 로부터 수신하고, 그리고 IMN (106) 의 출력부에서 수정된 RF 신호를 생성하기 위해, IMN (106) 의 출력부에 커플링된 부하의 임피던스와 IMN (106) 의 입력부들에 커플링된 소스의 임피던스를 매칭시킨다. 수정된 RF 신호는 RF 송신 라인 (125) 을 통해 척 (126) 의 하부 전극으로 전송된다. 하나 이상의 프로세스 가스들이 상부 전극 (128) 과 척 (126) 사이에 공급되고 수정된 RF 신호가 하부 전극에 공급될 때, 하나 이상의 프로세스 가스들은 플라즈마 챔버 (108) 내에서 플라즈마를 생성하도록 점화되고 또는 플라즈마가 플라즈마 챔버 (108) 내에 유지된다. 플라즈마는 기판 (130) 상의 재료를 프로세스, 예를 들어, 에칭, 증착, 세정, 스퍼터링, 등을 하도록 사용된다.
일부 실시예들에서, 용어들 튜너 및 제어기는 본 명세서에서 상호교환가능하게 사용된다.
다양한 실시예들에서, 전력 제어기들 (PWRS1x, PWRS2x, 및 PWRS3x), 및 AFT들 (AFTS1x, AFTS2x, 및 AFTS3x) 은 DSP (110) 에 의해 실행되는 컴퓨터 프로그램의 모듈들, 예를 들어, 부분들, 등이다.
몇몇 실시예들에서, 전력 제어기들 (PWRS1x, PWRS2x, 및 PWRS3x), 및 AFT들 (AFTS1x, AFTS2x, 및 AFTS3x) 은 DSP (110) 의 IC에 커플링되는 분리된 IC들이다. 예를 들어, 전력 제어기 PWRS1x는 x ㎑ RF 생성기의 제 1 IC이고, 전력 제어기 PWRS2x는 x ㎑ RF 생성기의 제 2 IC이고, 전력 제어기 PWRS3x는 x ㎑ RF 생성기의 제 3 IC이고, AFT AFTS1x는 x ㎑ RF 생성기의 제 4 IC이고, AFT AFTS2x는 x ㎑ RF 생성기의 제 5 IC이고, AFT AFTS3x는 x ㎑ RF 생성기의 제 6 IC이고, 그리고 DSP (110) 는 x ㎑ RF 생성기의 제 7 IC이다. x ㎑ RF 생성기의 제 1 IC 내지 제 6 IC 각각은 x ㎑ RF 생성기의 제 7 IC에 커플링된다.
일부 실시예들에서, 전력 제어기들 (PWRS1y, PWRS2y, 및 PWRS3y), 및 AFT들 (AFTS1y, AFTS2y, 및 AFTS3y) 은 DSP (132) 에 의해 실행되는 컴퓨터 프로그램의 모듈들, 예를 들어, 부분들, 등이다.
다양한 실시예들에서, 전력 제어기들 (PWRS1y, PWRS2y, 및 PWRS3y), 및 AFT들 (AFTS1y, AFTS2y, 및 AFTS3y) 은 DSP (132) 의 IC에 커플링되는 분리된 IC들이다. 예를 들어, 전력 제어기 PWRS1y는 y ㎒ RF 생성기의 제 1 IC이고, 전력 제어기 PWRS2y는 y ㎒ RF 생성기의 제 2 IC이고, 전력 제어기 PWRS3y는 y ㎒ RF 생성기의 제 3 IC이고, AFT AFTS1y는 y ㎒ RF 생성기의 제 4 IC이고, AFT AFTS2y는 y ㎒ RF 생성기의 제 5 IC이고, AFT AFTS3y는 y ㎒ RF 생성기의 제 6 IC이고, 그리고 DSP (110) 는 y ㎒ RF 생성기의 제 7 IC이다. y ㎒ RF 생성기의 제 1 IC 내지 제 6 IC 각각은 y ㎒ RF 생성기의 제 7 IC에 커플링된다.
일부 실시예들에서, 상태 S1의 RF 신호의 예는 상태 S1에 대한 전력 설정점 및 상태 S1에 대한 주파수 설정점을 포함한다. 상태 S1에 대한 전력 설정점은 상태 S1 동안 RF 신호의 전력량들의 전력 레벨, 예컨대 인벨롭 또는 0-피크 크기인, 동작가능한 전력 설정점이다. 상태 S1에 대한 주파수 설정점은 상태 S1 동안 RF 신호의 주파수 값들의 주파수 레벨, 예컨대 인벨롭 또는 0-피크 크기인 동작가능한 주파수 설정점이다. 유사하게, 상태 S2의 RF 신호의 예는 상태 S2에 대한 전력 설정점 및 상태 S2에 대한 주파수 설정점을 포함한다. 상태 S2에 대한 전력 설정점은 상태 S2 동안 RF 신호의 전력량들의 전력 레벨, 예컨대 인벨롭 또는 0-피크 크기인, 동작가능한 전력 설정점이다. 상태 S2에 대한 주파수 설정점은 상태 S2 동안 RF 신호의 주파수 값들의 주파수 레벨, 예컨대 인벨롭 또는 0-피크 크기인 동작가능한 주파수 설정점이다. 또한, 유사하게, 상태 S3의 RF 신호의 예는 상태 S3에 대한 전력 설정점 및 상태 S3에 대한 주파수 설정점을 포함한다. 상태 S3에 대한 전력 설정점은 상태 S3 동안 RF 신호의 전력량들의 전력 레벨, 예컨대 인벨롭 또는 0-피크 크기인, 동작가능한 전력 설정점이다. 상태 S3에 대한 주파수 설정점은 상태 S3 동안 RF 신호의 주파수 값들의 주파수 레벨, 예컨대 인벨롭 또는 0-피크 크기인 동작가능한 주파수 설정점이다.
다양한 실시예들에서, 3 개의 RF 생성기들은 IMN (106) 에 커플링된다. 예를 들어, 부가적인 RF 생성기가 IMN (106) 의 또 다른 입력부로의 또 다른 RF 케이블 (미도시) 을 통해 IMN (106) 에 커플링된다. 부가적인 RF 생성기는 x ㎑ RF 생성기 및 y ㎒ RF 생성기에 부가된다. 또 다른 입력부는 RF 케이블 (124) 이 커플링되는 IMN (106) 의 입력부 또는 RF 케이블 (138) 이 커플링되는 IMN (106) 의 다른 입력과 동일하지 않다. 부가적인 RF 생성기는 y ㎒ RF 생성기의 주파수와 상이한 동작 주파수, 예를 들어, 2 ㎒, 27 ㎒, 60 ㎒, 등을 갖는 부가적인 RF 생성기를 제외하고 y ㎒ RF 생성기와 동일한 구조 및 기능을 갖는다. 예를 들어, y ㎒ RF 생성기는 13.56 ㎒의 동작 주파수를 갖고 부가적인 RF 생성기는 2 ㎒, 또는 27 ㎒, 또는 60 ㎒의 동작 주파수를 갖는다. IMN (106) 은 x ㎑ RF 생성기, y ㎒ RF 생성기, 및 부가적인 RF 생성기로부터 수신된 RF 신호들을 결합하고, IMN (106) 의 출력부에서 수정된 RF 신호를 생성하도록 IMN (106) 의 출력부에 커플링된 부하의 임피던스를 소스, 예를 들어, x ㎑ RF 생성기, y ㎒ RF 생성기, 부가적인 RF 생성기, RF 케이블 (124), RF 케이블 (148), 및 다른 RF 케이블, 등의 임피던스와 매칭시킨다.
일 실시예에서, 용어들 임피던스 매칭 회로 및 임피던스 매칭 네트워크는 본 명세서에서 상호교환가능하게 사용된다.
일부 실시예들에서, RF 송신 라인 (125) 은 상부 전극 (128) 에 커플링되고, 척 (126) 의 하부 전극은 접지 전위에 커플링된다.
다양한 실시예들에서, 클록 신호 (152) 는 프로세서 (142) 에 의해 또는 클록 소스에 의해 생성되고, 예들은 상기 제공되었다. 일부 실시예들에서, 클록 신호 (152) 는 프로세서 (142) 로부터 케이블 (146) 을 통해 DSP (110) 에 그리고 케이블 (148) 을 통해 DSP (132) 에 제공된다.
일부 실시예들에서, 펄싱된 신호 (150) 가 프로세서 (142) 로부터 x ㎑ RF 생성기 및 y ㎒ RF 생성기로 전송되는 대신, 펄싱된 신호 (150) 는 마스터 RF 생성기로부터 슬레이브 RF 생성기, 예컨대 y ㎒ RF 생성기로 전송된다. 마스터 RF 생성기의 예는 x ㎑ RF 생성기이다. 예시를 위해, x ㎑ RF 생성기의 DSP (110) 는 프로세서 (142) 로부터 펄싱된 신호 (150) 를 수신하고 펄싱된 신호 (150) 를 케이블, 예컨대 병렬 전송 케이블, 직렬 전송 케이블, 또는 USB 케이블을 통해, y ㎒ RF 생성기의 DSP (132) 로 전송한다.
도 2는 x ㎑ RF 생성기 (도 1) 에 의해 생성되는 RF 신호 (202) 와 같은, 3 상태들 S1 내지 S3의 RF 신호를 예시하고 y ㎒ RF 생성기에 의해 생성되는 RF 신호 (204) 와 같은, 3 상태들 S1 내지 S3의 RF 신호를 예시하기 위한 그래프 (200) 의 실시예의 도면이다. RF 신호 (202) 는 x ㎑ RF 생성기의 출력부 (122) 에 공급된 전력 vs. 시간 t 의 플롯이고, 그리고 RF 신호 (204) 는 y ㎒ RF 생성기의 출력부 (136) 에 공급된 전력 vs. 시간 t의 플롯이다.
x ㎑ RF 생성기에 의해 생성되는 RF 신호는 3 상태들 S1 내지 S3에 대한 3 개의 전력 설정점들 사이를 교번함으로써 3 상태들 S1 내지 S3 사이를 교번한다. x ㎑ RF 생성기에 의해 생성되는 RF 신호의 상태에 대한 전력 설정점의 예는 상태에 대한 전력량들의 인벨롭이다. x ㎑ RF 생성기에 의해 생성되는 RF 신호의 상태에 대한 전력 설정점의 또 다른 예는 상태에 대한 전력량들의 0-피크 값이다.
상태 S1 동안 x ㎑ RF 생성기에 의해 생성되는 RF 신호의 전력 설정점은 전력 레벨 PL4를 갖는다는 것을 주의해야 한다. 또한, 상태 S2 동안 x ㎑ RF 생성기에 의해 생성되는 RF 신호의 전력 설정점은 전력 레벨 PL4보다 낮고 상태 S2 동안 RF 신호 (204) 의 전력 레벨 PL2보다 높은 전력 레벨 PL3을 갖는다. 예를 들어, 상태 S2 동안 x ㎑ RF 생성기에 의해 생성되는 RF 신호의 전력 레벨 PL3의 모든 전력량들은 상태 S1 동안 x ㎑ RF 생성기에 의해 생성되는 RF 신호의 전력 레벨 PL4의 모든 전력량들보다 낮다.
또한, 상태 S3 동안 x ㎑ RF 생성기에 의해 생성되는 RF 신호의 전력 설정점은 RF 신호 (202) 의 전력 레벨 PL3보다 낮고 RF 신호 (204) 의 전력 레벨 PL2보다 낮은 전력 레벨 PL1을 갖는다. 예를 들어, 상태 S3 동안 x ㎑ RF 생성기에 의해 생성되는 RF 신호의 전력 레벨 PL1의 모든 전력량들은 상태 S2 동안 x ㎑ RF 생성기에 의해 생성되는 RF 신호의 전력 레벨 PL3의 모든 전력량들보다 낮다. 예로서, 상태 S1 동안 RF 신호 (202) 의 전달된 전력의 전력 레벨은 15000 W (watt) 내지 19000 W의 범위이고, 상태 S2 동안 RF 신호 (202) 의 전달된 전력의 전력 레벨은 8000 W 내지 12000 W의 범위이고, 그리고 상태 S3 동안 RF 신호 (202) 의 전달된 전력의 전력 레벨은 200 W 내지 400 W의 범위이다. 또 다른 예로서, 상태 S1 동안 RF 신호 (202) 의 전달된 전력의 전력 레벨은 17000 W이고, 상태 S2 동안 RF 신호 (202) 의 전달된 전력의 전력 레벨은 10000 W이고, 그리고 상태 S3 동안 RF 신호 (202) 의 전달된 전력의 전력 레벨은 300 W이다.
y ㎒ RF 생성기에 의해 생성되는 RF 신호 (204) 는 3 상태들 S1 내지 S3에 대해 3 개의 전력 설정점 사이를 교번함으로써 3 상태들 S1 내지 S3 사이를 교번한다. y ㎒ RF 생성기에 의해 생성되는 RF 신호의 상태에 대한 전력 설정점의 예는 상태에 대한 전력량들의 인벨롭이다. y ㎒ RF 생성기에 의해 생성되는 RF 신호의 상태에 대한 전력 설정점의 예는 상태에 대한 전력량들의 0-피크 값이다.
RF 신호 (204) 의 상태 각각은 RF 신호 (202) 의 상태 각각과 동기된다. 예를 들어, 시간 동안 RF 신호 (202) 는 상태 S1을 갖고, RF 신호 (204) 는 상태 S1을 갖는다. 시간 동안 RF 신호 (202) 는 상태 S2를 갖고, RF 신호 (204) 는 상태 S2를 갖는다. 또한, 시간 동안 RF 신호 (202) 는 상태 S3을 갖고, RF 신호 (204) 는 상태 S3을 갖는다. 또 다른 예로서, RF 신호 (202) 가 상태 S1로부터 상태 S2로 전이할 때, RF 신호 (204) 가 상태 S1로부터 상태 S2로 전이한다. 다른 예로서, RF 신호 (202) 가 상태 S2로부터 상태 S3으로 전이할 때, RF 신호 (204) 상태 S2로부터 상태 S3으로 전이한다. 또 다른 예로서, RF 신호 (202) 가 상태 S3으로부터 상태 S1로 전이할 때, RF 신호 (204) 상태 S3으로부터 상태 S1로 전이한다. 여전히 또 다른 예로서, RF 신호 (202) 가 상태 S1로부터 상태 S2로 전이하는 시간 기간 동안, RF 신호 (204) 가 상태 S1로부터 상태 S2로 전이한다. 다른 예로서, RF 신호 (202) 가 상태 S2로부터 상태 S3으로 전이하는 시간 기간 동안, RF 신호 (204) 상태 S2로부터 상태 S3으로 전이한다. 또 다른 예로서 RF 신호 (202) 가 상태 S3으로부터 상태 S1로 전이하는 시간 기간 동안, RF 신호 (204) 가 상태 S3으로부터 상태 S1로 전이한다.
상태 S1 동안 y ㎒ RF 생성기에 의해 생성되는 RF 신호의 전력 설정점은 전력 레벨 PL3보다 낮고 전력 레벨 PL4보다 낮은 전력 레벨 PL2을 갖는다는 것을 주의해야 한다. 또한, 상태 S2 동안 y ㎒ RF 생성기에 의해 생성되는 RF 신호의 전력 설정점은 전력 레벨 PL2보다 낮은 0의 전력 레벨을 갖는다. 예를 들어, 상태 S2 동안 y ㎒ RF 생성기에 의해 생성되는 RF 신호의 0의 전력 레벨의 모든 전력량들은 상태 S1 동안 y ㎒ RF 생성기에 의해 생성되는 RF 신호의 전력 레벨 PL2의 모든 전력량보다 낮다. y ㎒ RF 생성기의 0의 전력 레벨은 기판 (130) 의 스택층의 하단부를 향해 플라즈마 챔버 (108) 의 플라즈마의 이온들의 수직 지향성을 상승시키는 것을 돕는다.
또한, 상태 S3 동안 y ㎒ RF 생성기에 의해 생성되는 RF 신호의 전력 설정점은 전력 레벨 PL2보다 낮지만 0의 전력 레벨보다 높은 전력 레벨 PL1을 갖는다. 예를 들어, 상태 S3 동안 y ㎒ RF 생성기에 의해 생성되는 RF 신호의 전력 레벨 PL1의 모든 전력량들은 상태 S1 동안 y ㎒ RF 생성기에 의해 생성되는 RF 신호의 전력 레벨 PL2의 모든 전력량보다 낮고 상태 S2 동안 y ㎒ RF 생성기에 의해 생성되는 RF 신호의 0 전력 레벨의 모든 전력량보다 높다. 예로서, 상태 S1 동안 RF 신호 (204) 의 전달된 전력의 전력 레벨은 4000 W 내지 6000 W의 범위이고, 상태 S2 동안 RF 신호 (204) 의 전달된 전력의 전력 레벨은 0 W 내지 100 W의 범위이고, 그리고 상태 S3 동안 RF 신호 (204) 의 전달된 전력의 전력 레벨은 200 W 내지 400 W의 범위이다. 또 다른 예로서, 상태 S1 동안 RF 신호 (204) 의 전달된 전력의 전력 레벨은 5000 W이고, 상태 S2 동안 RF 신호 (204) 의 전달된 전력의 전력 레벨은 0 W이고, 그리고 상태 S3 동안 RF 신호 (204) 의 전달된 전력의 전력 레벨은 300 W이다. 상태 S3 동안 x ㎑ RF 생성기 및 y ㎒ RF 생성기의 동일한 전력 레벨 PL1은 스택층의 상단부 상의 마스크층의 손실의 감소를 용이하게 한다.
x ㎑ RF 생성기들 및 y ㎒ RF 생성기들에 의해 생성된 RF 신호들의 상태 S2의 듀티 사이클은 RF 신호들의 상태 S3의 듀티 사이클보다 낮다는 것을 주의해야 한다. 또한, x ㎑ RF 생성기들 및 y ㎒ RF 생성기들에 의해 생성된 RF 신호들의 상태 S1의 듀티 사이클은 RF 신호들의 상태 S3의 듀티 사이클보다 낮다. 예로서, RF 신호들 (202 및 204) 각각의 상태 S1의 듀티 사이클dms 클록 신호 (152) 의 클록 사이클의 a %이고, RF 신호들 (202 및 204) 각각의 상태 S2의 듀티 사이클은 클록 신호 (152) 의 클록 사이클의 b %이고, 그리고 RF 신호들 (202 및 204) 각각의 상태 S3의 듀티 사이클은 클록 신호 (152)의 클록 사이클의 (100-a-b) %이고, a는 정수이고 b는 정수이다. 예로서, a 및 b 각각은 50 이하의 값을 갖고 b는 a 미만이다. 예시를 위해, RF 신호들 (202 및 204) 각각의 상태 S1의 듀티 사이클은 클록 신호 (152) 의 클록 사이클의 20 % 내지 30 % 범위이고, RF 신호들 (202 및 204) 각각의 상태 S2의 듀티 사이클은 클록 신호 (152) 의 클록 사이클의 5 % 내지 15 %의 범위이고, 그리고 RF 신호들 (202 및 204) 각각의 상태 S3의 듀티 사이클은 클록 신호 (152) 의 클록 사이클의 75 % 내지 55 %의 범위이다. 또 다른 예시로서, RF 신호들 (202 및 204) 각각의 상태 S1의 듀티 사이클은 클록 신호 (152) 의 클록 사이클의 25 %이고, RF 신호들 (202 및 204) 각각의 상태 S2의 듀티 사이클은 클록 신호 (152) 의 클록 사이클의 10 %이고, 그리고 RF 신호들 (202 및 204) 각각의 상태 S3의 듀티 사이클은 클록 신호 (152) 의 클록 사이클의 65 %이다. 예시를 위해, 상태의 듀티 사이클은 상태가 유지되는 클록 신호 (152) 의 클록 사이클의 백분율로 측정된 시간 기간이다. 일 실시예에서, x ㎑ RF 생성기 및 y ㎒ RF 생성기에 의해 생성된 RF 신호들의 상태 S2의 듀티 사이클은 RF 신호들의 상태 S1의 듀티 사이클보다 작다.
RF 생성기에 의해 생성되는 RF 신호는 RF 생성기에 의해 공급된 RF 신호와 동일하다는 것을 주의해야 한다. 예를 들어, x ㎑ RF 생성기에 의해 생성된 RF 신호는 x ㎑ RF 생성기에 의해 공급되는 RF 신호와 동일하다. 또 다른 예로서, y ㎒ RF 생성기에 의해 생성된 RF 신호는 y ㎒ RF 생성기에 의해 공급되는 RF 신호와 동일하다.
일부 실시예들에서, y ㎒ RF 생성기에 의해 생성되는 RF 신호의 상태 S2 동안 0의 전력 레벨 대신, 전력 레벨은 0의 전력 레벨로부터 미리 결정된 범위, 예컨대 5 내지 10 % 이내이다. 예를 들어, RF 신호 (202) 의 전력 레벨은 전력 레벨 PL1보다 낮지만 0보다 크다.
일부 실시예들에서, 전달된 전력량은 RF 생성기에 의해 생성되고 공급되는 전력량과 동일하다. 예를 들어, RF 생성기를 향해 반사되는 전력이 0 또는 최소값일 때, RF 생성기에 의해 전달된 전력은 RF 생성기에 의해 공급하기 위해 RF 생성기에 의해 생성되는 전력과 동일하다. 전달된 전력은 RF 생성기에 의해 공급된 전력과 RF 생성기를 향해 반사되는 전력 간 차이다. 전력은 RF 송신 라인 (125), IMN (106), 및 RF 생성기를 IMN (106) 에 커플링하는 RF 케이블을 통해 플라즈마 챔버 (108) 로부터 RF 생성기를 향해 반사된다.
도 3은 클록 신호 (152), 펄싱된 신호 (150), x ㎑ RF 생성기에 의해 생성된 RF 신호, 및 z ㎒ RF 생성기에 의해 생성된 RF 신호 간 동기화를 예시하기 위한 복수의 그래프들 (300, 302, 및 200) 의 도면이다. 그래프 (300) 는 클록 신호 (152) 의 논리 레벨 vs. 시간 t를 플롯팅한다. 유사하게, 그래프 (302) 는 펄싱된 신호 (150) 의 논리 레벨 vs. 시간 t를 플롯팅한다.
시간 기간 t1은 x ㎑ RF 생성기 및 z ㎒ RF 생성기에 의해 생성된 RF 신호들의 상태 S1이 유지되는 클록 신호 (152) 의 클록 사이클 동안의 시간 기간이다. 유사하게, 시간 기간 t2는 x ㎑ RF 생성기 및 z ㎒ RF 생성기에 의해 생성된 RF 신호들의 상태 S2가 유지되는 클록 신호 (152) 의 클록 사이클 동안의 시간 기간이다. 또한, 시간 기간 t3은 x ㎑ RF 생성기 및 z ㎒ RF 생성기에 의해 생성된 RF 신호들의 상태 S3이 유지되는 클록 신호 (152) 의 클록 사이클 동안 시간 기간이다. 예를 들어, 시간 기간 t1은 클록 사이클의 일부를 점유하고, 시간 기간 t2는 클록 사이클의 또 다른 부분을 점유하고, 그리고 시간 기간 t3은 클록 사이클의 나머지 부분을 점유한다. 시간 기간 t1은 시간 기간 t2보다 크고 시간 기간 t3은 시간 기간 t2보다 크다. 시간 기간 t3은 스택층의 상단부 상의 마스크층의 손실의 감소를 용이하게 하도록 시간 기간 t2보다 크다. 시간 기간 t2는 스택층의 하단부를 향해 플라즈마의 이온들의 수직 지향성을 상승시키도록 발생한다. 또한, 시간 기간 t2는 마스크 층의 손실이 시간 기간 t1 동안 발생하는 마스크 층의 손실과 비교하여 감소되도록 발생한다. 클록 신호 (152) 의 클록 사이클은 시간 기간들 t1 내지 t3로 이루어지고, 클록 신호 (152) 의 복수의 클록 사이클들을 생성하도록 반복된다.
시간 기간 t1 동안, 펄싱된 신호 (150) 는 논리 레벨 1로부터 논리 레벨 0으로 펄싱한다. 논리 레벨 1은 하이 논리 레벨의 예이고 논리 레벨 0은 로우 논리 레벨의 예이다. 시간 기간 t1 동안, x ㎑ RF 생성기 및 z ㎒ RF 생성기에 의해 생성된 RF 신호들이 상태 S1을 유지하도록 제어된다.
펄싱된 신호 (150) 가 논리 레벨 0으로부터 논리 레벨 1로 전이하는, 클록 사이클의 전이 시간 ts1에서, x ㎑ RF 생성기 및 z ㎒ RF 생성기에 의해 생성된 RF 신호들이 상태 S1로부터 상태 S2로 전이하도록 제어된다. 전이 시간 ts1은 시간 기간 t1 후에 발생한다.
시간 기간 t2는 전이 시간 ts1 후에 발생한다. 시간 기간 t2 동안, 펄싱된 신호 (150) 는 논리 레벨 1로부터 논리 레벨 0으로 펄싱한다. 또한, 시간 기간 t2 동안, x ㎑ RF 생성기 및 z ㎒ RF 생성기에 의해 생성된 RF 신호들은 상태 S2를 유지하도록 제어된다.
펄싱된 신호 (150) 가 논리 레벨 0으로부터 논리 레벨 1로 전이하는, 클록 사이클의 전이 시간 ts2에서, x ㎑ RF 생성기 및 z ㎒ RF 생성기에 의해 생성된 RF 신호들은 상태 S2로부터 상태 S3으로 전이하도록 제어된다. 전이 시간 ts2는 시간 기간 t2 후에 발생한다.
시간 기간 t3은 전이 시간 ts2 후에 발생한다. 시간 기간 t3 동안, 펄싱된 신호 (150) 는 논리 레벨 1로부터 논리 레벨 0으로 펄싱된다. 또한, 시간 기간 t3 동안, x ㎑ RF 생성기 및 z ㎒ RF 생성기에 의해 생성된 RF 신호들은 상태 S3을 유지하도록 제어된다.
펄싱된 신호 (150) 가 논리 레벨 0으로부터 논리 레벨 1로 전이하는, 클록 사이클의 전이 시간 ts3에서, x ㎑ RF 생성기 및 z ㎒ RF 생성기에 의해 생성된 RF 신호들은 상태 S3으로부터 상태 S1로 전이하도록 제어된다. 전이 시간 ts3은 시간 기간 t3 후에 발생한다. 시간 기간 t1은 클록 신호 (152) 의 연속적인 클록 사이클 동안 전이 시간 ts3 후에 반복된다. 클록 신호 (152) 의 연속적인 클록 사이클 동안 시간 기간 t1은 다시 클록 신호 (152) 의 연속적인 클록 사이클의 시간 기간들 t2 및 t3에 이어진다. 클록 신호 (152) 의 연속적인 클록 사이클은 연속적이고, 예컨대 클록 신호 (152) 의 클록 사이클에 연속적으로 이어지거나 순차적으로 이어진다. 전이 시간들 ts1 내지 ts3 및 시간 기간들 t1 내지 t3은 연속적인 클록 사이클 동안 반복된다. 또한, 전이 시간들 ts1 내지 ts3 및 시간 기간들 t1 내지 t3은 연속적인 사이클 후에 반복되는 클록 신호 (152) 의 이어지는 사이클들에 반복된다.
RF 신호들 (202 및 204) 의 상태들 S1 내지 S3은 클록 신호 (152) 의 사이클 각각과 동기하여 반복된다. 예를 들어, RF 신호 (202) 의 상태들 S1 내지 S3은 클록 신호 (152) 의 클록 사이클 동안 발생하고 그리고 상태들 S1 내지 S3은 클록 신호 (152) 의 연속적인 클록 사이클 동안 반복된다. 또 다른 예로서, RF 신호 (204) 의 상태들 S1 내지 S3은 클록 신호 (152) 의 클록 사이클 동안 발생하고, 상태들 S1 내지 S3은 클록 신호 (152) 의 연속적인 클록 사이클 동안 반복된다.
다양한 실시예들에서, RF 신호들 (202 및 204) 의 상태들 S1 및 S2은 클록 신호 (152) 의 클록 사이클의 기간의 1/2이 완료되기 전에 발생하고, 상태 S3은 클록 사이클의 후반 동안 발생한다. 예를 들어, RF 신호들 (202 및 204) 의 상태들 S1 및 S2는 시간 tc1 전에 발생하고, RF 신호들 (202 및 204) 의 상태 S3은 시간 tc1 후에 발생한다. 예시를 위해, 전이 시간 ts2는 시간 tc1 전에 발생하고 전이 시간 ts3은 시간 tc1 후에 발생한다. 시간 tc1은 클록 신호 (152) 의 클록 사이클의 1/2의 발생 시간이다. 예를 들어, 클록 사이클은 시간 tc1 전에 논리 레벨 1에 있고 시간 tc1 후에 논리 레벨 0에 있다.
일부 실시예들에서, RF 신호들 (202 및 204) 의 상태 S1은 클록 신호 (152) 의 클록 사이클의 기간의 1/2이 완료되기 전에 발생하고, RF 신호들 (202 및 204) 의 상태 S2는 클록 사이클의 전반부로부터 클록 사이클의 후반부로 클록 신호 (152) 의 전이 동안 발생하고, 그리고 RF 신호들 (202 및 204) 의 상태 S3은 클록 사이클의 후반 동안 발생한다. 예를 들어, RF 신호들 (202 및 204) 의 상태 S1은 시간 tc1 전에 발생하고, 상태 S2는 클록 사이클의 전반으로부터 클록 사이클의 후반으로 클록 신호 (152) 의 전이 동안 발생하고, 그리고 상태 S3은 시간 tc1 후이지만 전이 시간 ts3 전에 발생한다.
몇몇 실시예들에서, RF 신호들 (202 및 204) 의 상태 S1은 클록 신호 (152) 의 클록 사이클의 기간의 1/2 동안 발생하고 RF 신호들 (202 및 204) 의 상태들 S2 및 S3은 클록 사이클의 기간의 나머지 1/2 동안 발생한다. 예를 들어, RF 신호들 (202 및 204) 의 상태들 S1은 시간 tc1 전에 발생하고 그리고 RF 신호들 (202 및 204) 의 상태들 S2 및 S3은 시간 tc1 후이지만 전이 시간 ts3 전에 발생한다.
일부 실시예들에서, 시간 기간 t1 및 t2는 같고, 시간 기간 t3은 시간 기간들 t1 및 t2 각각보다 길다.
도 4a 및 도 4b는 2 ㎒ RF 생성기 대신 x ㎑ RF 생성기를 사용한 이점을 예시하기 위한 도면이다. 도 4a는 플라즈마 챔버 (108) 내에서 상부 전극 (128) 과 척 (126) 사이에 형성된 플라즈마의 포지티브 대전된 이온들 I1, I2, I3, I4, 및 I5과 같은 이온들 및 중성종들 N1, N2, N3, N4, 및 N5의 eV의 에너지 분포를 예시하는 플롯 (402A) 을 갖는다. 2 ㎒ RF 생성기가 400 ㎑ RF 생성기 대신 사용되고 도 1을 참조하여 기술된 방법이 적용되지 않을 때 플라즈마가 형성된다. 에너지 분포가 °로 측정된 각도 θ에 대해 플롯팅되었다. 각도 θ는 기판 층과 같은 정지층의 상단부 상에 형성된 스택층 (410A) 내에 형성된 채널 (404A) 에 걸쳐 측정된다. 본 명세서에 사용된 바와 같이, 스택층은 하나 이상의 옥사이드 층들, 배리어 층, 씨드 층, 하나 이상의 금속 층들, 또는 이들의 조합을 포함한다. 채널 (404A) 은 이온들이 스택층 (410A) 상에 입사할 때 형성된다. 2 ㎒ RF 생성기가 x ㎑ RF 생성기 대신 사용될 때, 플라즈마의 대부분의 이온들은 채널 (404A) 의 하단 표면 (408A) 상으로 입사하지 않는다는 것을 주의해야 한다. 에너지 분포가 채널 (404A) 에 걸쳐 넓고, 따라서 대부분의 이온들은 스택층 (410A) 의 상단부 상에 제조된 마스크 층 (406A) 을 에칭한다.
도 4b는 플라즈마 챔버 (108) 내에서 상부 전극 (128) 과 척 (126) 사이에 형성된 플라즈마의 이온들의 eV의 에너지 분포를 예시하는 플롯 (402B) 을 갖는다. 플라즈마는 도 1에 예시된 바와 같이 x ㎑ RF 생성기가 사용될 때 형성된다. 에너지 분포가 °로 측정된 각도 θ에 대해 플롯팅되었다. 각도 θ는 정지층의 상단부 상에 형성된 스택층 (410B) 내에 형성된 채널 (404B) 에 걸쳐 측정된다. 채널 (404B) 은 이온들이 스택층 (410B) 상에 입사할 때 형성된다. 예시된 바와 같이, x ㎑ RF 생성기가 플라즈마 툴 (100) 내에서 사용될 때, 플라즈마의 대부분의 이온들은 채널 (404B) 의 하단 표면 (408B) 상에 입사하고, 채널 (404B) 을 에칭하는 에칭 레이트는 채널 (404A) 을 에칭하는 에칭 레이트와 비교하여 상승된다는 것을 주의해야 한다. 에너지 분포는 채널 (404B) 에 걸쳐 좁고 따라서 대부분의 이온들이 스택층 (410B) 의 상단부 상에 형성된 마스크 층 (406B) 을 에칭하는 대신 스택층 (410B) 을 에칭한다. 정지 층, 스택층 (410B), 및 마스크 층 (406B) 의 조합이 도 1의 기판 (130) 의 예이다.
플롯들 (402B 및 402A) 로부터 예시된 바와 같이, x ㎑ RF 생성기가 사용될 때 이온들의 이온 에너지의 각도 분포는 2 ㎒ RF 생성기가 x ㎑ RF 생성기 대신 사용될 때 이온 에너지의 각도 분포와 비교하여 그리고 도 1을 사용하여 예시된 방법들이 적용되지 않을 때와 비교하여, 도 1에 예시된 바와 같이 보다 좁다.
도 5a는 도 1을 참조하여 본 명세서에 기술된 방법들이 적용되지 않을 때 기판 (502A) 의 실시예의 도면이다. 도 1을 참조하여 본 명세서에 기술된 방법들이 적용되지 않을 때 BL (baseline) 프로파일이 적용된다. 기판 (502A) 은 정지 층 (504A) 을 포함한다. 스택층 (506A) 이 정지 층 (504A) 의 상단부 상에 오버레이되고 마스크 층 (508A) 이 스택층 (506A) 의 상단부 상에 오버레이된다. BL 프로파일이 적용될 때, 마스크 층 (508A) 의 부분 A와 부분 B 사이의 수평 거리와 같은 CD는 플라즈마 툴 (100) 을 사용하여 예시된 방법들을 적용할 때와 비교하여 보다 작다. 그 결과, 보다 적은 수의 이온들이 스택층 (506A) 의 부분 A과 스택층 (506A) 의 부분 B 사이에 형성된 채널 (512A) 의 하단 표면 (510A) 을 향해 도달할 수 있다.
도 5b는 도 1을 참조하여 본 명세서에 기술된 방법들이 적용될 때, 기판 (502B) 의 실시예의 도면이다. 기판 (502B) 은 기판 (130) (도 1) 의 예이다. 기판 (502B) 은 정지 층 (504A) 을 포함한다. 스택층 (506B) 이 정지 층 (504A) 의 상단부 상에 오버레이되고 마스크 층 (508B) 이 스택층 (506B) 의 상단부 상에 오버레이된다. 도 1을 참조하여 본 명세서에 기술된 방법들이 적용될 때, 마스크 층 (508B) 의 부분 A와 부분 B 사이의 수평 거리와 같은 CD는 BL 프로파일이 적용될 때와 비교하여 보다 크다. 그 결과, 보다 많은 수의 이온들이 스택층 (506B) 의 부분 A과 스택층 (506B) 의 부분 B 사이에 형성된 채널 (512B) 의 하단 표면 (510B) 을 향해 도달할 수 있다. 이온들의 수직 지향성은 도 1의 플라즈마 툴 (100) 을 사용하여 예시된 방법들이 적용될 때 상승된다. 수직 지향성을 상승시키기 위해 마스크 층 (508B) 은 상태 S2 동안 마스크 층 (508A) 과 비교하여 보다 높은 레이트로 에칭되고 채널 (512B) 을 에칭하는 에칭 레이트는 채널 (512A) 을 에칭하는 에칭 레이트와 비교하여 상승된다. 상태 S2 동안 마스크 층 (508B) 의 손실이 상태 S3 동안 회복된다.
도 6은 50 ㎑ RF 생성기, 100 ㎑ RF 생성기, 2 ㎒ RF 생성기, 또는 27 ㎒ RF 생성기가 400 ㎑ RF 생성기 대신 사용될 때와 비교하여 플라즈마 툴 (100) 내에서 400 ㎑ RF 생성기가 사용될 때 IAD (ion angular distribution) 가 가장 좁다는 것을 예시하기 위한 그래프 (600) 의 실시예이다. 그래프 (600) 는 IAD vs. 도 5b의 채널 (512B) 에 걸친 각도를 플롯팅한다. 플라즈마 툴 (100) 을 사용하여 예시된 방법들을 적용하기 위해 예시된 바와 같이 400 ㎑ RF 생성기가 플라즈마 툴 (100) 내에서 사용될 때, 채널 (512B) 내에서 좁은 범위의 각도들에 걸쳐 이온들이 확산되도록 이온들의 수직 포커스, 예컨대 수직 지향성이 상승된다. 수직 지향성의 상승은 50 ㎑ RF 생성기, 100 ㎑ RF 생성기, 2 ㎒ RF 생성기, 또는 27 ㎒ RF 생성기가 400 ㎑ RF 생성기 대신 사용될 때와 비교하여 기판 (130) 을 에칭하는 에칭 레이트를 상승시킨다.
도 7은 동일한 양의 정규화된 이온 플럭스의 이온들이 50 ㎑ RF 생성기, 100 ㎑ RF 생성기, 2 ㎒ RF 생성기, 또는 27 ㎒ RF 생성기가 400 ㎑ RF 생성기 대신 사용될 때와 비교하여 플라즈마 툴 (100) 내에서 400 ㎑ RF 생성기가 사용될 때 보다 높은 종횡비를 달성하는 것을 용이하게 하는 것을 예시하기 위한 그래프 (700) 의 실시예이다. 종횡비는 50 ㎑ RF 생성기, 100 ㎑ RF 생성기, 2 ㎒ RF 생성기, 또는 27 ㎒ RF 생성기가 400 ㎑ RF 생성기 대신 사용될 때 달성되는 것과 비교하여 보다 높다.
종횡비는 채널의 CD에 대한 채널의 깊이의 비이다. 예를 들어, 플라즈마 툴 (100) 내에 400 ㎑ RF 생성기를 사용하여 달성된 종횡비는 채널 (512B) 의 깊이 vs. 마스크 층 (508B) 의 넥 영역에서 마스크 층 (508B) 의 부분들 (A와 B) 사이의 수평 거리의 비이다. 넥 영역은 마스크 층 (508B) 의 나머지 부분과 비교하여 마스크 층 (508B) 의 상단 표면에 보다 가깝게 위치된다. 또한, 넥 영역은 마스크 층 (508B) 의 나머지 부분과 비교하여 스택층 (506B) 으로부터 이격되어 위치된다. 예시를 위해, 넥 영역은 마스크 층 (508B) 의 부분들 (A 및 B) 에 벌지 (bulge) 가 형성되는 위치이다.
본 명세서에 기술된 실시예들은 휴대형 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램가능 가전, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 실시예들은 또한 네트워크를 통해 링크된 원격 프로세싱 하드웨어 유닛들에 의해 태스크들이 수행되는 분산 컴퓨팅 환경들에서 실시될 수 있다.
일부 실시예들에서, 제어기는 상술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템은 프로세싱 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템 등) 을 위한 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 플랫폼 또는 플랫폼들을 포함하는 반도체 프로세싱 장비를 포함한다. 이들 시스템은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 동안에 또는 이후에 그의 동작을 제어하기 위한 전자 장치들과 통합된다. 이 전자 장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭된다. 제어기는 프로세싱 요건들 및/또는 시스템 유형에 따라 본 명세서에서 기술된 임의의 프로세스들을 제어하도록 프로그램되며, 이러한 프로세스는 프로세스 가스들의 전달, 온도 설정 (예를 들어, 가열 및/또는 냉각), 압력 설정, 진공 설정, 전력 설정, RF 생성기 설정, RF 매칭 회로 설정, 주파수 설정, 플로우 레이트 설정, 유체 전달 설정, 위치 및 동작 설정, 및 시스템에 커플링되거나 시스템과 인터페이싱하는 툴 및 다른 전달 툴들 및/또는 로드록들 내외로의 웨이퍼 이송 등을 포함한다.
일반적으로 말하면, 다양한 실시예들에서, 제어기는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치들로서 규정되며, 이들은 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고 엔드포인트 측정, 등을 인에이블한다. 집적 회로는 프로그램 인스트럭션들을 저장하는 펌웨어 형태로 된 칩들, DSP들 (digital signal processors), ASIC들로서 규정되는 칩들, PLD들, 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 를 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 반도체 웨이퍼 상의 또는 이에 대한 특정한 프로세스를 실행하기 위한 파라미터들, 인자들, 변수들, 등을 규정하는 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기 또는 시스템으로 통신되는 인스트럭션들이다. 프로그램 인스트럭션들은, 일부 실시예들에서, 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 이산화물, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하기 위해서 프로세스 엔지니어들에 의해서 규정된 레시피의 일부이다.
제어기는 일부 실시예들에서, 시스템에 통합되거나 시스템에 커플링되거나 이와 달리 시스템에 네트워킹되거나 이들의 조합으로 된 컴퓨터에 커플링되거나 컴퓨터의 일부이다. 예를 들어, 제어기는 "클라우드" 내에 있거나 팹 (fab) 호스트 컴퓨터 시스템의 일부 또는 전부이며, 이는 웨이퍼 프로세싱을 위한 원격 액세스를 가능하게 한다. 컴퓨터는 제조 동작들의 현 진행 사항을 모니터링하기 위해서 시스템으로의 원격 액세스를 인에이블하며, 지난 제조 동작들의 이력을 검사하고, 복수의 제조 동작들로부터의 경향성들 또는 성능 계측사항들을 검사하고, 현 프로세싱의 파라미터를 변화시키게 하며 현 프로세싱을 따르도록 프로세싱 단계들을 설정하게 하고, 새로운 프로세스를 시작하게 한다.
일부 실시예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 프로세스 레시피들을 네트워크를 통해 시스템에 제공하며, 이 네트워크는 로컬 네트워크 또는 인터넷을 포함한다. 원격 컴퓨터는 사용자 인터페이스들을 포함하며 이 인터페이스는 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하며, 이들은 이어서 원격 컴퓨터로부터 시스템으로 통신된다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들의 각각에 대한 파라미터들, 인자들, 및/또는 변수들을 명시하는 인스트럭션들을 설정사항들의 데이터의 형태로 수신한다. 파라미터들, 인자들, 및/또는 변수들은 수행될 프로세스 타입 및 제어기가 인터페이싱하거나 제어하도록 구성된 툴의 타입에 특정된다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예를 들어 서로 네트워킹된 하나 이상의 개별 제어기들을 포함시키고 예를 들어 본 명세서에서 기술된 프로세스들 및 제어들과 같은 공통 목적을 위해서 작동시킴으로써 분산된다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 서로 결합되는 이격되게 위치한 (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시예들에서, 방법들이 적용될 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 추적 챔버 또는 모듈, 및 반도체 웨이퍼들을 제조 및/또는 제작시에 사용되거나 연관된 임의의 다른 반도체 프로세싱 시스템들을 포함한다.
일부 실시예들에서, 상기 기술된 동작들은 몇몇 타입들의 플라즈마 챔버들, 예를 들어, ICP (inductively coupled plasma) 반응기를 포함하는 플라즈마 챔버, TCP (transformer coupled plasma) 챔버, 도전체 툴들, 유전체 툴들, ECR (electron cyclotron resonance) 반응기, 등을 포함하는 플라즈마 챔버에 적용된다는 것을 더 주의한다. 예를 들어, 하나 이상의 RF 생성기들은 ICP 반응기 내 인덕터에 커플링된다. 인덕터의 형상의 예들은 솔레노이드, 돔-형상 코일, 플랫-형상 코일, 등을 포함한다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라, 호스트 컴퓨터는 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접하는 툴들, 이웃하는 툴들, 공장 전반에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 반도체 제조 공장에서 웨이퍼들의 용기들을 툴 위치들 및/또는 로드 포트들로 그리고 이들로부터 이동하는 재료 이송 시에 사용되는 툴들 중 하나 이상과 통신한다.
상기 실시예들을 유념하여, 실시예들 중 일부가 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현 동작들을 채용한다는 것을 이해해야 한다. 이들 동작들은 물리량들을 물리적으로 조작하는 것이다. 실시예들의 일부를 형성하는 본 명세서에 기술된 임의의 동작들은 유용한 머신 동작들이다.
일부 실시예들은 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터를 위해 특별히 구성된다. 특수 목적 컴퓨터로 규정될 때, 컴퓨터는 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행하지만, 여전히 특수 목적을 위해 동작가능하다.
일부 실시예들에서, 동작들은 컴퓨터 메모리, 캐시에 저장되거나 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성된 컴퓨터에 의해 프로세싱될 수도 있다. 데이터가 컴퓨터 네트워크를 통해 획득될 때 데이터는 컴퓨터 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
하나 이상의 실시예들은 또한 비일시적인 컴퓨터-판독가능 매체 상의 컴퓨터-판독가능 코드로 제작될 수도 있다. 비일시적인 컴퓨터-판독가능 매체는 컴퓨터 시스템에 의해 후에 판독되는 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스, 등이다. 비일시적인 컴퓨터-판독가능 매체의 예들은 하드 드라이브, NAS (network attached storage), ROM, RAM, CD-ROM들 (compact disc-ROM), CD-R들 (CD-recordable), CD-RW들 (CD-rewritable), 자기 테입 및 다른 광학 및 비-광학 데이터 저장 하드웨어 유닛들을 포함한다. 일부 실시예들에서, 비일시적인 컴퓨터-판독가능 매체는 컴퓨터-판독가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터-판독가능 유형 매체를 포함한다.
방법 동작들이 특정한 순서로 상기에 기술되었지만, 다양한 실시예들에서, 다른 관리 동작들이 동작들 사이에서 수행되고, 또는 방법 동작들이 약간 상이한 시간들에 일어나도록 조정되고, 또는 다양한 간격들로 방법 동작들의 발생을 가능하게 하는 시스템 내에 분산되거나 상기 기술된 순서와 상이한 순서로 수행된다는 것이 이해되어야 한다.
실시예에서, 상기 기술된 임의의 실시예로부터 하나 이상의 피처들이 제시된 개시에 기술된 다양한 실시예들에 기술된 범위로부터 벗어나지 않고 임의의 다른 실시예의 하나 이상의 피처들과 결합된다는 것을 또한 주의해야 한다.
전술한 실시예들은 이해의 명확성을 목적으로 다소 상세하게 기술되었지만, 첨부된 청구항들의 범위 내에서 특정한 변화들 및 수정들이 실시될 수 있다는 것이 자명할 것이다. 따라서, 제시된 실시예들은 예시적이고 비제한적인 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되지 않는다.

Claims (26)

  1. 스택층의 하단부를 향해 플라즈마의 이온들의 지향성을 상승시키는 방법에 있어서,
    디지털 펄싱된 신호를 수신하는 단계로서, 상기 디지털 펄싱된 신호는 제 1 상태, 제 2 상태, 및 제 3 상태를 갖는, 상기 디지털 펄싱된 신호를 수신하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 3 상태로부터 상기 제 1 상태로 전이하는지 여부를 결정하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 3 상태로부터 상기 제 1 상태로 전이한다는 결정시 상기 제 1 상태 동안 전력 레벨을 갖는 킬로헤르쯔 (㎑) RF (radio frequency) 신호를 생성하도록 ㎑ RF 생성기를 제어하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 3 상태로부터 상기 제 1 상태로 전이한다는 결정시 상기 제 1 상태 동안 전력 레벨을 갖는 메가헤르쯔 (㎒) RF 신호를 생성하도록 ㎒ RF 생성기를 제어하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 1 상태로부터 상기 제 2 상태로 전이하는지 여부를 결정하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 1 상태로부터 상기 제 2 상태로 전이한다는 결정시 상기 제 2 상태 동안 전력 레벨을 갖는 상기 ㎑ RF 신호를 생성하도록 상기 ㎑ RF 생성기를 제어하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 1 상태로부터 상기 제 2 상태로 전이한다는 결정시 상기 제 2 상태 동안 전력 레벨을 갖는 상기 ㎒ RF 신호를 생성하도록 상기 ㎒ RF 생성기를 제어하는 단계로서, 상기 제 2 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨은 상기 제 1 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨보다 낮은, 상기 ㎒ RF 생성기를 제어하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 2 상태로부터 상기 제 3 상태로 전이하는지 여부를 결정하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 2 상태로부터 상기 제 3 상태로 전이한다는 결정시 상기 제 3 상태 동안 전력 레벨을 갖는 상기 ㎑ RF 신호를 생성하도록 상기 ㎑ RF 생성기를 제어하는 단계로서, 상기 제 2 상태 동안 상기 스택층의 상기 하단부를 향해 상기 플라즈마의 상기 이온들의 상기 지향성을 상승시키기 위해 상기 제 2 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 1 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨보다 낮고 그리고 상기 제 2 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 3 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨보다 높은, 상기 ㎑ RF 생성기를 제어하는 단계; 및
    상기 디지털 펄싱된 신호가 상기 제 2 상태로부터 상기 제 3 상태로 전이한다는 결정시 상기 제 3 상태 동안 전력 레벨을 갖는 상기 ㎒ RF 신호를 생성하도록 상기 ㎒ RF 생성기를 제어하는 단계를 포함하는, 이온들의 지향성을 상승시키는 방법.
  2. 제 1 항에 있어서,
    상기 제 2 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨은 상기 스택층의 상기 하단부를 향해 상기 플라즈마의 상기 이온들의 상기 지향성의 상승을 용이하게 하도록 0인, 이온들의 지향성을 상승시키는 방법.
  3. 제 2 항에 있어서,
    상기 제 3 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨은 상기 스택층의 상단부 상에서 마스크 층의 손실의 감소를 용이하게 하도록 상기 제 2 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨보다 큰, 이온들의 지향성을 상승시키는 방법.
  4. 제 1 항에 있어서,
    상기 제 2 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 2 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨보다 큰, 이온들의 지향성을 상승시키는 방법.
  5. 제 1 항에 있어서,
    상기 제 3 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 스택층의 상단부 상에서 마스크 층의 손실의 감소를 용이하게 하도록 상기 제 3 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨과 동일한, 이온들의 지향성을 상승시키는 방법.
  6. 제 1 항에 있어서,
    상기 제 1 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 1 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨보다 큰, 이온들의 지향성을 상승시키는 방법.
  7. 제 1 항에 있어서,
    클록 신호를 수신하는 단계를 더 포함하고,
    상기 제 1 상태는 상기 클록 신호의 제 1 시간 기간 동안 발생하고, 상기 제 2 상태는 상기 클록 신호의 제 2 시간 기간 동안 발생하고, 그리고 상기 제 3 상태는 상기 클록 신호의 제 3 시간 기간 동안 발생하고,
    상기 제 3 시간 기간은 상기 스택층의 상단부 상의 마스크 층의 손실의 감소를 용이하게 하도록 상기 제 1 시간 기간보다 길고, 상기 제 2 시간 기간은 상기 마스크 층의 상기 손실의 상기 감소를 용이하게 하도록 상기 제 1 시간 기간보다 짧고, 상기 제 2 시간 기간은 상기 이온들의 상기 지향성의 상기 상승을 용이하게 하는, 이온들의 지향성을 상승시키는 방법.
  8. 제 1 항에 있어서,
    상기 ㎑ RF 생성기는 400 ㎑ RF 생성기이고 그리고 상기 ㎒ RF 생성기는 60 ㎒ RF 생성기인, 이온들의 지향성을 상승시키는 방법.
  9. 제 1 항에 있어서,
    반복되는 클록 사이클을 갖는 클록 신호를 수신하는 단계를 더 포함하고,
    상기 제 1 상태, 상기 제 2 상태, 및 상기 제 3 상태는 상기 클록 사이클 동안 발생하고 상기 클록 사이클의 반복으로 반복되는, 이온들의 지향성을 상승시키는 방법.
  10. 스택층의 하단부를 향해 플라즈마의 이온들의 지향성을 상승시키기 위한 시스템에 있어서,
    킬로헤르쯔 RF 신호를 생성하도록 구성된 ㎑ RF 생성기;
    메가헤르쯔 RF 신호를 생성하도록 구성된 ㎒ RF 생성기;
    상기 ㎑ RF 생성기 및 상기 ㎒ RF 생성기에 커플링되고, 수정된 RF 신호를 생성하기 위해 상기 ㎑ RF 신호 및 상기 ㎒ RF 신호를 수신하도록 구성된 임피던스 매칭 네트워크; 및
    상기 임피던스 매칭 네트워크에 커플링되고, 상기 수정된 RF 신호를 수신하도록 구성된 플라즈마 챔버를 포함하고,
    상기 ㎑ RF 생성기는,
    제 1 상태, 제 2 상태, 및 제 3 상태를 갖는, 디지털 펄싱된 신호를 수신하고;
    상기 디지털 펄싱된 신호가 상기 제 3 상태로부터 상기 제 1 상태로 전이하는지 여부를 결정하고;
    상기 디지털 펄싱된 신호가 상기 제 3 상태로부터 상기 제 1 상태로 전이한다는 결정시 상기 제 1 상태 동안 전력 레벨을 갖는 상기 ㎑ RF 신호를 생성하도록 상기 ㎑ RF 생성기를 제어하고;
    상기 디지털 펄싱된 신호가 상기 제 1 상태로부터 상기 제 2 상태로 전이하는지 여부를 결정하고;
    상기 디지털 펄싱된 신호가 상기 제 1 상태로부터 상기 제 2 상태로 전이한다는 결정시 상기 제 2 상태 동안 전력 레벨을 갖는 상기 ㎑ RF 신호를 생성하도록 상기 ㎑ RF 생성기를 제어하고;
    상기 디지털 펄싱된 신호가 상기 제 2 상태로부터 상기 제 3 상태로 전이하는지 여부를 결정하고;
    상기 디지털 펄싱된 신호가 상기 제 2 상태로부터 상기 제 3 상태로 전이한다는 결정시 상기 제 3 상태 동안 전력 레벨을 갖는 상기 ㎑ RF 신호를 생성하도록 상기 ㎑ RF 생성기를 제어하도록 구성되고,
    상기 제 2 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 1 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨보다 낮고 그리고 상기 제 2 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 3 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨보다 높고,
    상기 ㎒ RF 생성기는,
    제 1 상태, 제 2 상태, 및 제 3 상태를 갖는, 디지털 펄싱된 신호를 수신하고;
    상기 디지털 펄싱된 신호가 상기 제 3 상태로부터 상기 제 1 상태로 전이하는지 여부를 결정하고;
    상기 디지털 펄싱된 신호가 상기 제 3 상태로부터 상기 제 1 상태로 전이한다는 결정시 상기 제 1 상태 동안 전력 레벨을 갖는 ㎒ RF 신호를 생성하도록 상기 ㎒ RF 생성기를 제어하고;
    상기 디지털 펄싱된 신호가 상기 제 1 상태로부터 상기 제 2 상태로 전이하는지 여부를 결정하고;
    상기 디지털 펄싱된 신호가 상기 제 1 상태로부터 상기 제 2 상태로 전이한다는 결정시 상기 제 2 상태 동안 전력 레벨을 갖는 상기 ㎒ RF 신호를 생성하도록 상기 ㎒ RF 생성기를 제어하고;
    상기 디지털 펄싱된 신호가 상기 제 2 상태로부터 상기 제 3 상태로 전이하는지 여부를 결정하고;
    상기 디지털 펄싱된 신호가 상기 제 2 상태로부터 상기 제 3 상태로 전이한다는 결정시 상기 제 3 상태 동안 전력 레벨을 갖는 상기 ㎒ RF 신호를 생성하도록 상기 ㎒ RF 생성기를 제어하도록 구성되는, 이온들의 지향성을 상승시키기 위한 시스템.
  11. 제 10 항에 있어서,
    상기 제 2 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨은 0인, 이온들의 지향성을 상승시키기 위한 시스템.
  12. 제 11 항에 있어서,
    상기 제 3 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨은 상기 제 2 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨보다 큰, 이온들의 지향성을 상승시키기 위한 시스템.
  13. 제 10 항에 있어서,
    상기 제 2 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 2 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨보다 큰, 이온들의 지향성을 상승시키기 위한 시스템.
  14. 제 10 항에 있어서,
    상기 제 3 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 3 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨과 동일한, 이온들의 지향성을 상승시키기 위한 시스템.
  15. 제 10 항에 있어서,
    상기 제 1 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 1 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨보다 큰, 이온들의 지향성을 상승시키기 위한 시스템.
  16. 제 10 항에 있어서,
    상기 ㎑ RF 생성기 및 상기 ㎒ RF 생성기 각각은 클록 신호를 수신하도록 구성되고,
    상기 제 1 상태는 상기 클록 신호의 제 1 시간 기간 동안 발생하고, 상기 제 2 상태는 상기 클록 신호의 제 2 시간 기간 동안 발생하고, 그리고 상기 제 3 상태는 상기 클록 신호의 제 3 시간 기간 동안 발생하고, 상기 제 3 시간 기간은 상기 제 1 시간 기간보다 길고, 상기 제 1 시간 기간은 상기 제 2 시간 기간보다 긴, 이온들의 지향성을 상승시키기 위한 시스템.
  17. 제 10 항에 있어서,
    상기 ㎑ RF 생성기는 400 ㎑ RF 생성기이고 그리고 상기 ㎒ RF 생성기는 60 ㎒ RF 생성기인, 이온들의 지향성을 상승시키기 위한 시스템.
  18. 제 10 항에 있어서,
    상기 ㎑ RF 생성기 및 상기 ㎒ RF 생성기 각각은 반복되는 클록 사이클을 갖는 클록 신호를 수신하도록 구성되고, 상기 제 1 상태, 상기 제 2 상태, 및 상기 제 3 상태는 상기 클록 사이클 동안 발생하고 상기 클록 사이클의 반복으로 반복되는, 이온들의 지향성을 상승시키기 위한 시스템.
  19. 컴퓨터로 하여금, 스택층의 하단부를 향해 플라즈마의 이온들의 지향성을 상승시키기 위한 복수의 동작들을 실행하게 하는, 프로그램을 저장하는 비일시적 컴퓨터 판독가능 매체에 있어서,
    상기 동작들은,
    디지털 펄싱된 신호를 수신하는 단계로서, 상기 디지털 펄싱된 신호는 제 1 상태, 제 2 상태, 및 제 3 상태를 갖는, 상기 디지털 펄싱된 신호를 수신하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 3 상태로부터 상기 제 1 상태로 전이하는지 여부를 결정하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 3 상태로부터 상기 제 1 상태로 전이한다는 결정시 상기 제 1 상태 동안 전력 레벨을 갖는 킬로헤르쯔 (㎑) RF (radio frequency) 신호를 생성하도록 ㎑ RF 생성기를 제어하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 3 상태로부터 상기 제 1 상태로 전이한다는 결정시 상기 제 1 상태 동안 전력 레벨을 갖는 메가헤르쯔 (㎒) RF 신호를 생성하도록 ㎒ RF 생성기를 제어하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 1 상태로부터 상기 제 2 상태로 전이하는지 여부를 결정하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 1 상태로부터 상기 제 2 상태로 전이한다는 결정시 상기 제 2 상태 동안 전력 레벨을 갖는 상기 ㎑ RF 신호를 생성하도록 상기 ㎑ RF 생성기를 제어하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 1 상태로부터 상기 제 2 상태로 전이한다는 결정시 상기 제 2 상태 동안 전력 레벨을 갖는 상기 ㎒ RF 신호를 생성하도록 상기 ㎒ RF 생성기를 제어하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 2 상태로부터 상기 제 3 상태로 전이하는지 여부를 결정하는 단계;
    상기 디지털 펄싱된 신호가 상기 제 2 상태로부터 상기 제 3 상태로 전이한다는 결정시 상기 제 3 상태 동안 전력 레벨을 갖는 상기 ㎑ RF 신호를 생성하도록 상기 ㎑ RF 생성기를 제어하는 단계로서, 상기 스택층의 상기 하단부를 향해 상기 플라즈마의 상기 이온들의 상기 지향성을 상승시키기 위해 상기 제 2 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 1 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨보다 낮고 그리고 상기 제 2 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 3 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨보다 높은, 상기 ㎑ RF 생성기를 제어하는 단계; 및
    상기 디지털 펄싱된 신호가 상기 제 2 상태로부터 상기 제 3 상태로 전이한다는 결정시 상기 제 3 상태 동안 전력 레벨을 갖는 상기 ㎒ RF 신호를 생성하도록 상기 ㎒ RF 생성기를 제어하는 단계를 포함하는, 비일시적 컴퓨터 판독가능 매체.
  20. 제 19 항에 있어서,
    상기 제 2 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨은 0인,
  21. 제 20 항에 있어서,
    상기 제 3 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨은 상기 제 2 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨보다 큰, 비일시적 컴퓨터 판독가능 매체.
  22. 제 21 항에 있어서,
    상기 제 3 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 스택층의 상단부 상의 마스크 층의 손실의 감소를 용이하게 하도록 상기 제 3 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨과 동일한, 비일시적 컴퓨터 판독가능 매체.
  23. 제 19 항에 있어서,
    상기 제 2 상태 동안 상기 ㎑ RF 신호의 상기 전력 레벨은 상기 제 2 상태 동안 상기 ㎒ RF 신호의 상기 전력 레벨보다 큰, 비일시적 컴퓨터 판독가능 매체.
  24. 제 19 항에 있어서,
    상기 동작들은,
    클록 신호를 수신하는 단계를 더 포함하고,
    상기 제 1 상태는 상기 클록 신호의 제 1 시간 기간 동안 발생하고, 상기 제 2 상태는 상기 클록 신호의 제 2 시간 기간 동안 발생하고, 그리고 상기 제 3 상태는 상기 클록 신호의 제 3 시간 기간 동안 발생하고,
    상기 제 3 시간 기간은 상기 스택층의 상단부 상의 마스크 층의 손실의 감소를 용이하게 하도록 상기 제 1 시간 기간보다 길고, 상기 제 1 시간 기간은 상기 제 2 시간보다 긴, 비일시적 컴퓨터 판독가능 매체.
  25. 제 19 항에 있어서,
    상기 ㎑ RF 생성기는 400 ㎑ RF 생성기이고 그리고 상기 ㎒ RF 생성기는 60 ㎒ RF 생성기인, 비일시적 컴퓨터 판독가능 매체.
  26. 제 19 항에 있어서,
    상기 동작들은,
    반복되는 클록 사이클을 갖는 클록 신호를 수신하는 단계를 더 포함하고,
    상기 제 1 상태, 상기 제 2 상태, 및 상기 제 3 상태는 상기 클록 사이클 동안 발생하고 상기 클록 사이클의 반복으로 반복되는, 비일시적 컴퓨터 판독가능 매체.
KR1020180062030A 2017-09-13 2018-05-30 이온들의 지향성을 상승시키기 위한 멀티 레짐 플라즈마 웨이퍼 프로세싱 KR102369627B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/703,280 2017-09-13
US15/703,280 US10002746B1 (en) 2017-09-13 2017-09-13 Multi regime plasma wafer processing to increase directionality of ions

Publications (2)

Publication Number Publication Date
KR20190030153A true KR20190030153A (ko) 2019-03-21
KR102369627B1 KR102369627B1 (ko) 2022-03-02

Family

ID=62554809

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180062030A KR102369627B1 (ko) 2017-09-13 2018-05-30 이온들의 지향성을 상승시키기 위한 멀티 레짐 플라즈마 웨이퍼 프로세싱

Country Status (5)

Country Link
US (2) US10002746B1 (ko)
JP (1) JP7195810B2 (ko)
KR (1) KR102369627B1 (ko)
CN (1) CN109599318B (ko)
TW (1) TWI770173B (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10504744B1 (en) * 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
WO2020068107A1 (en) * 2018-09-28 2020-04-02 Lam Research Corporation Systems and methods for optimizing power delivery to an electrode of a plasma chamber
JP2024512326A (ja) * 2021-03-10 2024-03-19 ラム リサーチ コーポレーション マスクcdの制御

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050039682A1 (en) * 2003-08-22 2005-02-24 Raj Dhindsa Multiple frequency plasma etch reactor
KR20080075799A (ko) * 2007-02-13 2008-08-19 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리방법 및 플라즈마처리장치
JP2011139094A (ja) * 2003-08-22 2011-07-14 Lam Research Corp さまざまな周波数のrf電力の変調を用いた高アスペクト比エッチング
US20130260567A1 (en) * 2012-03-28 2013-10-03 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
KR20170087426A (ko) * 2016-01-20 2017-07-28 도쿄엘렉트론가부시키가이샤 하이 애스펙스비의 피처를 에칭하기 위한 전력 변조
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19933842A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
DE10317208A1 (de) * 2003-04-15 2004-11-04 Robert Bosch Gmbh Plasmadepositionsverfahren
US7615132B2 (en) * 2003-10-17 2009-11-10 Hitachi High-Technologies Corporation Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
US9462672B2 (en) * 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9761459B2 (en) * 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
JP6670692B2 (ja) 2015-09-29 2020-03-25 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US9761414B2 (en) * 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
KR20170093303A (ko) * 2016-02-04 2017-08-16 삼성전자주식회사 플라즈마 식각 방법 및 이를 이용한 반도체 소자의 제조 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050039682A1 (en) * 2003-08-22 2005-02-24 Raj Dhindsa Multiple frequency plasma etch reactor
JP2011139094A (ja) * 2003-08-22 2011-07-14 Lam Research Corp さまざまな周波数のrf電力の変調を用いた高アスペクト比エッチング
KR20080075799A (ko) * 2007-02-13 2008-08-19 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리방법 및 플라즈마처리장치
US20130260567A1 (en) * 2012-03-28 2013-10-03 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
KR20170087426A (ko) * 2016-01-20 2017-07-28 도쿄엘렉트론가부시키가이샤 하이 애스펙스비의 피처를 에칭하기 위한 전력 변조
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring

Also Published As

Publication number Publication date
TW201916216A (zh) 2019-04-16
KR102369627B1 (ko) 2022-03-02
JP7195810B2 (ja) 2022-12-26
CN109599318B (zh) 2023-10-27
US10002746B1 (en) 2018-06-19
US20190080885A1 (en) 2019-03-14
CN109599318A (zh) 2019-04-09
TWI770173B (zh) 2022-07-11
US10304662B2 (en) 2019-05-28
JP2019053978A (ja) 2019-04-04

Similar Documents

Publication Publication Date Title
CN111295731B (zh) 用于实现具有低角分散的峰值离子能量增强的系统和方法
JP7441819B2 (ja) 制御されたエッチングのための単一エネルギイオン生成
KR102663153B1 (ko) 일 상태에서의 주파수 및 매칭 튜닝과 다른 상태에서의 주파수 튜닝
US10755895B2 (en) Ion energy control by RF pulse shape
US9583357B1 (en) Systems and methods for reverse pulsing
CN112543989A (zh) 对径向蚀刻均匀度的主动控制
US10679825B2 (en) Systems and methods for applying frequency and match tuning in a non-overlapping manner for processing substrate
KR102369627B1 (ko) 이온들의 지향성을 상승시키기 위한 멀티 레짐 플라즈마 웨이퍼 프로세싱
US20220319856A1 (en) Etching isolation features and dense features within a substrate
US20230274914A1 (en) Low frequency rf generator and associated electrostatic chuck
WO2023003832A1 (en) Plasma systems and methods for using square-shaped pulse signals

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant