KR20180082317A - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR20180082317A
KR20180082317A KR1020170175741A KR20170175741A KR20180082317A KR 20180082317 A KR20180082317 A KR 20180082317A KR 1020170175741 A KR1020170175741 A KR 1020170175741A KR 20170175741 A KR20170175741 A KR 20170175741A KR 20180082317 A KR20180082317 A KR 20180082317A
Authority
KR
South Korea
Prior art keywords
layer
gate structures
forming
channel
layers
Prior art date
Application number
KR1020170175741A
Other languages
English (en)
Other versions
KR102284275B1 (ko
Inventor
강명길
송현승
이상우
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Publication of KR20180082317A publication Critical patent/KR20180082317A/ko
Application granted granted Critical
Publication of KR102284275B1 publication Critical patent/KR102284275B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Materials Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

반도체 장치의 제조 방법이 제공된다. 반도체 장치의 제조 방법은, 기판 상에, 교대로 적층되는 복수의 희생층 및 복수의 채널층을 형성하고, 상기 복수의 채널층 중 최상층의 채널층 상에 복수의 게이트 구조체를 형성하되, 상기 복수의 게이트 구조체 각각은 서로 이격되고, 상기 복수의 게이트 구조체 각각 상에 마스크를 형성하고, 상기 복수의 게이트 구조체 사이의 상기 복수의 희생층의 부분을 선택적으로 식각하여 제거하고, 상기 복수의 게이트 구조체 각각의 측벽 상과, 상기 복수의 희생층의 부분이 제거된 영역 내에 스페이서 물질 층을 형성하고, 상기 스페이서 물질 층을 식각하여, 상기 복수의 게이트 구조체 각각의 측벽에 측벽 스페이서를 형성하고 상기 복수의 채널층 사이에 내부 스페이서를 형성하는 것을 포함한다.

Description

반도체 장치의 제조 방법{Method for fabricating a semiconductor device}
본 발명은 반도체 장치의 제조 방법에 관한 것이다. 좀 더 구체적으로, 본 발명은 나노 시트 트랜지스터의 제조 방법에 관한 것으로, 내부 스페이서를 포함하는 나노 시트 트랜지스터 스페이서를 제조하는 방법에 관한 것이다.
핀 전계 효과 트랜지스터(FinFET)의 핀 폭이 5nm에 가까워 지는 경우, 채널 폭의 변화는 바람직하지 않은 가변성 및 이동성 손실을 유발할 수 있다. 나노 시트 전계 효과 트랜지스터는 핀 전계 효과 트랜지스터의 대안으로 연구되고 있다. 나노 시트 전계 효과 트랜지스터는 멀티 게이트 트랜지스터일 수 있다. 멀티 게이트 트랜지스터에서, 기판 상에 형성된 핀 또는 나노 와이어 형상의 실리콘 바디가 제공될 수 있고, 게이트는 실리콘 바디의 표면 상에 형성될 수 있다.
멀티 게이트 트랜지스터는 내부 스페이서와 측벽 스페이서를 포함할 수 있다. 내부 스페이서는 측벽 스페이서를 형성하기 위한 제조 공정 이외에, 추가적인 제조 공정에 의해 형성될 수 있다. 예를 들어, 측벽 스페이서를 형성하고 소오스/드레인 영역을 리세싱(recessing)한 후, 내부 스페이서를 형성하기 위한 공간은, 습식 또는 증기 식각 공정에 의해 형성될 수 있다. 그 다음, 내부 스페이서는, 내부 스페이서를 형성하기 위한 공간에 유전 물질을 증착함으로써 형성될 수 있다.
선택적 에피택셜 성장을 통해 소오스/드레인 영역을 형성하는 과정에서, 내부 스페이서의 유전 물질은 일부 식각되어, 채널 물질을 노출시킬 수 있다. 그러나, 내부 스페이서의 추가적인 유전 물질이 존재하기 때문에, 소오스/드레인 리세스가 형성될 수 있는 오픈 영역은 감소될 수 있다. 또한, 내부 스페이서 영역은 습식 또는 증기 식각 제어에 의해 정의되므로, 내부 스페이서의 두께를 미세하게 제어하는 것이 불가능할 수 있다.
본 발명이 해결하고자 하는 기술적 과제는 측벽 스페이서와 내부 스페이서를 동시에 형성할 수 있는 반도체 장치 제조 방법을 제공하는 것이다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예들에 따른 반도체 장치 제조 방법은, 기판 상에, 교대로 적층되는 복수의 희생층 및 복수의 채널층을 형성하고, 상기 복수의 채널층 중 최상층의 채널층 상에 복수의 게이트 구조체를 형성하되, 상기 복수의 게이트 구조체 각각은 서로 이격되고, 상기 복수의 게이트 구조체 각각 상에 마스크를 형성하고, 상기 복수의 게이트 구조체 사이의 상기 복수의 희생층의 부분을 선택적으로 식각하여 제거하고, 상기 복수의 게이트 구조체 각각의 측벽 상과, 상기 복수의 희생층의 부분이 제거된 영역 내에 스페이서 물질 층을 형성하고, 상기 스페이서 물질 층을 식각하여, 상기 복수의 게이트 구조체 각각의 측벽에 측벽 스페이서를 형성하고 상기 복수의 채널층 사이에 내부 스페이서를 형성하는 것을 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예들에 따른 반도체 장치 제조 방법은, 기판 상에, 교대로 적층되는 복수의 희생층 및 복수의 채널층을 형성하고, 상기 복수의 채널층 중 최상층의 채널층 상에 복수의 게이트 구조체를 형성하되, 상기 복수의 게이트 구조체 각각은 서로 이격되고, 상기 복수의 게이트 구조체 각각 상에 마스크를 형성하고, 상기 복수의 게이트 구조체 사이에, 상기 최상층의 채널층 상에 산화물 층을 형성하고, PMOS 영역의 상기 산화물 층 상에 제1 층을 형성하되, 상기 제1 층은 질화물 층과 폴리실리콘 층 중 어느 하나이고, 상기 PMOS 영역의 상기 산화물 층 상에 형성된 상기 제1 층을 제거하고, 상기 복수의 게이트 구조체 사이의 상기 복수의 희생층의 부분을 선택적으로 식각하여 제거하고, 상기 복수의 게이트 구조체 각각의 측벽 상과, 상기 복수의 희생층의 부분이 제거된 영역 내에 스페이서 물질 층을 형성하고, 상기 스페이서 물질 층을 식각하여, 상기 복수의 게이트 구조체 각각의 측벽에 측벽 스페이서를 형성하고 상기 복수의 채널층 사이에 내부 스페이서를 형성하는 것을 포함할 수 있다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예들에 따른 반도체 장치 제조 방법은, 기판 상에, 교대로 적층되는 복수의 희생층 및 복수의 채널층을 형성하고, 상기 복수의 채널층 중 최상층의 채널층 상에 복수의 게이트 구조체를 형성하되, 상기 복수의 게이트 구조체 각각은 서로 이격되고, 상기 복수의 게이트 구조체 각각 상에 마스크를 형성하고, 상기 최상층의 채널층 상의 상기 복수의 게이트 구조체 사이에 산화물 층을 형성하고, NMOS 영역의 상기 산화물 층 상에 제1 층을 형성하되, 상기 제1 층은 질화물 층과 폴리실리콘 층 중 어느 하나이고, 상기 NMOS 영역의 상기 산화물 층 상에 형성된 상기 제1 층을 제거하고, 상기 복수의 게이트 구조체 사이의 상기 복수의 희생층의 부분을 선택적으로 식각하여 제거하고, 상기 복수의 게이트 구조체 각각의 측벽 상과, 상기 복수의 희생층의 부분이 제거된 영역 내에 스페이서 물질 층을 형성하고, 상기 스페이서 물질 층을 식각하여, 상기 복수의 게이트 구조체 각각의 측벽에 측벽 스페이서를 형성하고 상기 복수의 채널층 사이에 내부 스페이서를 형성하는 것을 포함할 수 있다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1a, 도 1b, 도 1c 및 도 1d는 본 발명의 몇몇 실시예에 따른 나노 시트 트랜지스터의 제조 방법을 설명하기 위한 중간단계 도면들이다.
도 2a, 도 2b, 도 2c, 도 2d, 도 2e, 및 도 2f는 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간단계 도면들이다.
도 3a, 도 3b, 도 3c, 도 3d, 도 3e, 및 도 3f는 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간단계 도면들이다.
도 4는 본 발명의 몇몇 실시예에 따른 핀 구조체를 설명하기 위한 예시적인 도면이다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 발명의 몇몇 실시예는, 내부 스페이서와 측벽 스페이서가 동시에 형성되는 공정을 개시할 수 있다. 예를 들어, 나노 시트 사이의 내부 스페이서를 형성하기 위해 나노 시트의 확산 영역은, 지지 기둥(supporting beam) 및 마스크로 이용될 수 있는 폴리 게이트 구조체의 측벽 스페이서를 형성하기 전에 노출될 수 있다.
예를 들어, 본 발명의 몇몇 실시예에서, 내부 스페이서를 위한 공간은, 지지 기둥 및 마스크로 이용될 수 있는 폴리 게이트 구조체의 측벽 스페이서를 형성하기 전, 습식 또는 증기 식각에 의해 형성될 수 있다. 이러한 방식으로 내부 스페이서는, 측벽 스페이서를 형성하는데 이용되는 동일한 공정에 의해 형성될 수 있다. 또한, 몇몇 실시예에서, 확산 영역 노출 전에 소오스/드레인 영역에 대한 이온 주입 공정을 수행함으로써, 이온 주입 공정에 의한 노출된 영역의 식각율을 증가시킬 수 있기 때문에, 선택적 식각이 가능할 수 있다. 따라서, 내부 스페이서 영역에 대한 정교한 제어가 가능할 수 있다. 다시 말해서, 내부 스페이서의 위치 및 두께를 좀 더 정확하게 제어할 수 있다.
도 1a, 도 1b, 도 1c 및 도 1d는 본 발명의 몇몇 실시예에 따른 나노 시트 트랜지스터의 제조 방법을 설명하기 위한 중간단계 도면들이다.
도 1a 내지 도 1d는 내부 스페이서 및 측벽 스페이서를 위한 단일 스페이서 공정을 나타낼 수 있다. 내부 스페이서의 제어는, 이온 주입 공정 및 선택적 식각 공정에 의해 달성될 수 있다. 도 1a 내지 도 1d에서, 단일 채널 물질이 NMOS 및 PMOS로 이용되는 경우가 도시된다.
도 1a를 참조하면, 희생층(110) 및 채널층(120)이 기판(100)에 교대로 적층될 수 있다.
기판(100)은, 예를 들어, 실리콘 기판일 수도 있고, 또는 다른 물질, 예를 들어, 게르마늄, 실리콘게르마늄, SGOI(silicon germanium on insulator), 안티몬화 인듐, 납 텔루르 화합물, 인듐 비소, 인듐 인화물, 갈륨 비소 또는 안티몬화 갈륨을 포함할 수 있으나, 이에 한정되는 것은 아니다. 기판(100)은 또한, 베이스 기판 상에 형성된 에피택셜 층일 수도 있다.
희생층(110) 및 채널층(120) 각각은 서로 다른 반도체 물질을 포함할 수 있다. 예를 들어, 희생층(110)은 실리콘 게르마늄을 포함할 수 있고, 채널층(120)은 실리콘을 포함할 수 있다. 그러나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 희생층(110)은 반도체 물질 또는 결정화된 금속 물질을 포함할 수 있다. PMOS의 경우, 채널층(120)은 높은 홀 이동도를 갖는 물질을 포함할 수 있다. NMOS의 경우, 채널층(120)은 높은 전자 이동도를 갖는 물질을 포함할 수 있다.
희생층(110) 및 채널층(120)의 물질이 선택되면, 기판(100) 상에 희생층(110)과 채널층(120)이 교대로 적층되어, 적층 구조체가 형성될 수 있다.
교대로 적층된 희생층(110) 및 채널층(120)을 포함하는 적층 구조체의 최상층에 형성되는 마스크를 이용하여, 교대로 적층된 희생층(110) 및 채널층(120)을 포함하는 적층 구조체에 대해 식각 공정이 수행되어, 핀 구조체가 형성될 수 있다. 핀 구조체는 기판(100) 상에 형성되어, 기판(100)으로부터 돌출될 수 있다.
게이트 구조체(130a, 130b, 130c)는 핀 구조체 상에 형성되어, 핀 구조체를 가로지를 수 있다. 게이트 구조체(130a, 130b, 130c)는, 폴리 실리콘을 포함할 수 있으나, 이에 제한되는 것은 아니다. 게이트 구조체(130a, 130b, 130c)는 이하에서 폴리 게이트로 언급될 수 있으며, 복수개일 수 있다.
도 4는 본 발명의 몇몇 실시예에 따른 핀 구조체를 설명하기 위한 예시적인 도면이다.
도 4를 참조하면, 예를 들어, 핀 구조체(410)는 제1 방향으로 연장될 수 있고, 게이트 구조체(420)는 제1 방향과 교차하는 제2 방향으로 연장될 수 있다. 도 4에는 단일 게이트 구조체(420)가 도시되어 있다. 게이트 구조체(420)는 패터닝되어, 도 1a 내지 도 1d에 도시된 복수의 게이트 구조체(130a, 130b, 130c)가 형성될 수 있다. 도 1a 내지 도 1d에 도시된 복수의 게이트 구조체(130a, 130b, 130c)의 개수는 단지 예시적인 것임을 이해하여야한다. 즉, 세 개 이상의 게이트 구조체가 형성될 수 있음은 물론이다.
다시 도 1a를 참조하면, 게이트 구조체(130a, 130b, 130c)의 패터닝 및 형성 후, 하드 마스크(140a, 140b, 140c)는 게이트 구조체(130a, 130b, 130c)의 상면 상에 형성될 수 있다. 하드 마스크(140a, 140b, 140c)는 실리콘 질화물을 포함할 수 있으나, 이에 제한되는 것은 아니다.
도 1b를 참조하면, 본 발명의 몇몇 시시예에서, 게이트 구조체(130a, 130b, 130c) 사이의 영역에 대해 이온 주입 공정이 수행될 수 있다. 이온 주입 공정은 예를 들어, 실리콘 게르마늄을 포함하는 희생층(110)의 식각율을 향상시킬 수 있다. 그러나 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 이온 주입 공정은 선택적일 수 있다.
이온 주입 공정이 수행된 후, 희생층(110)은 선택적으로 식각될 수 있다. 구체적으로, 게이트 구조체(130a, 130b, 130c) 사이의 영역의 희생층(110)의 부분은 제거되어, 채널 층(120) 사이에 빈 공간(110a, 110b)이 형성될 수 있다.
식각 공정은, 습식 식각 공정 또는 증기 식각 공정일 수 있다. 따라서, 예를 들어, 희생층(110)이 실리콘 게르마늄을 포함하는 경우, 폴리 실리콘을 포함하는 게이트 구조체(130a, 130b, 130c)에 의해 커버되지 않은 희생층(110)의 부분은 선택적으로 제거될 수 있다.
도 1c를 참조하면, 스페이서 물질 층(150)은 게이트 구조체(130a, 130b, 130c)의 상면 및 측벽 상에 형성될 수 있다. 스페이서 물질 층(150)은 빈 공간(110a, 110b) 내에도 형성될 수 있다. 또한, 스페이서 물질 층(150)은 게이트 구조체(130a, 130b, 130c) 사이의 채널 층(120) 상에도 형성될 수 있다.
스페이서 물질 층(150)은 유전체 물질, 예를 들어, 실리콘 질화물을 포함할 수 있다. 그러나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 스페이서 물질 층(150)은 실리콘 또는 질화물만을 포함할 수 있다. 스페이서 물질 층(150)은 또한, 실리콘 옥시탄화질화물(silicon oxycarbonitride)일 수도 있다.
도 1d를 참조하면, 스페이서 물질 층(150)은 제거되어, 측벽 스페이서(160) 및 내부 스페이서(170)가 형성될 수 있다. 측벽 스페이서(160) 및 내부 스페이서(170)는, 게이트 구조체(130a, 130b, 130c) 사이의 소오스/드레인 리세스 내에 형성될 수 있다.
본 발명의 기술적 사상에 따른 반도체 장치의 제조 방법은, 폴리 게이트 구조체가 지지 기둥 및 마스크의 역할을 할 수 있고, 내부 스페이서를 위한 공간이 스페이서 물질이 증착되기 전에 형성되므로, 측벽 스페이서 및 내부 스페이서는 동시에 형성될 수 있다. 또한, 내부 스페이서의 두께 및 위치가 정교하게 제어될 수 있다.
도 2a, 도 2b, 도 2c, 도 2d, 도 2e, 및 도 2f는 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간단계 도면들이다.
도 2a 내지 도 2f는 도 1a 내지 도 1d를 참조하여 설명한 반도체 장치의 제조 공정의 변형 예를 도시한다. 구체적으로, 도 2a 내지 도 2f를 참조하여 설명하는 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 상이한 채널 유형 물질에 대한 추가적인 제조 공정 단계를 포함할 수 있다. 도 2a 내지 도 2f를 참조하여 설명하는 몇몇 실시예에 따른 반도체 장치의 제조 방법에서, NMOS 영역은 제거되고 PMOS 영역은 유지될 수 있다.
도 2a를 참조하면, 도 1a에 도시된 구조체와 동일한 구조체가 제공될 수 있다. 그러나, 도 2a 내지 도 2f에서, 제1 층(110)은 NMOS 층일 수 있고, 제2 층(120)은 PMOS 층일 수 있다.
도 2b를 참조하면, 산화물 층(210)은 도 2a의 구조체의 일부 상에 형성될 수 있다. 또한, 도 2b를 참조하면, 폴리실리콘 층(또는 질화물 층)(220)은 산화물 층(210) 상에 형성될 수 있다. 보다 구체적으로, 폴리실리콘 층(220)은 PMOS 영역의 산화물 층(210) 상에 형성될 수 있다.
포토 패터닝 및 습식 식각 공정이 수행되어, 폴리실리콘 층(220)이 제거될 수 있다. 이로써, 도 2c의 구조체가 형성될 수 있다. 폴리실리콘 층(220)이 제거되는 공정에서, PMOS 영역은 폴리실리콘 층(220)에 의해 보호되기 때문에, NMOS 영역(예를 들어, SiGe)은 노출될 수 있다.
도 2d를 참조하면, 게이트 구조체(130a, 130b, 130c) 사이의 NMOS 층인 제1 층(110)의 부분은 제거되어, PMOS 층인 제2 층(120) 사이에 빈 공간(110a, 110b)이 형성될 수 있다.
도 2e는 도 1c에 대응될 수 있고, 도 2f는 도 1d에 대응될 수 있으므로, 반복되는 설명은 생략한다.
도 3a, 도 3b, 도 3c, 도 3d, 도 3e, 및 도 3f는 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간단계 도면들이다.
도 3a 내지 도 3f는 도 1a 내지 도 1d를 참조하여 설명한 반도체 장치의 제조 공정의 변형 예를 도시한다. 구체적으로, 도 3a 내지 도 3f를 참조하여 설명하는 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 상이한 채널 유형 물질에 대한 추가적인 제조 공정 단계를 포함할 수 있다. 도 3a 내지 도 3f를 참조하여 설명하는 몇몇 실시예에 따른 반도체 장치의 제조 방법에서, PMOS 영역은 제거되고 NMOS 영역은 유지될 수 있다.
도 3a를 참조하면, 도 1a에 도시된 구조체와 동일한 구조체가 제공될 수 있다. 따라서, 반복되는 설명을 생략한다. 그러나, 도 3a 내지 도 3f에서, 제1 층(110)은 NMOS층일 수 있고, 제2 층(120)은 PMOS 층일 수 있다.
도 3b를 참조하면, 도 2b와 유사하게, 산화물 층(310)은 도 3a의 구조체의 일부 상에 형성될 수 있다. 또한 도 3b를 참조하면, 폴리실리콘 층(또는 질화물 층)(32)은 산화물 층(310) 상에 형성될 수 있다. 보다 구체적으로, 폴리실리콘 층(320)은 NMOS 영역의 산화물 층(310) 상에 형성될 수 있다.
포토 패터닝 및 습식 식각 공정이 수행되어, 폴리실리콘 층(320)이 제거될 수 있다. 이로써, 도 3c의 구조체가 형성될 수 있다. 폴리실리콘 층(320)이 제거되는 공정에서, NMOS 영역은 폴리실리콘(320)에 의해 보호되기 때문에, PMOS 영역(예를 들어, Si)은 노출될 수 있다.
도 3d를 참조하면, 게이트 구조체(130a, 130b, 130c) 사이의 PMOS 층인 제2 층(120)은 제거되어, NMOS 층인 제1 층(110) 사이의 빈 공간(310a, 310b)이 형성될 수 있다.
도 1c 및 도 1d에서 스페이서 물질 층(150)은 게이트 구조체(130a, 130b, 130c)의 상면 및 측벽 상에 형성된다는 점에서, 도 3e는 도 1c에 대응될 수 있고, 도 3f는 도 1d에 대응될 수 있다.
그러나, 도 3e에서, 스페이서 물질 층(150)은 빈 공간(310a, 310b) 내에 형성될 수 있고, 게이트 구조체(130a, 130b, 130c) 사이의 NMOS 층인 제1 층(110) 상에도 형성될 수 있다.
도 3f에서, 스페이서 물질 층(150)은 제거되어, 게이트 구조체(130a, 130b, 130c) 사이의 소오스/드레인 리세스 내에 측벽 스페이서(160) 및 내부 스페이서(170)가 형성될 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
100: 기판 110: 희생층
120: 채널층 130a, 130b, 130c: 게이트 구조체
140a, 140b, 140c: 하드 마스크
150: 스페이서 물질 층
160: 측벽 스페이서 170: 내부 스페이서

Claims (10)

  1. 기판 상에, 교대로 적층되는 복수의 희생층 및 복수의 채널층을 형성하고,
    상기 복수의 채널층 중 최상층의 채널층 상에 복수의 게이트 구조체를 형성하되, 상기 복수의 게이트 구조체 각각은 서로 이격되고,
    상기 복수의 게이트 구조체 각각 상에 마스크를 형성하고,
    상기 복수의 게이트 구조체 사이의 상기 복수의 희생층의 부분을 선택적으로 식각하여 제거하고,
    상기 복수의 게이트 구조체 각각의 측벽 상과, 상기 복수의 희생층의 부분이 제거된 영역 내에 스페이서 물질 층을 형성하고,
    상기 스페이서 물질 층을 식각하여, 상기 복수의 게이트 구조체 각각의 측벽에 측벽 스페이서를 형성하고 상기 복수의 채널층 사이에 내부 스페이서를 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  2. 제 1항에 있어서,
    상기 복수의 희생층은 실리콘 게르마늄을 포함하는 반도체 장치의 제조 방법.
  3. 제 1항에 있어서,
    상기 복수의 채널층은 실리콘을 포함하는 반도체 장치의 제조 방법.
  4. 제 1항에 있어서,
    상기 복수의 게이트 구조체는 폴리실리콘을 포함하는 반도체 장치의 제조 방법.
  5. 제 1항에 있어서,
    상기 마스크는 하드마스크인 반도체 장치의 제조 방법.
  6. 제 1항에 있어서,
    상기 복수의 게이트 구조체 사이의 상기 복수의 희생층의 부분을 선택적으로 식각하기 전, 상기 복수의 게이트 구조체 사이의 영역에 대해 이온 주입 공정을 수행하는 것을 더 포함하는 반도체 장치의 제조 방법.
  7. 제 1항에 있어서,
    상기 측벽 스페이서와 상기 내부 스페이서는 동시에 형성되는 반도체 장치의 제조 방법.
  8. 기판 상에, 교대로 적층되는 복수의 희생층 및 복수의 채널층을 형성하고,
    상기 복수의 채널층 중 최상층의 채널층 상에 복수의 게이트 구조체를 형성하되, 상기 복수의 게이트 구조체 각각은 서로 이격되고,
    상기 복수의 게이트 구조체 각각 상에 마스크를 형성하고,
    상기 복수의 게이트 구조체 사이에, 상기 최상층의 채널층 상에 산화물 층을 형성하고,
    PMOS 영역의 상기 산화물 층 상에 제1 층을 형성하되, 상기 제1 층은 질화물 층과 폴리실리콘 층 중 어느 하나이고,
    상기 PMOS 영역의 상기 산화물 층 상에 형성된 상기 제1 층을 제거하고,
    상기 복수의 게이트 구조체 사이의 상기 복수의 희생층의 부분을 선택적으로 식각하여 제거하고,
    상기 복수의 게이트 구조체 각각의 측벽 상과, 상기 복수의 희생층의 부분이 제거된 영역 내에 스페이서 물질 층을 형성하고,
    상기 스페이서 물질 층을 식각하여, 상기 복수의 게이트 구조체 각각의 측벽에 측벽 스페이서를 형성하고 상기 복수의 채널층 사이에 내부 스페이서를 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  9. 제 8항에 있어서,
    상기 제1 층은 포토 패터닝 및 습식 식각 공정에 의해 제거되는 반도체 장치의 제조 방법.
  10. 제 8항에 있어서,
    상기 복수의 희생층은, 식각 공정에 의해 NMOS 영역으로부터 제거되는 반도체 장치의 제조 방법.
KR1020170175741A 2017-01-09 2017-12-20 반도체 장치의 제조 방법 KR102284275B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762443934P 2017-01-09 2017-01-09
US62/443,934 2017-01-09
US15/837,613 2017-12-11
US15/837,613 US10410931B2 (en) 2017-01-09 2017-12-11 Fabricating method of nanosheet transistor spacer including inner spacer

Publications (2)

Publication Number Publication Date
KR20180082317A true KR20180082317A (ko) 2018-07-18
KR102284275B1 KR102284275B1 (ko) 2021-07-30

Family

ID=62783207

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170175741A KR102284275B1 (ko) 2017-01-09 2017-12-20 반도체 장치의 제조 방법

Country Status (3)

Country Link
US (1) US10410931B2 (ko)
KR (1) KR102284275B1 (ko)
CN (1) CN108288584B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200014235A (ko) * 2018-07-31 2020-02-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 그 제조 방법
US11038043B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10340340B2 (en) * 2016-10-20 2019-07-02 International Business Machines Corporation Multiple-threshold nanosheet transistors
KR102373616B1 (ko) * 2017-07-06 2022-03-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10727320B2 (en) 2017-12-29 2020-07-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of manufacturing at least one field effect transistor having epitaxially grown electrodes
US10535733B2 (en) 2018-01-11 2020-01-14 International Business Machines Corporation Method of forming a nanosheet transistor
CN110459172B (zh) 2018-05-08 2020-06-09 京东方科技集团股份有限公司 一种像素驱动电路及驱动方法、显示装置
US10991798B2 (en) 2019-01-21 2021-04-27 International Business Machines Corporation Replacement sacrificial nanosheets having improved etch selectivity
CN111490092B (zh) * 2019-01-29 2023-09-12 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US11139372B2 (en) 2019-08-07 2021-10-05 International Business Machines Corporation Dual step etch-back inner spacer formation
US11107886B2 (en) * 2020-01-10 2021-08-31 Taiwan Semiconductor Manufacturing Company Ltd. Memory device and method of fabricating the memory device
EP3876287A1 (en) * 2020-03-02 2021-09-08 Imec VZW Inner spacers for nanowires or nanosheets
US11664656B2 (en) 2020-03-18 2023-05-30 Mavagail Technology, LLC ESD protection for integrated circuit devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011249803A (ja) * 2010-05-24 2011-12-08 Samsung Electronics Co Ltd 不揮発性メモリ素子及びその製造方法、並びにそれを含むメモリ・モジュール及びシステム
KR20160136290A (ko) * 2014-03-24 2016-11-29 인텔 코포레이션 나노와이어 디바이스들을 위한 내부 스페이서들을 제조하는 집적 방법들

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5612563A (en) 1992-03-02 1997-03-18 Motorola Inc. Vertically stacked vertical transistors used to form vertical logic gate structures
US7598516B2 (en) 2005-01-07 2009-10-06 International Business Machines Corporation Self-aligned process for nanotube/nanowire FETs
US7732285B2 (en) * 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
US8173993B2 (en) 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
CN102903638B (zh) * 2011-07-29 2016-03-30 中国科学院微电子研究所 半导体器件及其制造方法
US8609481B1 (en) 2012-12-05 2013-12-17 International Business Machines Corporation Gate-all-around carbon nanotube transistor with selectively doped spacers
US20160071729A1 (en) 2014-09-04 2016-03-10 Samsung Electronics Co., Ltd. Rectangular nanosheet fabrication
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9362355B1 (en) 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US10074730B2 (en) * 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US9748335B1 (en) * 2016-02-29 2017-08-29 Globalfoundries Inc. Method, apparatus and system for improved nanowire/nanosheet spacers
US9853129B2 (en) * 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US9728621B1 (en) * 2016-09-28 2017-08-08 International Business Machines Corporation iFinFET

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011249803A (ja) * 2010-05-24 2011-12-08 Samsung Electronics Co Ltd 不揮発性メモリ素子及びその製造方法、並びにそれを含むメモリ・モジュール及びシステム
KR20160136290A (ko) * 2014-03-24 2016-11-29 인텔 코포레이션 나노와이어 디바이스들을 위한 내부 스페이서들을 제조하는 집적 방법들

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200014235A (ko) * 2018-07-31 2020-02-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 그 제조 방법
US11038043B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11038044B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11581426B2 (en) 2018-07-31 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
CN108288584A (zh) 2018-07-17
KR102284275B1 (ko) 2021-07-30
US20180197794A1 (en) 2018-07-12
CN108288584B (zh) 2023-09-12
US10410931B2 (en) 2019-09-10

Similar Documents

Publication Publication Date Title
KR102284275B1 (ko) 반도체 장치의 제조 방법
US10879351B2 (en) Fill fins for semiconductor devices
KR101729439B1 (ko) 매립된 절연체층을 가진 finfet 및 그 형성 방법
US9935018B1 (en) Methods of forming vertical transistor devices with different effective gate lengths
US8445973B2 (en) Fin transistor structure and method of fabricating the same
TWI772540B (zh) 混合半導體電晶體結構與製造方法
KR101637679B1 (ko) Fⅰnfet을 형성하기 위한 메커니즘들을 포함하는 반도체 디바이스및 그 형성 방법
US8652894B2 (en) Method for fabricating a FinFET device
US8450813B2 (en) Fin transistor structure and method of fabricating the same
US8441050B2 (en) Fin transistor structure and method of fabricating the same
US9093533B2 (en) FinFET structures having silicon germanium and silicon channels
KR101388329B1 (ko) FinFET 소자를 제조하는 방법
TWI524531B (zh) 鰭型場效電晶體與半導體裝置之製造方法
US10847513B2 (en) Buried interconnect conductor
US9634000B2 (en) Partially isolated fin-shaped field effect transistors
TWI660433B (zh) 藉由磊晶沉積形成鰭片
US20170194462A1 (en) Semiconductor fins for finfet devices and sidewall image transfer (sit) processes for manufacturing the same
US9564370B1 (en) Effective device formation for advanced technology nodes with aggressive fin-pitch scaling
US11037788B2 (en) Integration of device regions
US9478661B1 (en) Semiconductor device structures with self-aligned fin structure(s) and fabrication methods thereof
US10790278B2 (en) Semiconductor device including vertical field effect transistors having different gate lengths
CN111477548B (zh) 鳍式场效应晶体管的形成方法
CN106876393B (zh) 半导体器件及其形成方法
US20200105903A1 (en) Dummy Structure at Fin Cut

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant