KR20180082297A - Contact plugs and methods forming same - Google Patents

Contact plugs and methods forming same Download PDF

Info

Publication number
KR20180082297A
KR20180082297A KR1020170107201A KR20170107201A KR20180082297A KR 20180082297 A KR20180082297 A KR 20180082297A KR 1020170107201 A KR1020170107201 A KR 1020170107201A KR 20170107201 A KR20170107201 A KR 20170107201A KR 20180082297 A KR20180082297 A KR 20180082297A
Authority
KR
South Korea
Prior art keywords
gate
ild
forming
source
dielectric
Prior art date
Application number
KR1020170107201A
Other languages
Korean (ko)
Other versions
KR101971349B1 (en
Inventor
쿠오-후아 판
제-웨이 수
후아 펭 첸
지운-밍 린
첸-후앙 펭
민-얀 시에
자바 우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20180082297A publication Critical patent/KR20180082297A/en
Application granted granted Critical
Publication of KR101971349B1 publication Critical patent/KR101971349B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66606Lateral single gate silicon transistors with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

The present invention relates to a contact plug and a method forming the same. The method includes a transistor forming step which includes the steps of: forming a dummy gate stack over a semiconductor region; and forming an inter-layer dielectric (ILD). The dummy gate stack is in the ILD, and the ILD covers a source/drain region in the semiconductor region. The method further includes the steps of: removing the dummy gate stack to form a trench in the first ILD; forming a low-k gate spacer in the trench; forming a replacement gate dielectric extended into the trench; forming a metal layer to fill the trench; and performing a planarization to remove excess portions of the replacement gate dielectric and the metal layer and form a gate dielectric and a metal gate, respectively. And then, a source region and a drain region are formed on opposite sides of the metal gate. The present invention saves costs for etching-back and forming a hard mask.

Description

콘택트 플러그 및 그 형성 방법{CONTACT PLUGS AND METHODS FORMING SAME}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a contact plug,

[우선권 주장 및 상호 참조][Priority claim and cross reference]

본 출원은, 참조에 의해 여기에 포함된 2017년 1월 9일에 출원되고, 발명의 명칭이 "Contact Plugs and Methods Forming Same"인 미국 특허 가출원 No. 62/443,885의 이익을 주장한다.This application claims the benefit of U.S. Provisional Patent Application No. 10/1994, filed on January 9, 2017, which is hereby incorporated by reference and is entitled " Contact Plugs and Methods Forming Same ". 62 / 443,885.

최근 트랜지스터의 개발에서, 콘택트 플러그 및 금속 게이트를 형성하기 위해 금속이 사용된다. 트랜지스터의 소스 및 드레인 영역과 게이트에 접속하기 위해 콘택트 플러그가 사용된다. 소스/드레인 콘택트 플러그는 통상적으로, 금속 층을 성막하고 이어서 소스/드레인 영역 내의 실리콘과 금속 층을 반응시키기 위한 어닐을 수행함으로써 형성되는 소스/드레인 실리사이드 영역에 접속된다. 금속 게이트에 접속하기 위해 게이트 콘택트 플러그가 사용된다.In recent transistor development, metal is used to form contact plugs and metal gates. A contact plug is used to connect to the source and drain regions and the gate of the transistor. The source / drain contact plug is typically connected to a source / drain silicide region that is formed by depositing a metal layer and then performing an anneal to react the silicon and metal layers in the source / drain regions. A gate contact plug is used to connect to the metal gate.

금속 게이트의 형성은 더미 게이트 스택(dummy gate stack)을 형성하는 단계, 개구를 형성하기 위해 더미 게이트 스택을 제거하는 단계, 개구에 금속 물질을 충전하는 단계, 및 초과 금속 물질을 제거하여 금속 게이트를 형성하기 위해 평탄화를 수행하는 단계를 포함할 수 있다. 이어서, 금속 게이트는 리세스를 형성하기 위해 리세싱되고 리세스에 유전체 하드 마스크가 충전된다. 게이트 콘택트 플러그가 형성될 때, 게이트 콘택트 플러그가 금속 게이트와 접촉할 수 있도록 하드 마스크가 제거된다.The formation of the metal gate may include forming a dummy gate stack, removing the dummy gate stack to form an opening, filling the opening with a metal material, and removing the excess metal material to form a metal gate And then performing planarization to form the planar surface. The metal gate is then recessed to form a recess and the recess is filled with a dielectric hard mask. When the gate contact plug is formed, the hard mask is removed so that the gate contact plug can contact the metal gate.

본 발명의 양상은 첨부 도면을 참조하여 이하의 상세한 설명으로부터 가장 잘 이해된다. 이 산업에서의 표준 관행(standard practice)에 따라 다양한 피처(feature)들은 비례적으로 도시되어 있지 않다는 것을 언급한다. 실제로, 다양한 피처들의 치수는 논의의 명확성을 위해 임의로 증가 또는 감소될 수 있다.
도 1 내지 도 21은 일부 실시형태에 따른 트랜지스터의 형성에서 중간 스테이지의 사시도 및 단면도이다.
도 22는 일부 실시형태에 따른 트랜지스터와 콘택트 플러그를 형성하기 위한 프로세스를 나타낸다.
BRIEF DESCRIPTION OF THE DRAWINGS Aspects of the present invention are best understood from the following detailed description with reference to the accompanying drawings. It is mentioned that various features are not shown proportionally according to standard practice in this industry. Indeed, the dimensions of the various features may optionally be increased or decreased for clarity of discussion.
Figures 1-21 are a perspective view and a cross-sectional view of an intermediate stage in the formation of a transistor according to some embodiments.
22 shows a process for forming a transistor and a contact plug according to some embodiments.

이하의 설명은 본 발명의 상이한 피쳐(feature)를 구현하기 위한 다수의 상이한 실시형태 또는 실시예를 제공한다. 본 발명을 간략화하기 위해 콤포넌트 및 어레인지먼트의 특정 실시예가 이하 개시된다. 물론, 이것은 단지 예시이며, 한정을 의도하지 않는다. 예컨대, 이어지는 설명에 있어서 제2 피처 상에서 또는 그 위에서의 제1 피처의 형성은, 제1 및 제2 피처가 형성되어 직접 접촉하는 실시형태를 포함할 수 있고, 제1 및 제2 피처가 직접 접촉하지 않도록 제1 및 제2 피처 사이에 추가 피처가 형성될 수 있는 실시형태를 포함할 수도 있다. 또한, 본 발명은 다양한 실시예에서 도면부호 및/또는 문자가 반복될 수 있다. 이러한 반복은 간략함 및 명확함을 위한 것이고, 그 자체가 다양한 실시형태 및/또는 논의되는 구성 사이의 관계를 나타내는 것은 아니다.The following description provides a number of different embodiments or embodiments for implementing the different features of the present invention. To simplify the present invention, specific embodiments of components and arrangements are disclosed below. Of course, this is illustrative only and not intended to be limiting. For example, in the ensuing description, the formation of the first feature on or above the second feature may include an embodiment in which the first and second features are formed and in direct contact, and wherein the first and second features are in direct contact But may include embodiments in which additional features may be formed between the first and second features. Furthermore, the present invention may be repeated with reference numerals and / or characters in various embodiments. Such repetition is for the sake of simplicity and clarity and does not in itself represent a relationship between the various embodiments and / or configurations discussed.

또한, 여기서 "아래에 놓인", "밑에", "하부", "위에 놓인", "상부의" 등의 공간 관련 용어는 도면에 예시된 바와 같이, 하나의 엘리먼트 또는 다른 엘리먼트에 대한 피쳐(feature)의 관계를 나타내기 위한 설명의 편의를 위해 사용될 수 있다. 공간 관련 용어는 도면에 도시된 배향(orientation)에 대한 사용 또는 동작에 있어서 디바이스의 상이한 배향을 포함하는 것을 의도하고 있다. 장치는 다르게 배향(90도 회전 또는 다른 배향)될 수 있고, 이에 따라 여기서 사용되는 공간 관련 기술어(descriptor)도 마찬가지로 해석될 수 있다.It will also be appreciated that space-related terms such as "under," "under," "under," "above," "above," and the like, ) Can be used for convenience of explanation. Spatial terms are intended to encompass different orientations of the device in use or operation with respect to the orientations shown in the figures. The device may be oriented differently (rotated 90 degrees or other orientations), so that the spatial related descriptors used herein can be similarly interpreted.

다양한 예시적 실시형태에 따른 트랜지스터 및 그 형성 방법이 제공된다. 일부 실시형태에 따른 트랜지스터 형성의 중간 스테이지가 예시된다. 일부 실시형태의 몇가지 변형이 논의된다. 다양한 도면과 예시적 실시형태를 통해, 유사한 도면부호가 유사한 엘리먼트를 표기하는데 사용된다. 도시된 예시적 실시형태들에서, FinFET(Fin Field-Effect Transistor)들의 형성은 본 개시의 개념들을 설명하기 위한 실시예로서 사용된다. 평면형 트랜지스터는 또한 본 개시의 개념을 채택할 수도 있다.A transistor according to various exemplary embodiments and a method of forming the same are provided. An intermediate stage of transistor formation according to some embodiments is illustrated. Several variations of some embodiments are discussed. Throughout the various drawings and the illustrative embodiments, like reference numerals are used to denote like elements. In the illustrated exemplary embodiments, the formation of FinFETs (Fin Field-Effect Transistors) is used as an example to illustrate the concepts of the present disclosure. Planar transistors may also adopt the concept of this disclosure.

도 1 내지 도 21은 본 개시의 일부 실시형태에 따른 FinFET의 형성에서의 중간 스테이지의 단면도 및 사시도를 나타낸다. 도 1 내지 도 21에 도시된 단계들은 도 22에 도시된 프로세스 흐름에도 개략적으로 반영된다.Figures 1-21 show cross-sectional and perspective views of an intermediate stage in the formation of a FinFET in accordance with some embodiments of the present disclosure. The steps shown in Figs. 1-21 are also roughly reflected in the process flow shown in Fig.

도 1은 초기 구조체의 사시도를 나타낸다. 초기 구조체는 기판(20)을 더 포함하는 웨이퍼(10)를 포함한다. 기판(20)은 반도체 기판이 될 수 있고, 반도체 기판은 실리콘 기판, 실리콘 게르마늄 기판, 또는 다른 반도체 물질로 형성되는 기판이 될 수 있다. 기판(20)은 p 타입 또는 n 타입 불순물로 도핑될 수 있다. STI(Shallow Trench Isolation) 영역들과 같은 격리 영역들(22)은 기판(20)의 상부 표면으로부터 기판(20)으로 연장되도록 형성될 수 있고, 기판(20)의 상부 표면은 웨이퍼(10)의 주 표면(major surface)(10A)이다. 인접한 STI 영역들(22) 사이의 기판(20)의 부분을 반도체 스트립(24)이라 한다. 반도체 스트립(24)의 상면과 STI 영역(22)의 상면은 실질적으로 서로 동등한 레벨이 될 수 있다.Figure 1 shows a perspective view of an initial structure. The initial structure includes a wafer 10 further comprising a substrate 20. The substrate 20 may be a semiconductor substrate, and the semiconductor substrate may be a silicon substrate, a silicon germanium substrate, or a substrate formed of another semiconductor material. The substrate 20 may be doped with p-type or n-type impurities. Isolation regions 22 such as shallow trench isolation (STI) regions may be formed to extend from the top surface of the substrate 20 to the substrate 20, The major surface is 10A. A portion of the substrate 20 between adjacent STI regions 22 is referred to as a semiconductor strip 24. The upper surface of the semiconductor strip 24 and the upper surface of the STI region 22 may be at substantially the same level as each other.

STI 영역(22)은 기판(20)의 표면 층의 열 산화를 통해 형성된 열 산화물일 수 있는 라이너 산화물(liner oxide)(도시되지 않음)을 포함할 수 있다. 라이너 산화물은 예컨대 ALD(Atomic Layer Deposition), HDPCVD(High-Density Plasma Chemical Vapor Deposition), 또는 CVD(Chemical Vapor Deposition)를 이용하여 형성되는 성막된 실리콘 산화물 층이 될 수도 있다. STI 영역들(22)은 라이너 산화물 위의 유전체 물질을 포함할 수도 있고, 유전체 물질은 FCVD(Flowable Chemical Vapor Deposition), 스핀 온(spin-on) 등을 이용하여 형성될 수 있다.The STI region 22 may comprise a liner oxide (not shown), which may be a thermal oxide formed through thermal oxidation of the surface layer of the substrate 20. The liner oxide may be a deposited silicon oxide layer formed using, for example, ALD (Atomic Layer Deposition), HDPCVD (High-Density Plasma Chemical Vapor Deposition), or CVD (Chemical Vapor Deposition). The STI regions 22 may comprise a dielectric material over the liner oxide and the dielectric material may be formed using flowable chemical vapor deposition (FCVD), spin-on, or the like.

도 2를 참조하면, STI 영역들(22)은, 돌출 핀(fin)들(24')을 형성하기 위해 반도체 스트립들(24)의 상부 부분들이 STI 영역들(22)의 상부 표면들보다 더 높게 돌출되도록 리세싱된다. 에칭은 HF3 및 NH3가 에칭 가스들로 사용되는 건식 에칭 프로세스를 이용하여 수행될 수 있다. 에칭 프로세스 중에 플라즈마가 생성될 수 있다. 아르곤도 포함될 수 있다. 본 개시의 대체 실시형태들에 따르면, STI 영역들(22)의 리세싱은 습식 에칭 프로세스를 이용하여 수행된다. 에칭 화학제는 예컨대 HF를 포함할 수 있다.Referring to Figure 2, the STI regions 22 are formed such that the upper portions of the semiconductor strips 24 are closer to the top surfaces of the STI regions 22 to form the projecting fins 24 ' And is recessed so as to protrude higher. The etching can be performed using a dry etching process in which HF 3 and NH 3 are used as the etching gases. Plasma can be generated during the etching process. Argon may also be included. According to alternative embodiments of the present disclosure, recessing of the STI regions 22 is performed using a wet etch process. The etch chemistry may include, for example, HF.

도 3을 참조하면, (돌출된) 핀들(24')의 상부 표면들 및 측벽들 상에 더미 게이트 스택(dummy gate stack)(30)이 형성된다. 명확함을 위해 1개의 더미 게이트 스택(30)이 예시되었지만, 동일 반도체 핀(들)(24')을 교차하며 서로 평행한 복수의 더미 게이트 스택들이 형성될 수 있다. 더미 게이트 스택(30)은 더미 게이트 유전체(32) 및 더미 게이트 유전체(32) 위의 더미 게이트 전극(34)을 포함할 수 있다. 더미 게이트 전극(34)은 예컨대 폴리실리콘을 이용하여 형성될 수 있고 다른 물질들이 사용될 수도 있다. 더미 게이트 스택(30)은 더미 게이트 전극(34) 위의 하나(또는 복수의) 하드 마스크 층(36)을 포함할 수도 있다. 하드 마스크 층(36)은 실리콘 질화물, 실리콘 탄화-질화물(silicon carbo-nitride) 등으로 형성될 수 있다. 하나 또는 복수의 돌출 핀들(24') 및/또는 STI 영역들(22) 위에서 더미 게이트 스택(30)이 교차할(cross) 수 있다. 더미 게이트 스택(30)은 돌출 핀들(24')의 세로 방향들에 수직인 세로 방향을 가질 수도 있다.Referring to FIG. 3, a dummy gate stack 30 is formed on top surfaces and sidewalls of (projected) pins 24 '. Although one dummy gate stack 30 has been illustrated for clarity, a plurality of dummy gate stacks may be formed that intersect the same semiconductor pin (s) 24 'and are parallel to one another. The dummy gate stack 30 may include a dummy gate dielectric 32 and a dummy gate electrode 34 above the dummy gate dielectric 32. The dummy gate electrode 34 may be formed using polysilicon, for example, and other materials may be used. The dummy gate stack 30 may comprise one (or more) hardmask layer 36 on the dummy gate electrode 34. The hard mask layer 36 may be formed of silicon nitride, silicon carbide-nitride, or the like. The dummy gate stack 30 may cross over one or more of the protruding pins 24 'and / or the STI regions 22. The dummy gate stack 30 may have a longitudinal direction perpendicular to the longitudinal directions of the projecting pins 24 '.

이어서, 게이트 스페이서(gate spacer)들(38)이 더미 게이트 스택(30)의 측벽들 상에 형성된다. 본 개시의 일부 실시형태들에 따르면, 게이트 스페이서들(38)은 실리콘 질화물, 실리콘 탄화-질화물(SiCN) 등의 유전체 물질로 형성되고, 단일 층 구조 또는 복수의 유전체 층들을 포함하는 다중 층 구조를 가질 수 있다.Gate spacers 38 are then formed on the sidewalls of the dummy gate stack 30. According to some embodiments of the present disclosure, the gate spacers 38 are formed of a dielectric material such as silicon nitride, silicon carbide-nitride (SiCN), or the like, and may have a single layer structure or a multilayer structure including a plurality of dielectric layers Lt; / RTI >

이어서, 도 4에 도시된 구조가 만들어지는 더미 게이트 스택(30) 및 게이트 스페이서들(38)에 의해 커버되지 않는 돌출 핀들(24')의 일부들을 에칭하기 위한 에칭 단계(이하, 소스/드레인 리세싱이라 함)가 수행된다. 리세싱은 이방성일 수 있고, 이에 따라 더미 게이트 스택(30) 및 게이트 스페이서들(38) 바로 아래에 있는 핀들(24') 중 일부가 보호되어 에칭되지 않는다. 일부 실시형태들에 따르면, 리세싱된 반도체 스트립들(24)의 상부 표면들은 STI 영역들(22)의 상부 표면들(22A)보다 낮을 수 있다. 따라서, STI 영역들(22) 사이에 리세스(recess)들(40)이 형성된다. 리세스들(40)은 더미 게이트 스택(30)의 대향 측(opposite side)들 상에 배치된다.The etching step for etching portions of the dummy gate stack 30 in which the structure shown in FIG. 4 is made and the protruding fins 24 'not covered by the gate spacers 38 (hereinafter referred to as source / Is performed. The recessing may be anisotropic, so that some of the fins 24 'immediately below the dummy gate stack 30 and gate spacers 38 are not protected and etched. In some embodiments, the upper surfaces of the recessed semiconductor strips 24 may be lower than the upper surfaces 22A of the STI regions 22. Thus, recesses 40 are formed between the STI regions 22. The recesses 40 are disposed on opposite sides of the dummy gate stack 30.

이어서, 도 5a에서의 구조를 만드는 리세스들(40) 내에 반도체 물질을 선택적으로 성장시킴으로써 에피택시 영역들(소스/드레인 영역들)(42)이 형성된다. 일부 예시적 실시형태들에 따르면, 에피택시 영역들(42)은 실리콘 게르마늄 또는 실리콘을 포함한다. 얻어진 FinFET이 p 타입 FinFET인지 n 타입 FinFET인지에 따라, p 타입 또는 n 타입 불순물이 에피택시의 진행(proceeding)으로 인-시투 도핑될(in-situ doped) 수 있다. 예컨대, 얻어진 FinFET이 p 타입 FinFET일 때, SiGeB(silicon germanium boron)이 성장될 수 있다. 반대로, 얻어진 FinFET이 n 타입 FinFET일 때, SiP(silicon phosphorous) 또는 SiCP(silicon carbon phosphorous)가 성장될 수 있다. 본 개시의 대체 실시형태들에 따르면, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, 이들의 조합들, 또는 이들의 다중 층들과 같은 III-V 화합물 반도체로 에피택시 영역들(42)이 형성된다. 에피택시 영역들(42)이 리세스들(40)을 완전히 충전시킨 후에, 에피택시 영역들(42)이 수평으로 확대되기 시작하고, 패시트(facet)들이 형성될 수 있다.The epitaxial regions (source / drain regions) 42 are then formed by selectively growing a semiconductor material in the recesses 40 that make up the structure in FIG. 5A. According to some exemplary embodiments, the epitaxial regions 42 comprise silicon germanium or silicon. Depending on whether the obtained FinFET is a p-type FinFET or an n-type FinFET, p-type or n-type impurities may be doped in-situ in the proceeding of epitaxy. For example, when the FinFET obtained is a p-type FinFET, SiGeB (silicon germanium boron) can be grown. Conversely, when the obtained FinFET is an n-type FinFET, SiP (silicon phosphorous) or SiCP (silicon carbon phosphorous) can be grown. According to alternative embodiments of the present disclosure, epitaxial growth of a III-V compound semiconductor such as GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, combinations thereof, Regions 42 are formed. After the epitaxy regions 42 completely fill the recesses 40, the epitaxy regions 42 begin to expand horizontally and facets can be formed.

에피택시 단계 이후에, 도면부호 42를 사용하여 표시된 소스 및 드레인 영역들을 형성하기 위해, p 타입 불순물 또는 n 타입 불순물이 에피택시 영역들(42)에 더 주입될 수 있다. 본 개시의 대체 실시형태들에 따르면, 에피택시 중에 에피택시 영역들(42)이 p 타입 불순물 또는 n 타입 불순물로 인 시투 도핑될 때, 주입 단계가 생략된다. 에피택시 소스/드레인 영역(42)은, STI 영역들(22)에 형성되는 하부 부분들(42A) 및 STI 영역들(22)의 상부 표면들 위에 형성되는 상부 부분들(42B)을 포함한다. 리세스들(40)의 형상을 따라 측벽들이 형성되는 하부 부분들(42A)은, 기판(20)의 주 표면들(하부 표면(20B) 등)에 실질적으로 수직인 실질적인 수직 엣지(vertical edge)들이 될 수도 있는 (실질적으로) 직선인 엣지(straight edge)들을 가질 수 있다.After the epitaxial step, p-type impurities or n-type impurities may be further implanted into the epitaxial regions 42 to form the source and drain regions, According to alternative embodiments of the present disclosure, when the epitaxial regions 42 during epitaxy are doped in-situ with a p-type impurity or an n-type impurity, the implantation step is omitted. The epitaxy source / drain region 42 includes bottom portions 42A formed in the STI regions 22 and top portions 42B formed over the top surfaces of the STI regions 22. The epitaxial source / The lower portions 42A in which the sidewalls are formed along the shape of the recesses 40 define a substantially vertical edge substantially perpendicular to the major surfaces of the substrate 20 (such as the bottom surface 20B) And may have (substantially) straight edges which may be straight lines.

도 6a는 ILD(Inter-Layer Dielectric)(46)가 형성된 구조의 사시도를 나타낸다. 본 개시의 일부 실시형태들에 따르면, ILD(46)의 형성 전에, 소스 및 드레인 영역들(42) 상에 버퍼 산화물 층(미도시) 및 CESL(Contact Etch Stop Layer)(47)이 형성된다. 버퍼 산화물 층은 실리콘 산화물로 형성될 수 있고, CESL(47)은 실리콘 질화물, 실리콘 탄화-질화물 등으로 형성될 수 있다. 버퍼 산화물 층 및 CESL(47)은 예컨대 ALD 등의 등각 성막 방법(conformal deposition method)을 이용하여 형성될 수 있다. ILD(46)는 예컨대 FCVD, 스핀-온 코팅, CVD, 또는 다른 성막 방법들을 이용하여 형성되는 유전체 물질을 포함할 수 있다. ILD(46)는, TEOS(Tetra Ethyl Ortho Silicate) 산화물, PECVD(Plasma-Enhanced CVD) 산화물 (SiO2), PSG(Phospho-Silicate Glass), BSG(Boro-Silicate Glass), BPSG(Boron-Doped Phospho-Silicate Glass) 등으로 형성될 수도 있다. ILD(46), 더미 게이트 스택(30), 및 게이트 스페이서들(38)의 상부 표면들의 레벨을 서로 동등하게 하기 위해 CMP(Chemical Mechanical Polish) 또는 기계적 연마와 같은 평탄화 단계가 수행될 수 있다.6A shows a perspective view of a structure in which an ILD (Inter-Layer Dielectric) 46 is formed. According to some embodiments of the present disclosure, a buffer oxide layer (not shown) and a contact etch stop layer (CESL) 47 are formed on the source and drain regions 42 prior to the formation of the ILD 46. The buffer oxide layer may be formed of silicon oxide, and the CESL 47 may be formed of silicon nitride, silicon carbide-nitride, or the like. The buffer oxide layer and the CESL 47 may be formed using a conformal deposition method such as ALD. The ILD 46 may comprise a dielectric material formed using, for example, FCVD, spin-on coating, CVD, or other deposition methods. The ILD 46 may be formed of an oxide such as TEOS (Tetra Ethyl Ortho Silicate), PECVD (Plasma Enhanced CVD) oxide (SiO 2 ), Phospho-Silicate Glass (PSG), Borosilicate Glass (BSG), Boron-Doped Phospho -Silicate Glass) or the like. A planarization step such as CMP (Chemical Mechanical Polish) or mechanical polishing may be performed to equalize the level of the upper surfaces of ILD 46, dummy gate stack 30, and gate spacers 38 to one another.

도 6a에 도시된 구조의 단면도는 도 6b에 도시되어 있고, 이 단면도는 도 6a에서의 라인 A-A를 포함하는 수직면으로부터 얻어진다. 단면도에서, 복수의 더미 게이트 스택들(30) 중 2개가 도시되어 있고, 인접한 더미 게이트 스택들(30) 사이에 형성된 소스/드레인 영역들(42)이 도시되어 있다. 대체 레이아웃에서 더 많은 더미 게이트 스택들(30)과 소스/드레인 영역들(42)이 형성될 수 있다.A cross-sectional view of the structure shown in Fig. 6A is shown in Fig. 6B, which is taken from a vertical plane containing line A-A in Fig. 6A. In the cross-sectional view, two of the plurality of dummy gate stacks 30 are shown and the source / drain regions 42 formed between adjacent dummy gate stacks 30 are shown. More dummy gate stacks 30 and source / drain regions 42 may be formed in the alternate layout.

이어서, 하드 마스크 층들(36), 더미 게이트 전극들(34), 및 더미 게이트 유전체들(32)을 포함하는 더미 게이트 스택들(30)은 도 7 내지 도 10에 도시된 바와 같은 금속 게이트들 및 대체 게이트 유전체들을 포함하는 대체 게이트 스택들로 대체된다. 도 7 내지 도 10 및 차후의 도 11 내지 도 21에 도시된 단면도는 도 6a에서의 라인 A-A를 포함하는 동일 수직면으로부터 얻어진다. 도 7 내지 도 21에서, STI 영역들(22)의 상부 표면들의 레벨(22A)이 도시되어 있고, 반도체 핀들(24')은 레벨(22A) 위에 있다.The dummy gate stacks 30 including the hard mask layers 36, the dummy gate electrodes 34 and the dummy gate dielectrics 32 are then deposited on the metal gates and / Lt; RTI ID = 0.0 > alternative gate < / RTI > The sectional views shown in Figs. 7 to 10 and subsequent Figs. 11 to 21 are obtained from the same vertical plane including the line A-A in Fig. 6A. 7 to 21, the level 22A of the upper surfaces of the STI regions 22 is shown, and the semiconductor pins 24 'are above the level 22A.

게이트 스택들을 대체할 때, 도 6a 및 도 6b에 도시된 바와 같은 하드 마스크 층들(36), 더미 게이트 전극들(34), 및 더미 게이트 유전체들(32)이 하나 또는 복수의 에칭 단계들에서 첫번째로 제거되고, 이에 따라 도 7에 도시된 바와 같은 트렌치들/개구들(48)이 얻어진다. 각 단계는 도 22에 도시된 프로세스 흐름에서 단계 202로서 도시된다. 돌출 반도체 핀들(24')의 상부 표면들 및 측벽들은 트렌치들(48)에 노출된다.When replacing the gate stacks, the hardmask layers 36, dummy gate electrodes 34, and dummy gate dielectrics 32, as shown in FIGS. 6A and 6B, are formed in the first Thereby resulting in trenches / openings 48 as shown in FIG. Each step is shown as step 202 in the process flow shown in FIG. The top surfaces and sidewalls of the protruding semiconductor fins 24 'are exposed to the trenches 48.

도 8은 일부 실시형태들에 따른 게이트 스페이서들(50)의 형성을 나타낸다. 각 단계는 도 22에 도시된 프로세스 흐름에서 단계 204로서 도시된다. 대체 실시형태들에 따르면, 게이트 스페이서들(50)은 형성되지 않는다. 게이트 스페이서들(50)을 형성하기 위해, 예컨대 ALD 또는 CVD와 같은 성막 방법을 이용하여 하나 이상의 블랭킷 게이트 스페이서 층들이 형성된다. 블랭킷 게이트 스페이서 층은 등각이다. 본 개시의 일부 실시형태들에 따르면, 게이트 스페이서 층은, 게이트 스페이서들(38)의 물질들과 CESL(47) 및 ILD(46)의 물질들 중 하나와 동일하거나 상이할 수 있는, 실리콘 질화물(SiN), SiC, SiON, 실리콘 산소-탄소 질화물(Silicon oxy-carbo nitride), 실리콘 산질화물(silicon oxynitride), 또는 다른 유전체 물질들로 형성된다. 게이트 스페이서들(50)은 소스/드레인 영역들(42)로부터 차후에 형성된 금속 게이트들을 분리시켜서 그 사이에서의 누설 가능성 및 전기적 쇼팅(shorting)이 감소된다.Figure 8 illustrates the formation of gate spacers 50 in accordance with some embodiments. Each step is shown as step 204 in the process flow shown in FIG. According to alternative embodiments, gate spacers 50 are not formed. One or more blanket gate spacer layers are formed using a deposition method, such as ALD or CVD, to form the gate spacers 50. The blanket gate spacer layer is conformal. According to some embodiments of the present disclosure, the gate spacer layer may comprise silicon nitride (e.g., silicon nitride), which may be the same or different from the materials of the gate spacers 38 and one of the materials of the CESL 47 and the ILD 46 SiN), SiC, SiON, silicon oxy-carbon nitride, silicon oxynitride, or other dielectric materials. The gate spacers 50 separate the subsequently formed metal gates from the source / drain regions 42, reducing the likelihood of leakage therebetween and electrical shorting.

일부 실시형태들에서, 게이트 스페이서들(50)은 약 3.0보다 낮은 유전 상수(k값)를 가질 수 있는 로우 k 유전체 물질로 형성된다. 설명을 통해, 약 3.9인 실리콘 산화물(SiO2)의 k값은 하이 k값들로부터 로우 k값들을 구별하기 위해 사용된다. 따라서, 3.8보다 낮은 k값을 로우 k값이라 하고, 개별 유전체 물질은 로우 k 유전체 물질이라 한다. 반대로, 3.9보다 높은 k값을 하이 k값이라 하고, 개별 유전체 물질은 하이 k 유전체 물질이라 한다. 예컨대, 게이트 스페이서들(50)은, 원하는 로우 k값을 갖게 하기 위해 다공성으로 형성되는 SiON 또는 SiOCN으로 형성될 수 있다. 로우 k 유전체 스페이서들(50)의 형성은 바람직하게, 차후에 형성된 금속 게이트들과 소스/드레인 영역들(42) 사이의 기생 용량을 감소시킨다. 예컨대 블랭킷 유전체 층의 성막 중에, 공극 유도물질(porogen)이 추가될 수 있고, 공극이 생성되도록 공극 유도물질을 사라지게 하기(drive out) 위해 성막에 후속하여 어닐이 수행된다. SiOCN 내의 엘리먼트들(카본 등)의 퍼센티지를 조정함으로써 SiOCN의 k값도 조정될 수 있다. 수평 부분을 제거하기 위해 블랭킷 게이트 스페이서 층이 이방성 에칭으로 에칭되고 나머지 수직 부분이 게이트 스페이서들(50)을 형성한다.In some embodiments, the gate spacers 50 are formed of a low k dielectric material that may have a dielectric constant (k value) of less than about 3.0. By way of illustration, the k value of silicon oxide (SiO 2 ), which is about 3.9, is used to distinguish the low k values from the high k values. Thus, a value of k lower than 3.8 is called the low k value, and the individual dielectric material is called the low k dielectric material. Conversely, k values higher than 3.9 are referred to as high k values, and individual dielectric materials are referred to as high k dielectric materials. For example, the gate spacers 50 may be formed of SiON or SiOCN that is formed porous to have a desired low k value. The formation of the low k dielectric spacers 50 preferably reduces the parasitic capacitance between the subsequently formed metal gates and the source / drain regions 42. For example, during the deposition of the blanket dielectric layer, a porogen can be added and an anneal is performed subsequent to the deposition to drive the void inducing material to create voids. The k value of SiOCN can also be adjusted by adjusting the percentage of elements (such as carbon) in the SiOCN. The blanket gate spacer layer is etched by anisotropic etching to remove the horizontal portions and the remaining vertical portions form the gate spacers 50.

각각의 게이트 스페이서(50)는 균질의 유전체 물질을 가진 단일 층으로 형성되거나, 상이한 유전체 물질들로 형성된 복수의 유전체 층들로 형성될 수 있다. 예컨대, 게이트 스페이서(50)는 서브 스페이서(50A) 및 서브 스페이서(50B)를 포함할 수 있다. 형성 프로세스는 등각 유전체 층을 성막하는 단계 및 서브 스페이서(50A)를 형성하기 위해 이방성 에칭을 수행하는 단계, 및 이어서 다른 등각 유전체 층을 성막하는 단계 및 서브 스페이서(50B)를 형성하기 위해 다른 이방성 에칭을 수행하는 단계를 포함할 수 있다.Each gate spacer 50 may be formed of a single layer having a homogeneous dielectric material, or may be formed of a plurality of dielectric layers formed of different dielectric materials. For example, the gate spacer 50 may include a sub-spacer 50A and a sub-spacer 50B. The forming process includes depositing an conformal dielectric layer and performing an anisotropic etch to form the sub-spacers 50A, followed by depositing another conformal dielectric layer and another anisotropic etch to form the sub-spacers 50B. And performing the steps of:

게이트 스페이서들(50)이 서브 스페이서들을 포함하는 실시형태들에서, 서브 스페이서들(50A)과 서브 스페이서들(50B) 중 하나는 SiON 또는 SiOCN(공극을 가짐) 등의 로우 k 유전체 물질로 형성되고, 다른 서브 층은 로우 k 유전체 물질, 실리콘 산화물(로우 k도 아니고 하이 k도 아님) 또는 하이 k 유전체 물질로 형성될 수 있다. 실리콘 산화물 또는 하이 k 유전체 물질들은 양호한 절연 능력을 갖는다. 따라서, 로우 k 유전체 물질들로 형성된 서브 층들 중 하나와 실리콘 산화물 또는 하이 k 유전체 물질로 형성된 다른 서브 층에 의해 격리 능력이 양호하고 기생 용량도 낮다. 일부 실시형태들에 따르면, 서브 스페이서들(50A 및 50B)은 동일 물질(SiON 또는 SiOCN 등)로 형성되지만 상이한 공극율을 갖는다. 예컨대, 서브 스페이서들(50A)이 서브 스페이서들(50B)보다 높은 공극율을 갖거나, 서브 스페이서들(50B)이 서브 스페이서들(50A)보다 높은 공극율을 가질 수 있다.In embodiments where the gate spacers 50 include sub spacers, one of the sub spacers 50A and 50B is formed of a low k dielectric material such as SiON or SiOCN (with pores) , The other sub-layer may be formed of a low k dielectric material, silicon oxide (not low k or high k) or a high k dielectric material. Silicon oxide or high k dielectric materials have good insulating capabilities. Thus, the isolation capability is good and the parasitic capacitance is low by one of the sub-layers formed of low k dielectric materials and another sub-layer formed of silicon oxide or high k dielectric material. According to some embodiments, the sub-spacers 50A and 50B are formed of the same material (such as SiON or SiOCN) but have different porosity. For example, the sub-spacers 50A may have a higher porosity than the sub-spacers 50B, or the sub-spacers 50B may have a higher porosity than the sub-spacers 50A.

이어서, 도 9를 참조하면, 트렌치들(48)(도 8)로 연장되는 (대체) 게이트 유전체 층(52)이 형성된다. 각 단계는 도 22에 도시된 프로세스 흐름에서 단계 206로서 도시된다. 본 개시의 일부 실시형태들에 따르면, 게이트 유전체 층(52)은 그 하부로서 IL(Interfacial Layer)(54)을 포함한다. IL(54)은 돌출 핀들(24')의 노출 표면들 상에 형성된다. IL(54)은 돌출 핀들(24')의 열 산화, 화학적 산화 프로세스, 또는 성막 프로세스를 통해 형성되는 실리콘 산화물 층과 같은 산화물 층을 포함할 수 있다. 게이트 유전체 층(52)은 IL(54) 위에 형성되는 하이 k 유전체 층(56)을 포함할 수도 있다. 하이 k 유전체 층(56)은 하프늄 산화물, 란탄 산화물, 알루미늄 산화물, 지르코늄 산화물, 실리콘 질화물 등의 하이 k 유전체 물질을 포함한다. 하이 k 유전체 물질의 유전 상수(k값)는 3.9보다 높고, 약 7.0보다 높을 수 있다. 하이 k 유전체 층(56)은 IL(54) 위에 놓이고 IL(54)과 접촉할 수 있다. 하이 k 유전체 층(56)은 등각 층으로 형성되고 돌출 핀들(24')의 측벽들 및 게이트 스페이서들(38/50)의 상부 표면과 측벽들 상으로 연장된다. 본 개시의 일부 실시형태들에 따르면, 하이 k 유전체 층(56)은 ALD 또는 CVD를 이용하여 형성된다.Referring now to FIG. 9, a (alternate) gate dielectric layer 52 is formed that extends into the trenches 48 (FIG. 8). Each step is shown as step 206 in the process flow shown in FIG. According to some embodiments of the present disclosure, the gate dielectric layer 52 includes an IL (Interfacial Layer) 54 as its bottom portion. IL 54 is formed on the exposed surfaces of the projecting pins 24 '. The IL 54 may include an oxide layer, such as a silicon oxide layer, formed through thermal oxidation, a chemical oxidation process, or a deposition process of the protruding fins 24 '. The gate dielectric layer 52 may comprise a high k dielectric layer 56 formed over the IL 54. The high k dielectric layer 56 includes a high k dielectric material such as hafnium oxide, lanthanum oxide, aluminum oxide, zirconium oxide, silicon nitride, and the like. The dielectric constant (k value) of the high k dielectric material may be higher than 3.9 and higher than about 7.0. The high k dielectric layer 56 overlies the IL 54 and is in contact with the IL 54. The high k dielectric layer 56 is formed of an isotropic layer and extends over the sidewalls of the sidewalls and gate spacers 38/50 of the projecting fins 24 '. According to some embodiments of the present disclosure, the high k dielectric layer 56 is formed using ALD or CVD.

도 9를 더 참조하면, 스택 층(stacked layer)들(58)이 성막된다. 각 단계는 도 22에 도시된 프로세스 흐름에서 단계 208로서 도시된다. 스택 층들(58) 내의 서브 층들은 개별적으로 도시되지 않았지만, 실제로 서브 층들은 서로 구별 가능하다. 스택 층들(58)의 수직 부분들의 두께(T1)와 수평 부분들의 두께(T2)가 서로 실질적으로 동일하게 되도록, ALD 또는 CVD 등의 등각 성막 방법을 이용하여 성막이 수행될 수 있다. 스택 층들(58)은 트렌치들(48)(도 8)로 연장되고 ILD(46) 위에 일부 부분들을 포함한다.With further reference to FIG. 9, stacked layers 58 are deposited. Each step is shown as step 208 in the process flow shown in FIG. The sublayers in the stack layers 58 are not shown separately, but in fact the sublayers are distinguishable from one another. The film formation can be performed using a conformal film forming method such as ALD or CVD so that the thickness T1 of the vertical portions of the stack layers 58 and the thickness T2 of the horizontal portions are substantially equal to each other. Stack layers 58 extend to trenches 48 (FIG. 8) and include some portions over ILD 46.

스택 층들(58)은 확산 장벽 층 및 확산 장벽 층 위의 하나의(또는 더 많은) 일 함수 층(work-function layer)을 포함할 수 있다. 확산 장벽 층은 실리콘으로 도핑될 수 있는(또는 도핑되지 않을 수 있는) TiN(titanium nitride)으로 형성될 수 있다. 일 함수 층은 게이트의 일 함수를 결정하고, 적어도 하나의 층 또는 상이한 물질들로 형성된 복수의 층들을 포함한다. 개별 FinFET이 n 타입 FinFET인지 p 타입 FinFET인지에 따라 일 함수 층의 특유의 물질이 선택된다. 예컨대, FinFET이 n 타입 FinFET일 때, 일 함수 층은 TaN 층 및 TaN 층 위의 TiAl(titanium aluminum) 층을 포함할 수 있다. FinFET이 p 타입 FinFET일 때, 일 함수 층은 TaN 층, TaN 층 위의 TiN 층, 및 TiN 층 위의 TiAl 층을 포함할 수 있다. 일 함수 층(들)의 성막 후에, 다른 TiN 층이 될 수 있는 다른 장벽 층이 형성된다.Stack layers 58 may include a diffusion barrier layer and one (or more) work-function layer on the diffusion barrier layer. The diffusion barrier layer may be formed of TiN (titanium nitride) which may be doped (or undoped) with silicon. The work function layer determines the work function of the gate and comprises a plurality of layers formed of at least one layer or different materials. Depending on whether the individual FinFET is an n-type FinFET or a p-type FinFET, a material unique to the work function layer is selected. For example, when the FinFET is an n-type FinFET, the work function layer may comprise a TaN layer and a TiAl (titanium aluminum) layer on the TaN layer. When the FinFET is a p-type FinFET, the work function layer may comprise a TaN layer, a TiN layer on the TaN layer, and a TiAl layer on the TiN layer. After deposition of the work function layer (s), another barrier layer, which may be another TiN layer, is formed.

이어서, 예컨대 텅스텐 또는 코발트로 형성될 수 있는 금속 물질(60)이 성막된다. 금속 물질(60)은 나머지 트렌치들(48)(도 8)을 완전히 충전시킨다. 도 10에 도시된 바와 같은 후속 단계에서, ILD(46) 위의 층들(56, 58, 및 60)의 일부들이 제거되도록, CMP 또는 기계적 연마와 같은 평탄화 단계가 수행된다. 각 단계는 도 22에 도시된 프로세스 흐름에서 단계 210로서 도시된다. 이에 따라, 층들(58 및 60)의 나머지 부분들을 포함하는 금속 게이트 전극들(62)이 형성된다. 층들(52, 58, 및 60)의 나머지 부분들을 이하 대체 게이트 스택들(64)이라 한다. 이때, 도 10에 도시된 바와 같이, 금속 게이트(62), 스페이서들(38/52), CESL(47), 및 ILD(46)의 상부 표면들은 실질적으로 동일 평면이 될 수 있다. ILD(46)와 CESL(47)의 두께(T3)는 약 15 nm와 약 25 nm 사이의 범위에 있을 수 있다.Subsequently, a metal material 60, which may be formed of, for example, tungsten or cobalt, is deposited. The metal material 60 completely fills the remaining trenches 48 (FIG. 8). In a subsequent step as shown in FIG. 10, a planarization step such as CMP or mechanical polishing is performed so that portions of layers 56, 58, and 60 on ILD 46 are removed. Each step is shown as step 210 in the process flow shown in FIG. Thus, metal gate electrodes 62 comprising the remaining portions of layers 58 and 60 are formed. The remaining portions of layers 52, 58, and 60 are hereinafter referred to as alternate gate stacks 64. At this time, the upper surfaces of the metal gate 62, spacers 38/52, CESL 47, and ILD 46 may be substantially coplanar, as shown in FIG. The thickness T3 of the ILD 46 and the CESL 47 may be in a range between about 15 nm and about 25 nm.

도 10에서, 점선들(64/50으로 표시됨)은, 게이트 스페이서들(50) 및 대체 게이트 스택들(64)이 도시된 반도체 핀들(24')의 상부 표면들 아래로 연장되고 반도체 핀들(24')의 측벽들 상으로 연장되는 것을 나타내기 위해 게이트 스페이서들(50)의 외부 엣지들에 정렬되는(aligned) 것으로 도시되어 있다. 점선들은 게이트 스페이서들(50)과 대체 게이트 스택들(64)의 이 부분들이 도시된 평면에 있지 않다는 것을 나타낸다. 또한, 도시되진 않았지만, 게이트 스페이서들(38)도, 도 3에 도시된 바와 같이, 반도체 핀들(24')의 측벽들로 연장된다.In Figure 10, the dotted lines 64/50 indicate that the gate spacers 50 and the alternate gate stacks 64 extend below the top surfaces of the illustrated semiconductor pins 24 ' Are shown aligned with the outer edges of the gate spacers 50 to indicate that they extend over the sidewalls of the gate spacers 50 '. The dotted lines indicate that these portions of gate spacers 50 and alternate gate stacks 64 are not in the plane shown. Also, although not shown, gate spacers 38 also extend to the sidewalls of the semiconductor fins 24 ', as shown in FIG.

도 11 내지 도 20은 소스/드레인 콘택트 플러그들 및 게이트 콘택트 플러그들의 형성을 나타낸다. 도시된 실시예에서, 3개의 소스/드레인 영역들(42)이 도시되어 있고 도시된 프로세스는 가장 좌측의 소스/드레인 영역들에 접속된 3개의 소스/드레인 콘택트 플러그만을 도시하고 있다. 실제 프로세스에서는, 중앙과 가장 우측의 소스/드레인 영역들(42)에 접속되도록 형성된 소스/드레인 콘택트 플러그들도 있다. 그러나, 이들 소스/드레인 콘택트 플러그들은 도시된 것과 상이한 평면에 형성되고, 이에 따라 보이지 않는다. 마찬가지로, 도시된 것과 상이한 평면에 있고 이에 따라 도시되지 않은 좌측 게이트 스택(64) 바로 위에 형성된 게이트 콘택트 플러그가 있을 수 있다.Figs. 11-20 illustrate the formation of source / drain contact plugs and gate contact plugs. In the illustrated embodiment, three source / drain regions 42 are shown and the process shown shows only three source / drain contact plugs connected to the leftmost source / drain regions. In an actual process, there are also source / drain contact plugs that are configured to be connected to the center and rightmost source / drain regions 42. However, these source / drain contact plugs are formed in a different plane than shown and thus are not visible. Likewise, there may be a gate contact plug formed just above the left gate stack 64 that is in a different plane than shown and thus not shown.

도 11을 참조하면, 본 개시의 일부 실시형태들에 따르면, 유전체 마스크(66)가 형성된다. 게이트 전극들(62)을 형성하기 위한 평탄화와 유전체 마스크(66)의 형성 사이에, 게이트 전극들(62)을 리세싱하기 위한 에치 백(etch-back)이 수행되지 않는다. 유전체 층(66)은 3.9보다 높은 k값을 가진 하이 k 유전체 물질로 형성될 수 있다. 본 개시의 일부 실시형태들에 따르면, 유전체 마스크(66)는 AlxOy, HfO2, SiN, 또는 SiOCN(내부에 공극이 없거나 실질적으로 공극이 없음)으로 형성된다. 유전체 층(66)은, 로우 k값을 갖게 하기 위해 유전체 마스크(660보다 더 다공성인 게이트 스페이서들(50)과 동일한 물질(SiOCN 등)로 형성될 수도 있고, 형성되지 않을 수도 있다. 유전체 마스크(66)의 두께는 약 2 nm와 약 4 nm 사이의 범위에 있을 수 있다. 형성 방법은 PECVD, ALD, CVD 등을 포함할 수 있다. 이어서, 유전체 마스크(66) 위에 ILD(68)가 형성된다. ILD(68)는, 게이트 스페이서들(50) 내의 로우 k 유전체 물질의 k값보다 높고 차후에 형성된 콘택트 스페이서들(82)(도 14)의 k값보다 낮은 k값을 갖는다. ILD(68)의 물질은 ILD(46) 및 ILD(68)를 형성하기 위한 동일한 후보 물질(및 방법들)로부터 선택될 수 있고, ILD들(46 및 68)은 동일하거나 상이한 유전체 물질들로 형성될 수 있다. 예컨대, 유전체 층(68)은 PECVD를 이용하여 형성될 수 있고, 실리콘 산화물(SiO2)을 포함할 수 있다. 유전체 층(68)의 두께(T4)는 약 700 Å과 약 800 Å 사이의 범위 내에 있을 수 있다.Referring to Fig. 11, in accordance with some embodiments of the present disclosure, a dielectric mask 66 is formed. Between the planarization for forming the gate electrodes 62 and the formation of the dielectric mask 66, an etch-back for recessing the gate electrodes 62 is not performed. Dielectric layer 66 may be formed of a high k dielectric material having a k value higher than 3.9. According to some embodiments of the present disclosure, the dielectric mask 66 is formed of Al x O y , HfO 2 , SiN, or SiOCN (without voids or substantially voids therein). The dielectric layer 66 may or may not be formed of the same material (such as SiOCN) as the gate spacers 50 that are more porous than the dielectric mask 660 to have a low k value. 66 may be in a range between about 2 nm and about 4 nm. The forming method may include PECVD, ALD, CVD, etc. Then, an ILD 68 is formed on the dielectric mask 66 ILD 68 has a k value that is higher than the k value of the low k dielectric material in gate spacers 50 and lower than the k value of contact spacers 82 (Figure 14) formed subsequently. The materials may be selected from the same candidate materials (and methods) for forming ILD 46 and ILD 68, and ILDs 46 and 68 may be formed of the same or different dielectric materials. a dielectric layer 68 may be formed using PECVD, may comprise silicon oxide (SiO 2) A thickness (T4) of the dielectric layer 68 can be in the range of between about 700 Å and about 800 Å.

본 개시의 대체 실시형태들에 따르면, 유전체 마스크(66)는 형성되지 않고, ILD(68)는 하부 대체 게이트 스택들(64), 게이트 스페이서들(38/50), CESL(47), 및 ILD(46)와 직접 접촉한다. 따라서, 유전체 마스크(66)는 선택적으로 형성되는 것을 나타내기 위해 점선들을 이용하여 도시된다. 이들 실시형태들에서, 게이트 전극들(62)을 형성하기 위한 평탄화와 ILD(68)의 형성 사이에, 게이트 전극들(62)을 리세싱하기 위한 에치 백(etch-back)이 수행되지 않는다.According to alternative embodiments of the present disclosure, a dielectric mask 66 is not formed and the ILD 68 is formed of lower alternate gate stacks 64, gate spacers 38/50, CESL 47, (46). Thus, the dielectric mask 66 is shown using dashed lines to indicate that it is selectively formed. Between the planarization for forming the gate electrodes 62 and the formation of the ILD 68, an etch-back for recessing the gate electrodes 62 is not performed.

이어서, 차후 에칭에서 에칭 마스크로 사용되는 금속 하드 마스크(70)가 ILD(68) 위에 형성된다. 금속 하드 마스크(70)는 티타늄 질화물 등의 금속 질화물로 형성될 수 있다. 이어서, 실리콘 산화물로 형성될 수 있는 패드 산화물 층(pad oxide layer)(72)이 하드 마스크 층(70) 위에 형성된다. 이어서, 개구(76)를 형성하는 포토 레지스트(74)가 도포되고(applied) 패터닝된다.A metal hard mask 70, which is then used as an etch mask in a subsequent etch, is formed over the ILD 68. The metal hard mask 70 may be formed of a metal nitride such as titanium nitride. A pad oxide layer 72, which may be formed of silicon oxide, is then formed over the hardmask layer 70. A photoresist 74, which forms an opening 76, is then applied and patterned.

이어서, 패터닝된 포토 레지스트(74)는 개구(76)가 금속 하드 마스크(70)로 연장되도록 하부 패드 산화물 층(72)과 금속 하드 마스크(70)를 에칭하는데 사용된다. 이어서, 포토 레지스트(74)가 예컨대 애싱 프로세스(ashing process)로 제거된다. 이어서, 도 12에 도시된 바와 같이, 소스/드레인 콘택트 개구(78)가 형성되도록, ILD(68), 유전체 마스크(66)(존재하는 경우), ILD(46), 및 CESL(47)을 에칭하기 위해, 에칭 마스크로서 나머지 패드 산화물 층(72)과 금속 하드 마스크(70)가 사용된다. 각 단계는 도 22에 도시된 프로세스 흐름에서 단계 212로서 도시된다. 이 에칭 프로세스 중에, 유전체 마스크(66)(형성된 경우)는 에칭 스탑 층으로서 사용되지 않는다. 따라서, ILD(68), 유전체 마스크(66) 및 ILD(46) 모두를 공격하는(attacking) 에칭 가스를 이용하는 단일 연속 에칭 프로세스로 ILD(68), 유전체 마스크(66) 및 ILD(46)의 에칭이 수행될 수 있다. CESL(47)은 층들(68, 66, 및 46)의 에칭에서 에칭 스탑 층으로서 사용될 수 있다. 이어서, 예컨대 상이한 에칭 가스를 이용하여 에칭 프로세스가 변경되고, CESL(47)의 노출 부분이 에칭되어 하부 소스/드레인 영역(42)이 노출된다.The patterned photoresist 74 is then used to etch the lower pad oxide layer 72 and the metal hard mask 70 such that the openings 76 extend into the metal hard mask 70. The photoresist 74 is then removed, for example, in an ashing process. The ILD 68, the dielectric mask 66 (if present), the ILD 46, and the CESL 47 are then etched to form a source / drain contact opening 78, as shown in FIG. The remaining pad oxide layer 72 and the metal hard mask 70 are used as the etching mask. Each step is shown as step 212 in the process flow shown in FIG. During this etching process, the dielectric mask 66 (if formed) is not used as an etch stop layer. Thus, etching of ILD 68, dielectric mask 66, and ILD 46 with a single continuous etching process that uses an etching gas attacking both ILD 68, dielectric mask 66 and ILD 46 Can be performed. The CESL 47 may be used as an etch stop layer in the etching of the layers 68, 66, and 46. The etch process is then changed, e.g., using a different etch gas, and the exposed portions of the CESL 47 are etched to expose the underlying source / drain regions 42.

도 13을 참조하면, 예컨대 CVD 또는 ALD와 같은 등각 성막 방법을 이용하여 유전체 층(80)이 형성된다. 양호한 격리(isolation) 능력을 갖도록, 유전체 층(80)은 3.9보다 큰 k값을 가진 하이 k 유전체 층이 될 수 있다. 후보 물질들은 AlxOy, HfO2, SiN, 및 SiOCN(내부에 공극이 없거나 실질적으로 공극이 없음)을 포함한다. 유전체 층(80)의 두께는 약 2 nm와 약 4 nm 사이의 범위에 있을 수 있다.Referring to FIG. 13, a dielectric layer 80 is formed using a conformal deposition method, such as CVD or ALD, for example. The dielectric layer 80 may be a high k dielectric layer having a k value greater than 3.9 to have good isolation capabilities. Candidate materials include Al x O y , HfO 2 , SiN, and SiOCN (with no voids or substantially no voids inside). The thickness of the dielectric layer 80 may be in the range between about 2 nm and about 4 nm.

이어서, 유전체 층(80)의 수평 부분들이 제거되도록 이방성 에칭이 수행되고, 개구(78)의 측벽들 상의 나머지 수직 부분들은 웨이퍼(10)의 상부로부터 볼 때 링(ring)을 형성하는 콘택트 스페이서(82)를 형성한다. 이렇게 얻어진 구조가 도 14에 도시되어 있다. 각 단계는 도 22에 도시된 프로세스 흐름에서 단계 214로서 도시된다.Anisotropic etching is then performed so that the horizontal portions of the dielectric layer 80 are removed and the remaining vertical portions on the sidewalls of the opening 78 are removed from the contact spacers < RTI ID = 0.0 > 82 are formed. The structure thus obtained is shown in Fig. Each step is shown as step 214 in the process flow shown in FIG.

본 개시의 대체 실시형태들에 따르면, 이 스테이지에서 콘택트 스페이서(82)를 형성하는 대신, 도 16에 도시된 단계에서 콘택트 스페이서(88)와 동시에 콘택트 스페이서(82)가 형성될 수 있다. 따라서, 도 14에서, 이때에 형성되거나 형성되지 않을 수 있는 것을 나타내기 위해 콘택트 스페이서(82)는 점선으로 도시된다.According to alternative embodiments of the present disclosure, instead of forming the contact spacers 82 in this stage, the contact spacers 82 may be formed simultaneously with the contact spacers 88 in the step shown in Fig. Thus, in Fig. 14, the contact spacers 82 are shown with dotted lines to indicate that they may or may not be formed at this time.

도 15를 참조하면, 개구를 형성하기 위해 포토 레지스트(84)가 도포되고 패터닝된다. 이어서, 개구 아래로 연장되고 게이트 전극(62)이 노출되는 게이트 콘택트 개구(86)를 형성하기 위해 ILD(68) 및 유전체 마스크(66)가 에칭된다. 각 단계는 도 22에 도시된 프로세스 흐름에서 단계 216로서 도시된다. 게이트 스페이서들(38/50)이 노출되도록 게이트 콘택트 개구(86)는 충분히 넓게 될 수 있다. 게이트 스페이서들(50/38)이 노출되지 않도록, 게이트 콘택트 개구(86)는 도시된 것보다 작게 될 수도 있다. 이어서, 포토 레지스트(84)가 제거된다.Referring to Fig. 15, a photoresist 84 is applied and patterned to form openings. The ILD 68 and the dielectric mask 66 are then etched to form a gate contact opening 86 extending below the opening and exposing the gate electrode 62. Each step is shown as step 216 in the process flow shown in FIG. The gate contact openings 86 can be sufficiently wide such that the gate spacers 38/50 are exposed. Gate contact openings 86 may be smaller than shown so that gate spacers 50/38 are not exposed. Then, the photoresist 84 is removed.

이어서, 일부 실시형태들에 따르면, 도 16에 도시된 바와 같이, 개구(86)의 측벽들 상에 (게이트) 콘택트 스페이서(88)가 형성된다. 대체 실시형태들에 따르면, 콘택트 스페이서(88)는 형성되지 않는다. 이전 단계들에서 콘택트 스페이서(82)가 이미 형성되었을 때 콘택트 스페이서(88)는 형성되지 않을 수 있다. 이전 단계들에서 콘택트 스페이서(82)가 형성되지 않은 경우, 도 16에 도시된 단계에서 콘택트 스페이서들(82 및 88)은 동시에 형성된다. 콘택트 스페이서(82)(및 대응하는 유전체 층(80))을 형성하기 위한 후보 물질들의 동일 그룹으로부터 선택될 수 있는 하이 k 유전체 물질로 콘택트 스페이서(88)가 형성될 수 있다. 따라서, 콘택트 스페이서(88)는 형성되거나 형성되지 않을 수 있다는 것을 나타내기 위해 점선으로 도시되고, 콘택트 스페이서(82)는 형성된 것을 나타내기 위해 실선으로 도시된다. 대체 실시형태들에 따르면, 콘택트 개구(78)의 형성 전에 콘택트 개구(86)가 형성되고, 이에 따라 콘택트 스페이서(88)가 형성되고, 콘택트 스페이서(82)는 선택적으로 형성된다.Then, according to some embodiments, (gate) contact spacers 88 are formed on the sidewalls of the openings 86, as shown in FIG. According to alternative embodiments, contact spacers 88 are not formed. The contact spacers 88 may not be formed when the contact spacers 82 have already been formed in the previous steps. If the contact spacers 82 are not formed in the previous steps, the contact spacers 82 and 88 are formed simultaneously in the step shown in Fig. A contact spacer 88 may be formed of a high-k dielectric material, which may be selected from the same group of candidate materials for forming contact spacers 82 (and corresponding dielectric layer 80). Thus, the contact spacers 88 are shown with dotted lines to indicate that they may or may not be formed, and the contact spacers 82 are shown in solid lines to indicate that they are formed. According to alternative embodiments, a contact opening 86 is formed before the formation of the contact opening 78, thereby forming a contact spacer 88, and the contact spacer 82 is selectively formed.

도 17을 참조하면, 예컨대 PVD를 이용하여 금속 층(90)(티타늄 층 또는 코발트 층 등)이 성막된다. 이어서, 티타늄 질화물 층 또는 탄탈 질화물 층 등의 금속 질화물 층이 될 수 있는 장벽 층(92)이 금속 층(90) 위에 형성된다. 각 단계는 도 22에 도시된 프로세스 흐름에서 단계 218로서 도시된다. 장벽 층(92)은 CVD를 이용하여 형성될 수 있다. 층들(90 및 92)은 모두 등각이고, 개구들(78 및 86)로 연장된다.Referring to FIG. 17, a metal layer 90 (such as a titanium layer or a cobalt layer) is formed using PVD, for example. A barrier layer 92, which may be a metal nitride layer, such as a titanium nitride layer or a tantalum nitride layer, is then formed over the metal layer 90. Each step is shown as step 218 in the process flow shown in FIG. The barrier layer 92 may be formed using CVD. The layers 90 and 92 are all conformal and extend into the openings 78 and 86.

이어서, 도 18에 도시된 바와 같이 소스/드레인 실리사이드 영역(94)을 형성하기 위해 어닐(anneal)이 수행된다. 각 단계는 도 22에 도시된 프로세스 흐름에서 단계 220로서 도시된다. 어닐은 RTA(Rapid Thermal Anneal), 노 어닐(furnace anneal) 등을 통해 수행될 수 있다. 따라서, 실리사이드 영역(94)을 형성하기 위해 금속 층(90)의 하부 부분은 소스/드레인 영역(42)과 반응한다. 실리사이드화(silicidation) 프로세스 후에 금속 층(90)의 측벽 부분들이 남는다. 본 개시의 일부 실시형태들에 따르면, 실리사이드 영역(94)의 상부 표면은 장벽 층(92)의 하부 표면과 접촉한다.Annealing is then performed to form the source / drain silicide regions 94 as shown in FIG. Each step is shown as step 220 in the process flow shown in FIG. The annealing may be performed by RTA (Rapid Thermal Anneal), furnace anneal, or the like. Thus, the lower portion of the metal layer 90 reacts with the source / drain regions 42 to form the silicide regions 94. [ The sidewall portions of the metal layer 90 remain after the silicidation process. According to some embodiments of the present disclosure, the upper surface of the silicide region 94 contacts the lower surface of the barrier layer 92.

이어서, 도 19에 도시된 바와 같이, 금속 물질(96)은 장벽 층(92) 위에 성막되어 장벽 층(92)과 접촉한다. 각 단계는 도 22에 도시된 프로세스 흐름에서 단계 222로서 도시된다. 금속 물질(96)은 금속 함유 물질(60)의 후보 물질들의 동일 그룹으로부터 선택될 수 있고 텅스텐 또는 코발트를 포함할 수 있다. 이어서, ILD(68) 위의 층들(90, 92, 및 96)의 일부가 제거되도록, CMP 또는 기계적 연마와 같은 평탄화 단계가 수행된다. 소스/드레인 콘택트 플러그(98) 및 게이트 콘택트 플러그(102)를 포함하는 얻어진(resulting) 구조가 도 20에 도시되어 있다.19, a metal material 96 is deposited over the barrier layer 92 to contact the barrier layer 92. Each step is shown as step 222 in the process flow shown in FIG. The metal material 96 may be selected from the same group of candidate materials of the metal-containing material 60 and may comprise tungsten or cobalt. A planarization step such as CMP or mechanical polishing is then performed so that portions of layers 90, 92, and 96 on ILD 68 are removed. The resulting structure including the source / drain contact plug 98 and the gate contact plug 102 is shown in FIG.

도 21은 에치 스탑 층(103), 유전체 층(104), 게이트 콘택트 플러그(비아)(106), 및 에치 스탑 층(103)과 유전체 층(104) 내의 소스/드레인 콘택트 플러그(비아)(108)의 형성을 나타낸다. 에치 스탑 층(103)은 실리콘 탄화물, 실리콘 산질화물, 실리콘 탄소 질화물 등으로 형성될 수 있고, CVD 등의 성막 방법을 이용하여 형성될 수 있다. 유전체 층(104)은 PSG, BSG, BPSG, FSG(Fuorine-doped Silicon Glass), TEOS 산화물, 또는 PECVD 산화물(SiO2)로부터 선택된 물질을 포함할 수 있다. 유전체 층(104)은 스핀 코팅, FCVD 등을 이용하여 형성되거나, PECVD 또는 LPCVD(Low Pressure Chemical Vapor Deposition) 등의 성막 방법을 이용하여 형성될 수 있다.Figure 21 shows the source / drain contact plugs (vias) 108 in the etch stop layer 103, the dielectric layer 104, the gate contact plug (via) 106 and the etch stop layer 103 and dielectric layer 104 ). ≪ / RTI > The etch stop layer 103 may be formed of silicon carbide, silicon oxynitride, silicon carbon nitride, or the like, and may be formed using a deposition method such as CVD. The dielectric layer 104 may comprise a material selected from PSG, BSG, BPSG, Fuorine-doped Silicon Glass (FSG), TEOS oxide, or PECVD oxide (SiO 2 ). The dielectric layer 104 may be formed using spin coating, FCVD, or a deposition method such as PECVD or LPCVD (Low Pressure Chemical Vapor Deposition).

개구들(플러그들/비아들(106 및 108)에 의해 점유됨)을 형성하기 위해 유전체 층(104) 및 에치 스탑 층(103)이 에칭된다. 예컨대, 에칭은 RIE(Reactive Ion Etch)를 이용하여 수행될 수 있다. 후속 단계에서, 플러그들/비아들(106 및 108)이 형성된다. 본 개시의 일부 실시형태들에 따르면, 플러그들/비아들(106 및 108)은 장벽 층(110) 및 장벽 층 위의 금속 함유 물질(112)을 포함한다. 본 개시의 일부 실시형태들에 따르면, 플러그들/비아들(106 및 108)의 형성은, 콘택트 개구들을 형성하기 위해 층들(103 및 104)을 에칭하는 단계, 블랭킷 장벽 층(90) 및 블랭킷 장벽 층 위의 금속 함유 물질을 형성하는 단계, 및 블랭킷 장벽 층 및 금속 함유 물질의 초과 부분을 제거하기 위해 평탄화를 수행하는 단계를 포함한다. 장벽 층(110)은 티타늄 질화물 또는 탄탈 질화물 등의 금속 질화물로 형성될 수 있다. 금속 함유 물질(112)의 물질, 구조, 및 형성 방법들은, 금속 함유 물질(60)의 각각의 후보 물질들, 후보 구조들, 및 후보 형성 방법들로부터 선택될 수 있고, 이에 따라 세부사항들은 여기에서 반복되지 않는다.Dielectric layer 104 and etch stop layer 103 are etched to form openings (occupied by plugs / vias 106 and 108). For example, the etching may be performed using RIE (Reactive Ion Etch). In a subsequent step, plugs / vias 106 and 108 are formed. According to some embodiments of the present disclosure, the plugs / vias 106 and 108 include a barrier layer 110 and a metal-containing material 112 on the barrier layer. According to some embodiments of the present disclosure, the formation of the plugs / vias 106 and 108 may include etching the layers 103 and 104 to form contact openings, etching the blanket barrier layer 90 and the blanket barrier < RTI ID = 0.0 > Forming a metal-containing material on the layer, and performing planarization to remove excess portions of the blanket barrier layer and the metal-containing material. The barrier layer 110 may be formed of a metal nitride such as titanium nitride or tantalum nitride. The material, structure, and formation methods of the metal-containing material 112 can be selected from the respective candidate materials, candidate structures, and candidate formation methods of the metal-containing material 60, Lt; / RTI >

얻어진 구조에서, 얻어진 구조가 FinFET(100)을 형성하도록, 소소/드레인 영역들(42) 내의 소스 영역들은 전기적으로 상호접속될 수 있고, 소스/드레인 영역들(42) 내의 드레인 영역들은 전기적으로 상호접속될 수 있고, 게이트 전극들(64)은 콘택트 플러그들 및 상부 플러그들/비아들, 금속 라인들(미도시)을 통해 상호접속될 수 있다.In the structure obtained, the source regions in the source / drain regions 42 can be electrically interconnected, and the drain regions in the source / drain regions 42 are electrically connected to each other such that the resulting structure forms a FinFET 100 And gate electrodes 64 may be interconnected via contact plugs and upper plugs / vias, metal lines (not shown).

본 발명의 실시형태들은 몇가지 유익한 피처(feature)들을 갖는다. 금속 게이트 전극(62)의 형성 후에, 금속 게이트 전극은 에칭 백되지(etched back) 않고, 얻어진 리세스 내에 하드 마스크가 형성되지 않는다. 따라서, 하드 마스크를 에칭 백(etching-back)하고 형성하기 위한 비용이 절약된다. 에칭 백이 필요 없기 때문에 금속 게이트의 높이도 감소된다. 따라서, 금속 게이트를 충전하기 위한 개구의 종횡비(aspect ratio)가 감소되고, 금속 게이트의 충전이 더 용이해진다. 하이 k 콘택트 스페이서들(82/88) 및 하이 k 유전체 마스크(66)의 형성은 금속 게이트와 인접한 소스/드레인 콘택트 플러그들 사이의 격리를 향상시킨다. 로우 k 게이트 스페이서들의 형성은 기생 용량의 증가를 초래하지 않고 금속 게이트와 소스/드레인 영역들 사이의 격리를 향상시킨다.Embodiments of the present invention have several beneficial features. After formation of the metal gate electrode 62, the metal gate electrode is not etched back and a hard mask is not formed in the resulting recess. Thus, the cost for etching-back and forming the hard mask is saved. Since the etching back is not necessary, the height of the metal gate is also reduced. Thus, the aspect ratio of the opening for filling the metal gate is reduced, and the filling of the metal gate becomes easier. The formation of the high-k contact spacers 82/88 and the high-k dielectric mask 66 improves the isolation between the metal gate and the adjacent source / drain contact plugs. The formation of the low k gate spacers improves the isolation between the metal gate and the source / drain regions without causing an increase in parasitic capacitance.

본 개시의 일부 실시형태들에 따르면, 방법은 트랜지스터를 형성하는 단계를 포함하고, 트랜지스터를 형성하는 단계는, 더미 게이트의 측부(side) 상에 소스/드레인 영역을 형성하는 단계; 소스/드레인 영역을 커버하는 제1 ILD를 형성하는 단계; 제1 ILD에 트렌치를 형성하기 위해 더미 게이트를 제거하는 단계; 트렌치 내로 연장되는 게이트 유전체 층을 형성하는 단계; 게이트 유전체 층 위에 금속 물질을 형성하는 단계; 및 게이트 유전체 층 및 금속 물질의 초과 부분들을 제거하여 게이트 유전체 및 금속 게이트를 각각 형성하기 위해 평탄화를 수행하는 단계를 포함한다. 방법은 제1 ILD 및 금속 게이트 위에 제2 ILD를 형성하는 단계를 더 포함한다. 제2 ILD가 형성될 때, 금속 게이트의 상부 표면은 제1 ILD의 상부 표면과 동일 평면(coplanar)이 된다. 방법은, 소스/드레인 영역에 전기적으로 연결되고 제1 ILD와 제2 ILD 모두를 관통하는 소스/드레인 콘택트 플러그를 형성하는 단계; 및 금속 게이트 위에서 금속 게이트와 접촉하는 게이트 콘택트 플러그를 형성하는 단계를 더 포함한다.According to some embodiments of the present disclosure, a method includes forming a transistor, wherein forming the transistor comprises: forming a source / drain region on a side of the dummy gate; Forming a first ILD covering the source / drain regions; Removing the dummy gate to form a trench in the first ILD; Forming a gate dielectric layer extending into the trench; Forming a metal material over the gate dielectric layer; And performing planarization to form gate dielectric and metal gate, respectively, by removing the gate dielectric layer and excess portions of the metal material. The method further includes forming a second ILD over the metal gate and a first ILD. When the second ILD is formed, the top surface of the metal gate is coplanar with the top surface of the first ILD. The method includes forming a source / drain contact plug electrically connected to a source / drain region and through both the first ILD and the second ILD; And forming a gate contact plug in contact with the metal gate over the metal gate.

본 개시의 일부 실시형태들에 따르면, 방법은 반도체 영역 위에 더미 게이트 스택을 형성하는 단계 및 ILD를 형성하는 단계를 포함하는 트랜지스터를 형성하는 단계를 포함한다. 더미 게이트 스택은 ILD 내에 있고, ILD는 반도체 영역 내의 소스/드레인 영역을 커버한다. 방법은, 제1 ILD 내에 트렌치를 형성하기 위해 더미 게이트 스택을 제거하는 단계; 트렌치 내에 로우 k 게이트 스페이서를 형성하는 단계; 트렌치 내로 연장되는 대체 게이트 유전체를 형성하는 단계; 트렌치를 충전하기 위해 금속 층을 형성하는 단계; 및 대체 게이트 유전체 및 금속 층의 초과 부분들을 제거하여 게이트 유전체 및 금속 게이트를 각각 형성하기 위해 평탄화를 수행하는 단계를 더 포함한다. 이어서, 금속 게이트의 대향 측부들 상에 소스 영역 및 드레인 영역이 형성된다.According to some embodiments of the present disclosure, a method includes forming a transistor including forming a dummy gate stack over a semiconductor region and forming an ILD. The dummy gate stack is in the ILD, and the ILD covers the source / drain regions in the semiconductor region. The method includes removing a dummy gate stack to form a trench in the first ILD; Forming a low k gate spacer in the trench; Forming a replacement gate dielectric that extends into the trench; Forming a metal layer to fill the trench; And performing planarization to remove excess gate dielectric and excess portions of the metal layer to form a gate dielectric and a metal gate, respectively. A source region and a drain region are then formed on opposite sides of the metal gate.

본 개시의 일부 실시형태들에 따르면, 디바이스는, 제1 ILD, 제1 ILD 내의 제1 게이트 스페이서, 제1 게이트 스페이서의 대향 부분들 사이에 배치된 개구 내의 게이트 유전체, 및 게이트 유전체 위의 금속 게이트를 포함한다. 금속 게이트의 상부 표면, 제1 게이트 스페이서의 상단부(top end), 및 제1 ILD의 상부 표면은 동일한 상부 유전체 층의 하부 표면과 접촉한다. 디바이스는, 제1 ILD 위의 제2 ILD, 금속 게이트에 인접한 소스/드레인 영역, 및 소스/드레인 영역 위에서 소스/드레인 영역과 전기적으로 연결된 소스/드레인 콘택트 플러그를 더 포함한다. 소스/드레인 콘택트 플러그는 제1 ILD 및 제2 ILD를 모두 관통한다. 콘택트 스페이서는 소소/드레인 콘택트 플러그를 둘러싼다.According to some embodiments of the present disclosure, a device includes a first ILD, a first gate spacer in a first ILD, a gate dielectric in an opening disposed between opposing portions of the first gate spacer, and a metal gate . The top surface of the metal gate, the top end of the first gate spacer, and the top surface of the first ILD are in contact with the bottom surface of the same top dielectric layer. The device further includes a second ILD over the first ILD, a source / drain region adjacent the metal gate, and a source / drain contact plug electrically connected to the source / drain region over the source / drain region. The source / drain contact plugs pass through both the first ILD and the second ILD. The contact spacer surrounds the small / drain contact plug.

본 개시의 실시형태에 따르면, 트랜지스터를 형성하는 단계로서, 더미 게이트의 측부(side) 상에 소스/드레인 영역을 형성하는 단계; 상기 소스/드레인 영역을 커버하는 제1 ILD(Inter-Layer Dielectric)를 형성하는 단계; 상기 제1 ILD 내에 트렌치(trench)를 형성하기 위해 상기 더미 게이트를 제거하는 단계; 상기 트렌치 내로 연장되는 게이트 유전체 층을 형성하는 단계; 상기 게이트 유전체 층 위에 금속 물질을 형성하는 단계; 및 상기 게이트 유전체 층과 상기 금속 물질의 초과 부분들을 제거하여 게이트 유전체 및 금속 게이트를 각각 형성하기 위해 평탄화를 수행하는 단계를 포함하는, 상기 트랜지스터를 형성하는 단계; 상기 제1 ILD 및 상기 금속 게이트 위에 제2 ILD를 형성하는 단계로서, 상기 제2 ILD가 형성될 때 상기 금속 게이트의 상부 표면과 상기 제1 ILD의 상부 표면은 동일한 상부 유전체 층의 하부 표면과 접촉하는 것인, 상기 제2 ILD를 형성하는 단계; 상기 소스/드레인 영역에 전기적으로 연결되고 상기 제1 ILD 및 상기 제2 ILD 둘 다를 관통하는 소스/드레인 콘택트 플러그를 형성하는 단계; 및 상기 금속 게이트 위에서 상기 금속 게이트와 접촉하는 게이트 콘택트 플러그를 형성하는 단계를 포함하는, 방법이 제공된다.According to an embodiment of the present disclosure, there is provided a method of forming a transistor, comprising: forming a source / drain region on a side of a dummy gate; Forming a first ILD (Inter-Layer Dielectric) covering the source / drain regions; Removing the dummy gate to form a trench in the first ILD; Forming a gate dielectric layer extending into the trench; Forming a metal material over the gate dielectric layer; And performing planarization to form gate dielectric and metal gate, respectively, by removing the gate dielectric layer and excess portions of the metal material. Forming a second ILD over the first ILD and the metal gate such that when the second ILD is formed the upper surface of the metal gate and the upper surface of the first ILD are in contact with the lower surface of the same upper dielectric layer Gt; ILD < / RTI > Forming a source / drain contact plug electrically connected to the source / drain region and through both the first ILD and the second ILD; And forming a gate contact plug in contact with the metal gate over the metal gate.

본 개시의 실시형태에 따른 방법은, 상기 게이트 유전체 층이 형성되기 전에 상기 트렌치 내에 게이트 스페이서들을 형성하는 단계를 더 포함한다.The method according to an embodiment of the present disclosure further comprises forming gate spacers in the trench before the gate dielectric layer is formed.

본 개시의 실시형태에 따른 방법에 있어서, 상기 게이트 스페이서들을 형성하는 단계는 로우 k 유전체 스페이서들을 형성하는 단계를 포함한다.In the method according to embodiments of the present disclosure, forming the gate spacers includes forming low k dielectric spacers.

본 개시의 실시형태에 따른 방법에 있어서, 상기 제2 ILD는 상기 제1 ILD 위에서 상기 제1 ILD와 접촉한다.In the method according to an embodiment of the present disclosure, the second ILD contacts the first ILD on the first ILD.

본 개시의 실시형태에 따른 방법은, 상기 금속 게이트 및 상기 제1 ILD와 접촉하는 유전체 마스크 - 상기 제2 ILD는 상기 유전체 마스크 위에서 상기 유전체 마스크와 접촉함 - 를 형성하는 단계를 더 포함한다.The method according to an embodiment of the present disclosure further comprises forming a dielectric mask in contact with the metal gate and the first ILD, the second ILD being in contact with the dielectric mask on the dielectric mask.

본 개시의 실시형태에 따른 방법에 있어서, 상기 소스/드레인 콘택트 플러그를 형성하는 단계는, 소스/드레인 콘택트 개구를 형성하기 위해 동일한 에칭제(etchant)를 이용하여 상기 제2 ILD, 상기 유전체 마스크, 및 상기 제1 ILD를 에칭하는 단계; 상기 소스/드레인 콘택트 개구로 연장되는 부분을 갖는 금속 층을 성막하는 단계; 상기 금속 층 위에 금속 질화물 장벽 층을 성막하는 단계; 상기 금속 층을 상기 소스/드레인 영역과 반응시키고 실리사이드 영역을 형성하기 위해 어닐(anneal)을 수행하는 단계; 및 상기 금속 층과 상기 금속 질화물 장벽 층의 초과 부분들을 제거하기 위해 평탄화를 수행하는 단계를 포함한다.In the method according to an embodiment of the present disclosure, the step of forming the source / drain contact plug may include forming the source / drain contact plug using the same etchant to form the source / drain contact opening, Etching the first ILD; Depositing a metal layer having a portion extending into the source / drain contact opening; Depositing a metal nitride barrier layer over the metal layer; Performing an anneal to react the metal layer with the source / drain regions and to form a silicide region; And performing planarization to remove excess portions of the metal layer and the metal nitride barrier layer.

본 개시의 실시형태에 따른 방법에 있어서, 상기 소스/드레인 콘택트 플러그를 형성하는 단계는, 소스/드레인 콘택트 개구를 형성하기 위해 상기 제2 ILD 및 상기 제1 ILD를 에칭하는 단계; 상기 소스/드레인 콘택트 개구에 콘택트 스페이서를 형성하는 단계; 및 상기 소스/드레인 콘택트 플러그를 형성하기 위해 금속 물질로 상기 소스/드레인 콘택트 개구를 충전하는 단계를 포함하고, 상기 콘택트 스페이서는 상기 소스/드레인 콘택트 플러그를 둘러싼다.The method according to an embodiment of the present disclosure, wherein forming the source / drain contact plug comprises: etching the second ILD and the first ILD to form a source / drain contact opening; Forming contact spacers in the source / drain contact openings; And filling the source / drain contact openings with a metal material to form the source / drain contact plugs, the contact spacers surrounding the source / drain contact plugs.

본 개시의 실시형태에 따른 방법에 있어서, 상기 콘택트 스페이서를 형성하는 단계는 하이 k 유전체 스페이서를 형성하는 단계를 포함한다.In the method according to embodiments of the present disclosure, the step of forming the contact spacers comprises forming a high-k dielectric spacer.

본 개시의 실시형태에 따른 방법에 있어서, 상기 유전체 마스크를 형성하는 단계는 하이 k 유전체 층을 형성하는 단계를 포함한다.In the method according to embodiments of the present disclosure, the step of forming the dielectric mask includes forming a high-k dielectric layer.

본 개시의 다른 실시형태에 따르면, 트랜지스터를 형성하는 단계로서, 반도체 영역 위에 더미 게이트 스택을 형성하는 단계; 제1 ILD를 형성하는 단계로서, 상기 더미 게이트 스택은 상기 제1 ILD 내에 있고, 상기 제1 ILD는 상기 반도체 영역 내의 소스/드레인 영역을 커버하는 것인, 상기 제1 ILD를 형성하는 단계; 상기 제1 ILD 내에 트렌치를 형성하기 위해 상기 더미 게이트 스택을 제거하는 단계; 상기 트렌치 내에 로우 k 게이트 스페이서를 형성하는 단계; 상기 트렌치 내로 연장되는 대체 게이트 유전체를 형성하는 단계; 상기 트렌치를 충전하기 위해 금속 층을 형성하는 단계; 및 상기 대체 게이트 유전체와 상기 금속 층의 초과 부분들을 제거하여 게이트 유전체 및 금속 게이트를 각각 형성하기 위해 평탄화를 수행하는 단계를 포함하는, 상기 트랜지스터를 형성하는 단계; 및 소스 영역과 드레인 영역 - 상기 소스 영역과 상기 드레인 영역은 상기 금속 게이트의 대향 측부들 상에 있음 - 을 형성하는 단계를 포함하는, 방법이 제공된다.According to another aspect of the present disclosure, there is provided a method of forming a transistor, comprising: forming a dummy gate stack over a semiconductor region; Forming a first ILD, wherein the dummy gate stack is in the first ILD and the first ILD covers a source / drain region in the semiconductor region; Removing the dummy gate stack to form a trench in the first ILD; Forming a low k gate spacer in the trench; Forming a replacement gate dielectric that extends into the trench; Forming a metal layer to fill the trench; And removing the alternate gate dielectric and excess portions of the metal layer to perform planarization to form a gate dielectric and a metal gate, respectively; And forming a source region and a drain region, said source region and said drain region being on opposite sides of said metal gate.

본 개시의 다른 실시형태에 따른 방법은, 상기 더미 게이트 스택이 제거되기 전과 상기 제1 ILD가 형성되기 전에, 상기 더미 게이트 스택의 측벽과 접촉하는 추가 게이트 스페이서를 형성하는 단계를 더 포함하고, 상기 로우 k 게이트 스페이서는 상기 추가 게이트 스페이서의 측벽과 접촉하는 측벽을 갖는다.The method according to another embodiment of the present disclosure further comprises forming additional gate spacers that are in contact with the sidewalls of the dummy gate stack before the dummy gate stack is removed and before the first ILD is formed, The low k gate spacer has a sidewall contacting the sidewall of the additional gate spacer.

본 개시의 다른 실시형태에 따른 방법에 있어서, 상기 로우 k 게이트 스페이서는 다공성 유전체 물질을 포함한다.In another embodiment of the present disclosure, the low k gate spacer comprises a porous dielectric material.

본 개시의 다른 실시형태에 따른 방법은, 상기 금속 게이트, 상기 로우 k 게이트 스페이서, 및 상기 제1 ILD 위에서 상기 금속 게이트, 상기 로우 k 게이트 스페이서, 및 상기 제1 ILD와 접촉하는 하이 k 유전체 마스크를 형성하는 단계; 및 상기 하이 k 유전체 마스크 위에서 상기 하이 k 유전체 마스크와 접촉하는 제2 ILD를 형성하는 단계를 더 포함한다.A method according to another embodiment of the present disclosure includes providing a high k dielectric mask in contact with the metal gate, the low k gate spacer, and the first ILD on the metal gate, the low k gate spacer, and the first ILD ; And forming a second ILD in contact with the high k dielectric mask on the high k dielectric mask.

본 개시의 다른 실시형태에 따른 방법에 있어서, 상기 로우 k 게이트 스페이서 및 상기 하이 k 유전체 마스크는 동일 유전체로 형성되고, 상기 로우 k 게이트 스페이서는 상기 하이 k 유전체 마스크보다 더 다공성이다.In a method according to another embodiment of the present disclosure, the low k gate spacers and the high k dielectric mask are formed of the same dielectric, and the low k gate spacers are more porous than the high k dielectric mask.

본 개시의 다른 실시형태에 따른 방법은, 상기 제1 ILD를 관통하는 소스/드레인 콘택트 플러그와 하이 k 콘택트 스페이서를 형성하는 단계를 더 포함하고, 상기 하이 k 콘택트 스페이서는 상기 소스/드레인 콘택트 플러그를 둘러싼다.A method according to another embodiment of the present disclosure further comprises forming a high-k contact spacer with a source / drain contact plug through the first ILD, wherein the high-k contact spacer comprises a source / drain contact plug Enclose.

본 개시의 또 다른 실시형태에 따르면, 제1 ILD(Inter-Layer Dielectric); 상기 제1 ILD 내의 제1 게이트 스페이서; 상기 제1 게이트 스페이서의 대향 부분들 사이에 배치된 개구 내의 게이트 유전체; 상기 게이트 유전체 위의 금속 게이트로서, 상기 금속 게이트의 상부 표면, 상기 제1 게이트 스페이서의 상부 표면, 및 상기 제1 ILD의 상부 표면은 동일 유전체 층의 하부 표면과 접촉하는 것인, 상기 금속 게이트; 상기 제1 ILD 위의 제2 ILD; 상기 금속 게이트에 인접한 소스/드레인 영역; 상기 소스/드레인 영역 위에서 상기 소스/드레인 영역과 전기적으로 연결되고 상기 제1 ILD와 상기 제2 ILD 둘 다를 관통하는, 소스/드레인 콘택트 플러그; 및 상기 소스/드레인 콘택트 플러그를 둘러싸는 콘택트 스페이서를 포함하는, 디바이스가 제공된다.According to still another embodiment of the present disclosure, there is provided a liquid crystal display comprising: a first ILD (Inter-Layer Dielectric); A first gate spacer in the first ILD; A gate dielectric in an opening disposed between opposing portions of the first gate spacer; A metal gate over the gate dielectric, the upper surface of the metal gate, the upper surface of the first gate spacer, and the upper surface of the first ILD being in contact with a lower surface of the same dielectric layer; A second ILD on the first ILD; A source / drain region adjacent said metal gate; A source / drain contact plug electrically connected to the source / drain region on the source / drain region and through both the first ILD and the second ILD; And a contact spacer surrounding the source / drain contact plug.

본 개시의 또 다른 실시형태에 따른 디바이스에 있어서, 상기 콘택트 스페이서는 하이 k 유전체 물질로 형성된다.In a device according to another embodiment of the present disclosure, the contact spacers are formed of a high k dielectric material.

본 개시의 또 다른 실시형태에 따른 디바이스에 있어서, 상기 제2 ILD는 상기 제1 ILD와 접촉하고, 상기 디바이스는 상기 제2 ILD 내의 게이트 콘택트 플러그를 더 포함하고, 상기 제2 ILD의 하부 표면은 상기 게이트 콘택트 플러그의 하부 표면과 실질적으로 동일 평면이다.In a device according to another embodiment of the present disclosure, the second ILD contacts the first ILD, the device further comprises a gate contact plug in the second ILD, and the lower surface of the second ILD And is substantially flush with the lower surface of the gate contact plug.

본 개시의 또 다른 실시형태에 따른 디바이스는, 상기 제1 ILD와 상기 제2 ILD 사이에서 상기 제1 ILD 및 상기 제2 ILD와 접촉하는 하이 k 유전체 마스크를 더 포함하고, 상기 소스/드레인 콘택트 플러그는 또한 상기 하이 k 유전체 마스크를 관통한다.A device according to yet another embodiment of the present disclosure further comprises a high k dielectric mask in contact with the first ILD and the second ILD between the first ILD and the second ILD, Also penetrates the high k dielectric mask.

본 개시의 또 다른 실시형태에 따른 디바이스는, 상기 게이트 유전체와 상기 제1 게이트 스페이서 사이에서 상기 게이트 유전체 및 상기 제1 게이트 스페이서와 접촉하며, 로우 k 유전체 물질을 포함하는, 제2 게이트 스페이서를 더 포함한다.A device according to yet another embodiment of the present disclosure further comprises a second gate spacer in contact with the gate dielectric and the first gate spacer between the gate dielectric and the first gate spacer and comprising a low k dielectric material .

상기 내용은 당업자가 본 개시의 양태들을 더 잘 이해할 수 있도록 몇가지 실시형태들의 특징들의 개요를 설명한 것이다. 여기 개시된 실시형태들의 동일 목적들을 수행하는 것 및/또는 동일 장점들을 달성하는 것을 위해 다른 프로세스들 및 구조들을 디자인 또는 수정하기 위한 기초로서 본 개시를 용이하게 사용할 수 있다는 것을 통상의 기술자는 인식해야 한다. 또한, 이러한 동등물들은 본 개시의 사상 및 범위로부터 벗어나지 않는다는 것과 본 개시의 사상 및 범위로부터 벗어나지 않는 다양한 변경들, 대체물들, 및 개조들이 이루어질 수 있다는 것을 통상의 기술자는 인식해야 한다.The foregoing is a summary of features of several embodiments to enable those skilled in the art to better understand aspects of the disclosure. It should be appreciated by those of ordinary skill in the art that the present disclosure can readily be used as a basis for designing or modifying other processes and structures for performing the same purposes of the disclosed embodiments and / or achieving the same advantages . It should also be realized by those skilled in the art that various changes, substitutions, and alterations may be made without departing from the spirit and scope of the disclosure and without departing from the spirit and scope of the disclosure.

Claims (10)

방법에 있어서,
트랜지스터를 형성하는 단계로서,
더미 게이트의 측부(side) 상에 소스/드레인 영역을 형성하는 단계;
상기 소스/드레인 영역을 커버하는 제1 ILD(Inter-Layer Dielectric)를 형성하는 단계;
상기 제1 ILD 내에 트렌치(trench)를 형성하기 위해 상기 더미 게이트를 제거하는 단계;
상기 트렌치 내로 연장되는 게이트 유전체 층을 형성하는 단계;
상기 게이트 유전체 층 위에 금속 물질을 형성하는 단계; 및
상기 게이트 유전체 층과 상기 금속 물질의 초과 부분들을 제거하여 게이트 유전체 및 금속 게이트를 각각 형성하기 위해 평탄화를 수행하는 단계
를 포함하는, 상기 트랜지스터를 형성하는 단계;
상기 제1 ILD 및 상기 금속 게이트 위에 제2 ILD를 형성하는 단계로서, 상기 제2 ILD가 형성될 때 상기 금속 게이트의 상부 표면과 상기 제1 ILD의 상부 표면은 동일한 상부 유전체 층의 하부 표면과 접촉하는 것인, 상기 제2 ILD를 형성하는 단계;
상기 소스/드레인 영역에 전기적으로 연결되고 상기 제1 ILD 및 상기 제2 ILD 둘 다를 관통하는 소스/드레인 콘택트 플러그를 형성하는 단계; 및
상기 금속 게이트 위에서 상기 금속 게이트와 접촉하는 게이트 콘택트 플러그를 형성하는 단계
를 포함하는, 방법.
In the method,
Forming a transistor,
Forming a source / drain region on a side of the dummy gate;
Forming a first ILD (Inter-Layer Dielectric) covering the source / drain regions;
Removing the dummy gate to form a trench in the first ILD;
Forming a gate dielectric layer extending into the trench;
Forming a metal material over the gate dielectric layer; And
Performing planarization to remove the gate dielectric layer and excess portions of the metal material to form a gate dielectric and a metal gate, respectively
Forming the transistor;
Forming a second ILD over the first ILD and the metal gate such that when the second ILD is formed the upper surface of the metal gate and the upper surface of the first ILD are in contact with the lower surface of the same upper dielectric layer Gt; ILD < / RTI >
Forming a source / drain contact plug electrically connected to the source / drain region and through both the first ILD and the second ILD; And
Forming a gate contact plug in contact with the metal gate over the metal gate
/ RTI >
제1항에 있어서,
상기 게이트 유전체 층이 형성되기 전에 상기 트렌치 내에 게이트 스페이서들을 형성하는 단계를 더 포함하는, 방법.
The method according to claim 1,
Further comprising forming gate spacers in the trench before the gate dielectric layer is formed.
제2항에 있어서,
상기 게이트 스페이서들을 형성하는 단계는 로우 k 유전체 스페이서들을 형성하는 단계를 포함하는 것인, 방법.
3. The method of claim 2,
Wherein forming the gate spacers comprises forming low k dielectric spacers.
제1항에 있어서,
상기 금속 게이트 및 상기 제1 ILD와 접촉하는 유전체 마스크 - 상기 제2 ILD는 상기 유전체 마스크 위에서 상기 유전체 마스크와 접촉함 - 를 형성하는 단계를 더 포함하는, 방법.
The method according to claim 1,
Further comprising: forming a dielectric mask in contact with the metal gate and the first ILD, the second ILD contacting the dielectric mask on the dielectric mask.
제4항에 있어서,
상기 소스/드레인 콘택트 플러그를 형성하는 단계는,
소스/드레인 콘택트 개구를 형성하기 위해 동일한 에칭제(etchant)를 이용하여 상기 제2 ILD, 상기 유전체 마스크, 및 상기 제1 ILD를 에칭하는 단계;
상기 소스/드레인 콘택트 개구로 연장되는 부분을 갖는 금속 층을 성막하는 단계;
상기 금속 층 위에 금속 질화물 장벽 층을 성막하는 단계;
상기 금속 층을 상기 소스/드레인 영역과 반응시키고 실리사이드 영역을 형성하기 위해 어닐(anneal)을 수행하는 단계; 및
상기 금속 층과 상기 금속 질화물 장벽 층의 초과 부분들을 제거하기 위해 평탄화를 수행하는 단계
를 포함하는 것인, 방법.
5. The method of claim 4,
Wherein forming the source / drain contact plug comprises:
Etching the second ILD, the dielectric mask, and the first ILD using the same etchant to form a source / drain contact opening;
Depositing a metal layer having a portion extending into the source / drain contact opening;
Depositing a metal nitride barrier layer over the metal layer;
Performing an anneal to react the metal layer with the source / drain regions and to form a silicide region; And
Performing planarization to remove excess portions of the metal layer and the metal nitride barrier layer
≪ / RTI >
제4항에 있어서,
상기 소스/드레인 콘택트 플러그를 형성하는 단계는,
소스/드레인 콘택트 개구를 형성하기 위해 상기 제2 ILD 및 상기 제1 ILD를 에칭하는 단계;
상기 소스/드레인 콘택트 개구에 콘택트 스페이서를 형성하는 단계; 및
상기 소스/드레인 콘택트 플러그를 형성하기 위해 금속 물질로 상기 소스/드레인 콘택트 개구를 충전하는 단계
를 포함하고,
상기 콘택트 스페이서는 상기 소스/드레인 콘택트 플러그를 둘러싸는 것인, 방법.
5. The method of claim 4,
Wherein forming the source / drain contact plug comprises:
Etching the second ILD and the first ILD to form a source / drain contact opening;
Forming contact spacers in the source / drain contact openings; And
Filling the source / drain contact opening with a metal material to form the source / drain contact plug
Lt; / RTI >
Wherein the contact spacers surround the source / drain contact plug.
제6항에 있어서,
상기 콘택트 스페이서를 형성하는 단계는 하이 k 유전체 스페이서를 형성하는 단계를 포함하는 것인, 방법.
The method according to claim 6,
Wherein forming the contact spacers comprises forming high k dielectric spacers.
제4항에 있어서,
상기 유전체 마스크를 형성하는 단계는 하이 k 유전체 층을 형성하는 단계를 포함하는 것인, 방법.
5. The method of claim 4,
Wherein forming the dielectric mask comprises forming a high-k dielectric layer.
방법에 있어서,
트랜지스터를 형성하는 단계로서,
반도체 영역 위에 더미 게이트 스택을 형성하는 단계;
제1 ILD를 형성하는 단계로서, 상기 더미 게이트 스택은 상기 제1 ILD 내에 있고, 상기 제1 ILD는 상기 반도체 영역 내의 소스/드레인 영역을 커버하는 것인, 상기 제1 ILD를 형성하는 단계;
상기 제1 ILD 내에 트렌치를 형성하기 위해 상기 더미 게이트 스택을 제거하는 단계;
상기 트렌치 내에 로우 k 게이트 스페이서를 형성하는 단계;
상기 트렌치 내로 연장되는 대체 게이트 유전체를 형성하는 단계;
상기 트렌치를 충전하기 위해 금속 층을 형성하는 단계; 및
상기 대체 게이트 유전체와 상기 금속 층의 초과 부분들을 제거하여 게이트 유전체 및 금속 게이트를 각각 형성하기 위해 평탄화를 수행하는 단계
를 포함하는, 상기 트랜지스터를 형성하는 단계; 및
소스 영역과 드레인 영역 - 상기 소스 영역과 상기 드레인 영역은 상기 금속 게이트의 대향 측부들 상에 있음 - 을 형성하는 단계
를 포함하는, 방법.
In the method,
Forming a transistor,
Forming a dummy gate stack over the semiconductor region;
Forming a first ILD, wherein the dummy gate stack is in the first ILD and the first ILD covers a source / drain region in the semiconductor region;
Removing the dummy gate stack to form a trench in the first ILD;
Forming a low k gate spacer in the trench;
Forming a replacement gate dielectric that extends into the trench;
Forming a metal layer to fill the trench; And
Performing planarization to remove the alternate gate dielectric and excess portions of the metal layer to form a gate dielectric and a metal gate, respectively
Forming the transistor; And
Forming a source region and a drain region, said source region and said drain region being on opposite sides of said metal gate;
/ RTI >
디바이스에 있어서,
제1 ILD(Inter-Layer Dielectric);
상기 제1 ILD 내의 제1 게이트 스페이서;
상기 제1 게이트 스페이서의 대향 부분들 사이에 배치된 개구 내의 게이트 유전체;
상기 게이트 유전체 위의 금속 게이트로서, 상기 금속 게이트의 상부 표면, 상기 제1 게이트 스페이서의 상부 표면, 및 상기 제1 ILD의 상부 표면은 동일 유전체 층의 하부 표면과 접촉하는 것인, 상기 금속 게이트;
상기 제1 ILD 위의 제2 ILD;
상기 금속 게이트에 인접한 소스/드레인 영역;
상기 소스/드레인 영역 위에서 상기 소스/드레인 영역과 전기적으로 연결되고 상기 제1 ILD와 상기 제2 ILD 둘 다를 관통하는, 소스/드레인 콘택트 플러그; 및
상기 소스/드레인 콘택트 플러그를 둘러싸는 콘택트 스페이서
를 포함하는, 디바이스.
In a device,
A first ILD (Inter-Layer Dielectric);
A first gate spacer in the first ILD;
A gate dielectric in an opening disposed between opposing portions of the first gate spacer;
A metal gate over the gate dielectric, the upper surface of the metal gate, the upper surface of the first gate spacer, and the upper surface of the first ILD being in contact with a lower surface of the same dielectric layer;
A second ILD on the first ILD;
A source / drain region adjacent said metal gate;
A source / drain contact plug electrically connected to the source / drain region on the source / drain region and through both the first ILD and the second ILD; And
A contact spacer surrounding the source / drain contact plug;
.
KR1020170107201A 2017-01-09 2017-08-24 Contact plugs and methods forming same KR101971349B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762443885P 2017-01-09 2017-01-09
US62/443,885 2017-01-09
US15/610,981 2017-06-01
US15/610,981 US10516030B2 (en) 2017-01-09 2017-06-01 Contact plugs and methods forming same

Publications (2)

Publication Number Publication Date
KR20180082297A true KR20180082297A (en) 2018-07-18
KR101971349B1 KR101971349B1 (en) 2019-04-22

Family

ID=62783478

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170107201A KR101971349B1 (en) 2017-01-09 2017-08-24 Contact plugs and methods forming same

Country Status (4)

Country Link
US (3) US10516030B2 (en)
KR (1) KR101971349B1 (en)
CN (1) CN108288604B (en)
TW (1) TWI689043B (en)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10269621B2 (en) 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
CN114446883A (en) 2017-09-22 2022-05-06 蓝枪半导体有限责任公司 Semiconductor element and manufacturing method thereof
US10490458B2 (en) * 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
US11107902B2 (en) * 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US10998421B2 (en) * 2018-07-16 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing pattern loading in the etch-back of metal gate
US11069793B2 (en) * 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US10872818B2 (en) * 2018-10-26 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Buried power rail and method forming same
US10868130B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11004958B2 (en) 2018-10-31 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11443982B2 (en) * 2018-11-08 2022-09-13 International Business Machines Corporation Formation of trench silicide source or drain contacts without gate damage
US10755918B2 (en) * 2018-11-16 2020-08-25 GlobalFoundries, Inc. Spacer with laminate liner
US11335786B2 (en) * 2019-02-01 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure in high-κ metal gate technology
JP7232081B2 (en) * 2019-03-01 2023-03-02 ルネサスエレクトロニクス株式会社 Semiconductor device and its manufacturing method
US11024536B2 (en) * 2019-04-18 2021-06-01 International Business Machines Corporation Contact interlayer dielectric replacement with improved SAC cap retention
CN110310921B (en) * 2019-07-09 2021-10-01 京东方科技集团股份有限公司 Display substrate, manufacturing method thereof, display panel and display device
US11342225B2 (en) 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
KR20210024384A (en) 2019-08-23 2021-03-05 삼성전자주식회사 Semiconductor device and method of fabricating the same
US11302814B2 (en) 2020-01-23 2022-04-12 Nanya Technology Corp. Semiconductor device with porous dielectric structure and method for fabricating the same
US11244901B2 (en) * 2020-02-12 2022-02-08 Nanya Technology Corporation Semiconductor device with graded porous dielectric structure
US11145550B2 (en) * 2020-03-05 2021-10-12 International Business Machines Corporation Dummy fin template to form a self-aligned metal contact for output of vertical transport field effect transistor
US11682711B2 (en) * 2020-05-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having multi-layered gate spacers
DE102021108598A1 (en) * 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. HETEROSTRUCTURAL OXIDE SEMICONDUCTOR TRANSISTOR WITH VERTICAL GATE-ALL-AROUND (VGAA) AND PROCESS FOR THE PRODUCTION OF IT
US11581366B2 (en) * 2020-06-22 2023-02-14 Taiwan Semiconductor Manufacturing Company Limited Memory cell device with thin-film transistor selector and methods for forming the same
US11682675B2 (en) * 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050039088A (en) * 2003-10-23 2005-04-29 동부아남반도체 주식회사 Semiconductor device with dual spacer and method for manufacturing thereof
KR20080076832A (en) * 2007-02-15 2008-08-20 소니 가부시끼 가이샤 Method for manufacturing insulated gate field effect transistor
KR20160024891A (en) * 2013-09-11 2016-03-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of forming a semiconductor device
KR20160059862A (en) * 2014-11-19 2016-05-27 삼성전자주식회사 Semiconductor device and the method for fabricating thereof

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5882983A (en) 1997-12-19 1999-03-16 Advanced Micro Devices, Inc. Trench isolation structure partially bound between a pair of low K dielectric structures
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9099492B2 (en) * 2012-03-26 2015-08-04 Globalfoundries Inc. Methods of forming replacement gate structures with a recessed channel
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8624324B1 (en) * 2012-08-10 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Connecting through vias to devices
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
KR102065973B1 (en) * 2013-07-12 2020-01-15 삼성전자 주식회사 Semiconductor device and fabricating method thereof
KR102167625B1 (en) * 2013-10-24 2020-10-19 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9530736B2 (en) * 2014-02-14 2016-12-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
CN105206561B (en) * 2014-05-28 2018-08-10 中芯国际集成电路制造(上海)有限公司 The forming method and semiconductor structure of interconnection structure
US9431296B2 (en) * 2014-06-26 2016-08-30 International Business Machines Corporation Structure and method to form liner silicide with improved contact resistance and reliablity
US9431244B2 (en) 2014-09-24 2016-08-30 Qualcomm Mems Technologies, Inc. Laser annealing technique for metal oxide TFT
US9601430B2 (en) 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR102291062B1 (en) 2015-06-18 2021-08-17 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10043903B2 (en) * 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
CN106960875B (en) 2016-01-12 2020-06-16 中芯国际集成电路制造(北京)有限公司 Semiconductor device and method for manufacturing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050039088A (en) * 2003-10-23 2005-04-29 동부아남반도체 주식회사 Semiconductor device with dual spacer and method for manufacturing thereof
KR20080076832A (en) * 2007-02-15 2008-08-20 소니 가부시끼 가이샤 Method for manufacturing insulated gate field effect transistor
KR20160024891A (en) * 2013-09-11 2016-03-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of forming a semiconductor device
KR20160059862A (en) * 2014-11-19 2016-05-27 삼성전자주식회사 Semiconductor device and the method for fabricating thereof

Also Published As

Publication number Publication date
CN108288604A (en) 2018-07-17
US20190103473A1 (en) 2019-04-04
US10516030B2 (en) 2019-12-24
CN108288604B (en) 2021-05-07
KR101971349B1 (en) 2019-04-22
TWI689043B (en) 2020-03-21
US10930752B2 (en) 2021-02-23
TW201839910A (en) 2018-11-01
US11862708B2 (en) 2024-01-02
US20210202713A1 (en) 2021-07-01
US20180197970A1 (en) 2018-07-12

Similar Documents

Publication Publication Date Title
KR101971349B1 (en) Contact plugs and methods forming same
US11532504B2 (en) Low-resistance contact plugs and method forming same
US11594619B2 (en) Devices including gate spacer with gap or void and methods of forming the same
KR101967541B1 (en) Contact plugs and methods forming same
CN108231588B (en) Transistor and forming method thereof
US10121873B2 (en) Metal gate and contact plug design and method forming same
US11004855B2 (en) Buried metal track and methods forming same
KR20180069704A (en) Finfet structures and methods of forming the same
US20230246089A1 (en) Reducing Pattern Loading in the Etch-Back of Metal Gate
KR20200047292A (en) Slot contacts and method forming same
US20240072155A1 (en) Contact plugs and methods forming same
TWI792499B (en) Semiconductor structures and methods for forming the same
KR20230069785A (en) Dual damascene structure in forming source/drain contacts

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant