KR20180047268A - 메모리 셀에 대한 리프레시 방법 및 장치 - Google Patents

메모리 셀에 대한 리프레시 방법 및 장치 Download PDF

Info

Publication number
KR20180047268A
KR20180047268A KR1020160143205A KR20160143205A KR20180047268A KR 20180047268 A KR20180047268 A KR 20180047268A KR 1020160143205 A KR1020160143205 A KR 1020160143205A KR 20160143205 A KR20160143205 A KR 20160143205A KR 20180047268 A KR20180047268 A KR 20180047268A
Authority
KR
South Korea
Prior art keywords
refresh
cache
line
present
bank
Prior art date
Application number
KR1020160143205A
Other languages
English (en)
Other versions
KR101913914B1 (ko
Inventor
공준호
Original Assignee
경북대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 경북대학교 산학협력단 filed Critical 경북대학교 산학협력단
Priority to KR1020160143205A priority Critical patent/KR101913914B1/ko
Publication of KR20180047268A publication Critical patent/KR20180047268A/ko
Application granted granted Critical
Publication of KR101913914B1 publication Critical patent/KR101913914B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/406Management or control of the refreshing or charge-regeneration cycles
    • G11C11/40607Refresh operations in memory devices with an internal cache or data buffer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • G06F13/161Handling requests for interconnection or transfer for access to memory bus based on arbitration with latency improvement
    • G06F13/1636Handling requests for interconnection or transfer for access to memory bus based on arbitration with latency improvement using refresh
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/406Management or control of the refreshing or charge-regeneration cycles
    • G11C11/40618Refresh operations over multiple banks or interleaving

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Dram (AREA)
  • Memory System (AREA)

Abstract

발명의 실시예에 따른 메모리 셀에 대한 리프레시 방법은 캐시 라인의 데이터가 상위 라인에 존재하는지를 판단하는 단계; 및 상기 판단 결과에 따라 메모리셀을 선택적으로 리프레시하는 단계를 포함한다.

Description

메모리 셀에 대한 리프레시 방법 및 장치{REFRESH METHOD AND APPARATUS FOR MEMORY CELLS}
본 발명은 메모리 셀에 대한 리프레시 방법 및 장치에 대한 것으로 보다 자세하게는 선택적으로 메모리의 리프레시를 행하여 메모리의 리프레시 동작에 관한 소비 전력을 억제할 수 있는 메모리 셀에 대한 선택적 리프레시 방법 및 장치에 관한 것이다.
반도체 메모리 장치는 데이터를 저장하는 데 사용되며, 크게 휘발성 반도체 메모리 장치와 비휘발성 반도체 메모리 장치로 나누어진다. 휘발성 반도체 메모리 장치는 커패시터의 충전 또는 방전에 의해 데이터가 저장된다.
RAM(Random Access Memory) 등의 휘발성 반도체 메모리 장치는 전원이 인가되는 동안 데이터가 저장되고 읽혀지며, 전원이 차단되면 데이터는 손실된다. 휘발성 메모리 장치로서 주로 컴퓨터의 메인 메모리 장치로 사용된다.
비휘발성 반도체 메모리 장치는 전원이 차단되어도 데이터를 저장할 수 있다. 비휘발성 반도체 메모리 장치는 컴퓨터, 휴대용 통신기기 등 넓은 범위의 응용에서 프로그램 및 데이터를 저장하는 데 사용된다.
DRAM(Dynamic Random Access Memory)은, 메모리 셀의 커패시터의 축적 전하의 유무에 따라 「1」 또는 「0」의 정보를 기억한다. 커패시터의 축적 전하는, pn 접합의 미소 누설 등에 의해 서서히 소실되기 때문에, DRAM을 포함하는 시스템에서는, 정기적으로 동일한 정보를 다시 기록하는 리프레시 동작이 행해진다.
도 1은 종래 기술에 따른 리프레시 방식을 나타내는 도면이다. 도시된 바와 같이 종래에는 가장 간단한 방법으로 동시에 모든 캐시 뱅크를 리프레시 하였다. 16개의 캐시 뱅크가 동시에 리프레시 되고, LLC(Last Level Cache)에서 하나의 캐시 뱅크에 4,096의 캐시 라인이 존재하는 경우 20480의 클락 사이클이 소요된다.
즉, 모든 170,000 사이클에서 20,480 사이클 도안 모든 LLC 뱅크가 사용불가능하며 이는 전체 사이클의 12%에 해당한다. 이에 따라 성능이 저하된다.
또한, 메모리 장치가 리프레쉬 모드에 진입하면, 각 메모리 뱅크별로 존재하는 내부 전압 발생부가 전부 동작하여 전류 소모를 증가시키는 문제점이 있다.
한국 공개특허 10-2012-0100705
본 발명은 메모리 셀 어레이에 포함된 메모리 셀들에 대해 선택적으로 리프레쉬를 수행할 수 있는 메모리 셀에 대한 선택적 리프레시 방법 및 장치를 제공하는 것을 목적으로 한다.
발명의 실시예에 따른 메모리 셀에 대한 리프레시 방법은 캐시 라인의 데이터가 상위 라인에 존재하는지를 판단하는 단계; 및 상기 판단 결과에 따라 메모리셀을 선택적으로 리프레시하는 단계를 포함한다.
발명의 실시예에 따른 메모리 셀에 대한 리프레시 장치는 각 뱅크의 세트에 대한 비트맵 데이터를 생성하는 비트맵 생성부; 및 상기 비트맵 데이터를 수신하여 캐시 라인이 리프레시 되어야 하는지를 판단하는 리프레시 셀렉터를 포함한다.
발명의 실시예에 따른 메모리 셀에 대한 선택적 리프레시 방법 및 장치는 개선된 성능과 에너지 효율을 제공할 수 있다.
도 1은 종래 기술에 따른 리프레시 방식을 나타내는 도면이다.
도 2는 발명의 실시예에 따른 리프레시 방식을 나타내는 개념 설명도이다.
도 3은 발명의 다른 실시예에 따른 리프레시 방식을 나타내는 개념 설명도이다.
도 4는 발명의 실시예에 따른 리프레시 알고리즘을 나타내는 도면이다.
도 5는 발명의 실시예에 따른 선택적 리프레시 장치를 나타내는 도면이다.
도 6은 발명의 실시예에 따른 선택적 리프레시 방법을 나타내는 도면이다.
본 발명에서 사용되는 기술적 용어는 단지 특정한 실시 예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아님을 유의해야 한다. 또한, 본 발명에서 사용되는 기술적 용어는 본 발명에서 특별히 다른 의미로 정의되지 않는 한, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 의미로 해석되어야 하며, 과도하게 포괄적인 의미로 해석되거나, 과도하게 축소된 의미로 해석되지 않아야 한다. 또한, 본 발명에서 사용되는 기술적인 용어가 본 발명의 사상을 정확하게 표현하지 못하는 잘못된 기술적 용어일 때에는, 당업자가 올바르게 이해할 수 있는 기술적 용어로 대체되어 이해되어야 할 것이다. 또한, 본 발명에서 사용되는 일반적인 용어는 사전에 정의되어 있는 바에 따라, 또는 전후 문맥상에 따라 해석되어야 하며, 과도하게 축소된 의미로 해석되지 않아야 한다.
또한, 본 발명에서 사용되는 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한 복수의 표현을 포함한다. 본 발명에서, "구성된다" 또는 "포함한다" 등의 용어는 발명에 기재된 여러 구성 요소들, 또는 여러 단계를 반드시 모두 포함하는 것으로 해석되지 않아야 하며, 그 중 일부 구성 요소들 또는 일부 단계들은 포함되지 않을 수도 있고, 또는 추가적인 구성 요소 또는 단계들을 더 포함할 수 있는 것으로 해석되어야 한다.
이하, 첨부된 도면을 참조하여 본 발명에 따른 바람직한 실시 예를 상세히 설명하되, 도면 부호에 관계없이 동일하거나 유사한 구성 요소는 동일한 참조 번호를 부여하고 이에 대한 중복되는 설명은 생략하기로 한다.
또한, 본 발명을 설명함에 있어서 관련된 공지 기술에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다. 또한, 첨부된 도면은 본 발명의 사상을 쉽게 이해할 수 있도록 하기 위한 것일 뿐, 첨부된 도면에 의해 본 발명의 사상이 제한되는 것으로 해석되어서는 아니 됨을 유의해야 한다.
도 2는 발명의 실시예에 따른 리프레시 방식을 나타내는 개념 설명도이다.
상기의 도 1에서와 같이 모든 뱅크의 리프레시로 인한 결점을 개선하기 위해, 발명의 실시예에 따른 리프레시 방식은 미립자 순차 순환 리프레시 스킴(fine-grain round-robin refresh scheme)을 사용한다.
발명의 실시예에 따른 리프레시 방식은 캐시 라인에 대한 리프레시 연산이 보유 시간(retention time) 동안 최대한 넓게 퍼지고(spread out), 뱅크 선택이 순차 순환 방식에서 행해진다.
발명의 실시예에 따른 리프레시 방식은 제1 세트에 속하는 각 뱅크에 대한 리프레시가 순차적으로 이루어지는 단계 이후 제2 세트에 속하는 각 뱅크에 대한 리프레시가 순차적으로 이루어지는 단계로 진행된다.
구체적으로, 처음 라운드에서 세트0의 캐시 라인은 뱅크 와이즈(bank-wise) 순차 순환 방식으로 리프레시된다. 다음 라운드에서 세트 1의 캐시 라인이 리프레시된다. 각 라운드에서 리프레시는 특정 캐시 세트 내에서 뱅크 0부터 뱅크 15의 순으로 행해진다.
도시된 바와 같이, 세트 0의 뱅크 0에 대한 캐시 라인의 리프레시가 행해지고, 다음으로 세트 0의 뱅크 1에 대한 캐시 라인의 리프레시가 행해진다. 이후 세트 0의 뱅크 15까지 리프레시가 행해지고 세트 1의 뱅크 0에 대한 캐시 라인의 리프레시가 행해진다.
보유 시간 내에 모든 캐시 라인에 대한 리프레시를 보장하기 위해 라인 리프레시 인터벌 주기의 적절한 선택이 중요하다. 라인 리프레시 인터벌 주기는 현재 및 다음 리프레시 연산 사이에 요구되는 클락 주기이다. 라인 리프레시 인터벌 주기가 0이면 모든 뱅크의 리프레시 스킴이 같다는 것을 의미한다. 따라서, 라인 리프레시 인터벌 주기가 매우 짧으면 성능향상을 기대하기 힘들고, 라인 리프레시 인터벌 주기가 너무 길면 LLC에서 모든 캐시 라인이 완전히 리프레시 되지않을 수도 있고, 이는 데이터 손상을 야기할 수 있다.
본 발명의 실시예에 따른 리프레시 스킴에서 신중하게 결정되어야 하는 인터벌은 글로벌 리프레시 인터벌 및 라인 리프레시 인터벌이다.
글로벌 리프레시 인터벌은 LLC에서 모든 캐시 라인이 리프레시 되어야하는 지속시간(time duration)을 결정한다.캐시 라인이 보유시간 내에 리프레시 되어야 하기 때문에 글로벌 리프레시 인터벌 주기는 하기의 수학식으로 나타낼 수 있다.
글로벌 리프레시 인터벌 주기 = 보유 시간 * 클럭 주파수....수학식(1)
또한, 라인 리프레시 리프레시 연산 사이의 인터벌 시간을 결정하고 하기의 수학식으로 나타낼 수 있다.
라인 리프레시 인터벌 주기 = 글로벌 리프레시 인터벌 주기 / 번호 라인....수학식(2)
번호 라인은 LLC에서 전체 캐시 라인의 번호에 대응한다. LLC에서 모든 캐시 라인이 보유 시간 내에 리프레시 됨을 확실하게 하기 위해 하한 연산(floor operation)을 실시하였다. LLC에서 캐시 라인의 번호(번호 라인)가 64K이므로, 라인 리프레시 인터벌은 2 프로레서 클럭 주기이다. 따라서, 라인 레벨(line-level)리프레시 연산은 순차 순환 방식으로 2 주기마다 실행된다.
도 3은 발명의 다른 실시예에 따른 선택적 리프레시 방식을 나타내는 개념 설명도이다. LLC에서 리프레시 연산을 감소시키기 위해, 비포함적 캐시(non-inclusive caches)에서, 상위 레벨 캐시(upper-level cache)에서 캐시 라인이 하위 레벨 캐시에 존재할 수도 있고, 존재하지 않을 수도 있다. 그러나, 캐시 미스가 발생하면, L1/L2 캐시에서 새롭게 할당된 캐시 라인이 LLC에서 또한 할당된다. 이는 LLC 및 상위 레벨(예를 들어, L1 및 L2) 양쪽에 동일한 캐시 블록을 가질 가능성이 높다는 것을 의미한다. 캐시 블록이 L2 캐시에 존재하는 경우, L2 캐시가 LLC로의 캐시 접근을 필터링함에 따라 LLC로의 캐시 접근은 일어나지 않는다.
상기의 관점에서, 발명의 실시예에 따른 선택적 리프레시 스킴은 캐시 라인이 L2 캐시에도 존재하는 경우 LLC에서 캐시 라인을 리프레시하지 않는다.
리프레시가 생략된 캐시 라인에 존재하는 데이터는 곧 오류가 발생하기 때문에, 상기 라인은 무효화되고, 오염된 경우 데이터는 메인 메모리에 재기록된다. 캐시 일관성의 관점에서 리프레시 스킵에 의해 유발되는 라인 무효화는 비포함적 캐시에서 일반적인 라인 축출로 여겨질 수 있다.
이러한 경우, 상위 레벨 캐시 스누핑(snooping)은 비포함적 캐시에서 캐시 캐시 일관성을 보장할 수있다.
리프레시 생략 등과 같은 라인 무효화는 증가된 캐시 미스로 인해 성능에 부정적인 영향을 미칠 수 있다. 그러나, 성능 면에서 라인 무효화의 불리효과는 무시가능하다. 따라서 리프레시가 생략되고 라인이 무효화되어도 이 데이터는 L2 캐시로부터의 축출 순간에 재분배된다. 무효화된 캐시 라인에서 새로운 핫 데이터(hot data)는 쓸모없는 데이터 대신에 재분배될 수 있다. LLC에서 쓸모없는 데이터 블록의 비중이 높기 때문에, 캐시 라인 무효화는 LLC에서 무효화된(따라서, 비어있는) 캐시 라인으로 핫 데이터를 재분배할 기회를 준다.
도 4는 발명의 실시예에 따른 리프레시 알고리즘을 나타내는 도면이다.
모든 글로벌 리프레시 인터벌에서, 카운터 값(counter values)은 글로벌 리프레시 인터벌 내에 리프레시 연산 동안 초기화된다. 카운터는 각각 캐시 세트 및 리프레시되는 뱅크를 나타내는 'ref_set' 및 'ref_bank'를 포함한다. 'refreshed_line'은 글로벌 리프레시 인터벌 내에 리프레시 되어야 하는 캐시 라인의 수를 추적한다.
모든 라인 리프레시 인터벌에서, 하나의 캐시 라인은 'ref_set' 및 'ref_bank'를 참조하여 리프레시된다. 'refreshed_line'이 넘버 라인보다 크거나 같으면, 보유 시간 내에 모든 캐시 라인이 이미 리프레시 되었기 때문에 리프레시 연산은 다음 글로벌 리프레시 인터벌까지 수행되지 않는다.
아직 리프레시 되지 않은 캐시 라인이 존재하면 캐시 라인에서 데이터가 상위 레벨 캐시(L2 캐시)에도 존재하는지를 체크한다. 동일한 캐시 블록이 L2 캐시에 존재하지 않고 블록이 유효하면 캐시 라인은 'ref_set' 및 'ref_bank'를 참조하여 리프레시된다.
한편, 'ref_set' 및 'ref_bank'에 대응하는 캐시 블록에 리프레시 연산을 생략한다. 이 경우, 리프레시가 생략된 캐시 라인은 그것이 오염되었는지를 체크한다. 캐시 라인이 오염된 경우, 메인 메모리로의 재기록 요청이 생성된다. 그리고, 캐시 라인이 유효하면 연산 적절성을 위해 이 캐시 라인은 무효가 된다. 이후, 'ref_bank'는 다음 라인 리프레시 인터벌에서 리프레시 연산을 위해 증가한다.
도 5는 발명의 실시예에 따른 선택적 리프레시 장치를 나타내는 도면이다. 발명의 실시예에 따른 선택적 리프레시 장치(100)는 클락 디바이더(110), 리프레시 제어 로직부(130) 및 비트맵 생성부(140)를 포함하는 리프레시 제어부(120) 및 LLC 태그 어레이(150)를 포함한다.
클락 디바이더(110)는 기준 프로세서 클락 신호를 수신하고 라인 리프레시 인터벌 및 글로벌 리프레시 인터벌에 따라 분할한다.
리프레시 제어 로직부(130)는 도 4의 알고리즘을 수행한다. 리프레시 제어 로직부(130)는 제어 레지스터 및 카운터(131)와 리프레시 셀렉터(132)를 포함한다.
제어 로직부(130)는 비트맵 생성부(140)로부터 비트맵 데이터를 수신하고, 판단 결과에 따라 리프레시 여부를 선택적으로 결정한다.
비트맵 생성부(140)는 OR 게이트를 포함할 수 있으며 각 뱅크의 세트에 대한 비트맵 데이터를 생성하고, 이를 리프레시 셀렉터로 전달한다.
리프레시 셀렉터(132)는 캐시 라인이 리프레시 되어야 하는지를 판단한다. 판단을 위해 LLC에서의 캐시 블록이 L2 캐시에도 존재하는지에 관한 정보를 유지한다.
LLC 내의 각 캐시 라인에서 4 비트 정보를 유지하고, 각 비트는 LLC에서 대응하는 블록이 L2 캐시에도 존재하는지를 나타낸다. 동일한 캐시 블록이 L2 캐시에도 존재하는 경우 1을 저장하고, 그렇지 않은 경우 0을 저장한다.
비트맵에 접속하는 경우, 4비트가 접근되고, 이는 OR 게이트에 반영된다. 4비트가 모두 0인 경우, OR 게이트의 출력은 0이 된다. 이 경우, 캐시 블록이 상위 레벨 캐시에 존재하지 않기 때문에, 'ref_set' 및 'ref_bank'에 대응하는 유효한 캐시 라인에 대해 노멀 리프레시 연산을 수행한다. OR 게이트의 출력은 1인 경우(예를 들어, 동일한 캐시 블록이 상위 레벨 캐시에도 존재), 대응하는 캐시 라인에 대한 리프레시를 생략한다. 이 경우, 캐시 라인은 무효화된다(이것이 유효한 캐시 라인이었을 경우). 캐시 라인이 오염되었으면, 도 4의 알고리즘에 도시된 바와 같이 메인 메모리로 재기록된다.
L2 캐시에서 블록 대체, 할당(allocation) 또는 축출(eviction)이 존재하는 경우, 비트맵은 업데이트 되어야 한다. L2 캐시 블록의 대체, 할당 또는 축출이 존재하는 경우, 해당 블록의 대응하는 주소는 비트맵 및 LLC 태그 어레이로 전달된다.
대응하는 캐시 블록이 LLC에도 존재하는지를 체크하기 위해, LLC 태그 어레이에 접근한다. 블록이 존재하면, 비트맵이 업데이트된다. 그렇지 않으면, L2 캐시로부터의 신호가 무시된다.
클럭 디바이더(110) 및 리프레시 제어 로직부(120)는 작은 하드웨어 오버헤드(hardware overhead)로 시행될 수 있다. 반면, LLC에서 캐시당 4비트를 저장하여야 하므로 비트맵은 무시할 수 없는 영역을 차지할 수 있다. LLC에 64K의 캐시 라인이 존재하므로, 32KB SRAM 기반 비트맵이 필요하다.
도 6은 발명의 실시예에 따른 선택적 리프레시 방법을 나타내는 도면이다.
선택적 리프레시를 위해, 캐시 라인의 데이터가 상위라인에 존재하는지를 판단한다(S100). 즉, 캐시 라인에서 데이터가 상위 레벨 캐시(L2 캐시)에도 존재하는지를 체크한다.
다음으로, 상기 판단 결과에 따라 선택적으로 리프레시한다(S200).
동일한 캐시 블록이 L2 캐시에 존재하지 않고 블록이 유효하면 캐시 라인은 'ref_set' 및 'ref_bank'를 참조하여 리프레시된다. 즉, 캐시 블록이 상위 레벨 캐시에 존재하지 않기 때문에, 'ref_set' 및 'ref_bank'에 대응하는 유효한 캐시 라인에 대해 노멀 리프레시 연산을 수행한다.
상술한 실시예에 설명된 특징, 구조, 효과 등은 본 발명의 적어도 하나의 실시예에 포함되며, 반드시 하나의 실시예에만 한정되는 것은 아니다. 나아가, 각 실시예에서 예시된 특징, 구조, 효과 등은 실시예들이 속하는 분야의 통상의 지식을 가지는 자에 의하여 다른 실시예들에 대해서도 조합 또는 변형되어 실시 가능하다.
따라서 이러한 조합과 변형에 관계된 내용들은 본 발명의 범위에 포함되는 것으로 해석되어야 할 것이다. 또한, 이상에서 실시예들을 중심으로 설명하였으나 이는 단지 예시일 뿐 본 발명을 한정하는 것이 아니며, 본 발명이 속하는 분야의 통상의 지식을 가진 자라면 본 실시예의 본질적인 특성을 벗어나지 않는 범위에서 이상에 예시되지 않은 여러 가지의 변형과 응용이 가능함을 알 수 있을 것이다. 예를 들어, 실시예들에 구체적으로 나타난 각 구성 요소는 변형하여 실시할 수 있는 것이다. 그리고 이러한 변형과 응용에 관계된 차이점들은 첨부한 청구 범위에서 규정하는 본 발명의 범위에 포함되는 것으로 해석되어야 할 것이다.
100: 선택적 리프레시 장치
110: 클락 디바이더
120: 리프레시 제어부
130: 리프레시 제어 로직부
140: 비트맵 생성부
150: LLC 태그 어레이

Claims (6)

  1. 캐시 라인의 데이터가 상위 라인에 존재하는지를 판단하는 단계; 및
    상기 판단 결과에 따라 메모리셀을 선택적으로 리프레시하는 단계를 포함하는 것을 특징으로 하는 메모리 셀에 대한 리프레시 방법.
  2. 제1항에 있어서,
    상기 리프레시 하는 단계는, 동일한 캐시 블록이 상위 캐시 라인에 존재하지 않고 블록이 유효하면 리프레시하는 것을 특징으로 하는 메모리 셀에 대한 리프레시 방법.
  3. 제2항에 있어서,
    상기 캐시 라인은 'ref_set' 및 'ref_bank'를 참조하여 리프레시하는 것을 특징으로 하는 메모리 셀에 대한 리프레시 방법.
  4. 제1 세트에 속하는 각 뱅크에 대한 리프레시가 순차적으로 이루어지는 단계; 및
    제2 세트에 속하는 각 뱅크에 대한 리프레시가 순차적으로 이루어지는 단계를 포함하는 것을 특징으로 하는 메모리 셀에 대한 리프레시 방법.
  5. 각 뱅크의 세트에 대한 비트맵 데이터를 생성하는 비트맵 생성부; 및
    상기 비트맵 데이터를 수신하여 캐시 라인이 리프레시 되어야 하는지를 판단하는 리프레시 셀렉터를 포함하는 메모리 셀에 대한 리프레시 장치.
  6. 제5항에 있어서,
    기준 프로세서 클락 신호를 수신하고 상기 클락 신호를 라인 리프레시 인터벌 및 글로벌 리프레시 인터벌에 따라 분할하여 상기 리프레시 제어 로직부로 전달하는 클락 디바이더;를 더 포함하는 것을 특징으로 하는 메모리 셀에 대한 리프레시 장치.

KR1020160143205A 2016-10-31 2016-10-31 메모리 셀에 대한 리프레시 방법 및 장치 KR101913914B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020160143205A KR101913914B1 (ko) 2016-10-31 2016-10-31 메모리 셀에 대한 리프레시 방법 및 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160143205A KR101913914B1 (ko) 2016-10-31 2016-10-31 메모리 셀에 대한 리프레시 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20180047268A true KR20180047268A (ko) 2018-05-10
KR101913914B1 KR101913914B1 (ko) 2018-10-31

Family

ID=62184570

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160143205A KR101913914B1 (ko) 2016-10-31 2016-10-31 메모리 셀에 대한 리프레시 방법 및 장치

Country Status (1)

Country Link
KR (1) KR101913914B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180087817A (ko) * 2017-01-25 2018-08-02 삼성전자주식회사 휘발성 메모리 캐시를 위한 리프레쉬를 인식하는 대체 정책

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8769209B2 (en) 2010-12-20 2014-07-01 Intel Corporation Method and apparatus for achieving non-inclusive cache performance with inclusive caches

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180087817A (ko) * 2017-01-25 2018-08-02 삼성전자주식회사 휘발성 메모리 캐시를 위한 리프레쉬를 인식하는 대체 정책

Also Published As

Publication number Publication date
KR101913914B1 (ko) 2018-10-31

Similar Documents

Publication Publication Date Title
US11417383B2 (en) Apparatuses and methods for dynamic refresh allocation
CN112185443B (zh) 用于调整受害者数据的设备和方法
US10600470B2 (en) Memory device and memory system performing a hammer refresh operation and associated operations
US11264079B1 (en) Apparatuses and methods for row hammer based cache lockdown
KR101976452B1 (ko) 반도체 장치
US9524771B2 (en) DRAM sub-array level autonomic refresh memory controller optimization
US9152569B2 (en) Non-uniform cache architecture (NUCA)
US20160378652A1 (en) Cache memory system and processor system
US7962695B2 (en) Method and system for integrating SRAM and DRAM architecture in set associative cache
JP4360766B2 (ja) Dramキャッシュ・メモリ中のデータをリフレッシュする方法および装置
US7590021B2 (en) System and method to reduce dynamic RAM power consumption via the use of valid data indicators
US9165622B2 (en) Address detection circuit and memory device including the same
CN105808455B (zh) 访问内存的方法、存储级内存及计算机系统
US11482275B2 (en) Apparatuses and methods for dynamically allocated aggressor detection
US8560767B2 (en) Optimizing EDRAM refresh rates in a high performance cache architecture
US10564871B2 (en) Memory system having multiple different type memories with various data granularities
US20230170008A1 (en) Apparatuses, systems, and methods for main sketch and slim sketch circuit for row address tracking
KR102389232B1 (ko) 메모리 장치 및 이를 포함하는 시스템
US10235049B2 (en) Device and method to manage access method for memory pages
KR101913914B1 (ko) 메모리 셀에 대한 리프레시 방법 및 장치
US20180286475A1 (en) Control of refresh operation for memory regions
CN104063289B (zh) 降低系统软错误的方法
CN110720093A (zh) 用于dram的选择性刷新机制
CN106816169B (zh) 存储器件、刷新方法以及包括其的系统
Nath et al. Write variation aware cache partitioning for improved lifetime in non-volatile caches

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant