KR20180045033A - Process control method and system using flexible sampling - Google Patents

Process control method and system using flexible sampling Download PDF

Info

Publication number
KR20180045033A
KR20180045033A KR1020187010680A KR20187010680A KR20180045033A KR 20180045033 A KR20180045033 A KR 20180045033A KR 1020187010680 A KR1020187010680 A KR 1020187010680A KR 20187010680 A KR20187010680 A KR 20187010680A KR 20180045033 A KR20180045033 A KR 20180045033A
Authority
KR
South Korea
Prior art keywords
metrology
controller
wafer
metric
subsystem
Prior art date
Application number
KR1020187010680A
Other languages
Korean (ko)
Other versions
KR102351636B1 (en
Inventor
오누르 더마이어
로이에 볼코비치
윌리암 피어슨
마크 바그너
다나 클레인
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/184,612 external-priority patent/US10754260B2/en
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20180045033A publication Critical patent/KR20180045033A/en
Application granted granted Critical
Publication of KR102351636B1 publication Critical patent/KR102351636B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line

Abstract

본 발명의 유연적 희소 계측 샘플 플랜의 생성은 계측 툴로부터 하나 이상의 웨이퍼로부터의 계측 신호들의 전체 세트를 수신하는 단계, 계측 신호들의 전체 세트에 기초하여 웨이퍼 특성들의 세트를 결정하고 웨이퍼 특성들의 세트와 관련된 웨이퍼 특성 메트릭을 계산하는 단계, 계측 신호들의 전체 세트에 기초하여 하나 이상의 독립적인 특성화 메트릭을 계산하는 단계, 및 웨이퍼 특성들의 세트, 웨이퍼 특성 메트릭 및 하나 이상의 독립적인 특성화 메트릭에 기초하여 유연적 희소 샘플 플랜을 생성하는 단계를 포함한다. 유연적 희소 샘플 플랜으로부터의 계측 신호들로 계산된 하나 이상의 특성의 하나 이상의 독립적인 특성화 메트릭은 계측 신호들의 전체 세트로 계산된 하나 이상의 특성의 하나 이상의 독립적인 특성화 메트릭으로부터 선택된 임계값 내에 있다.The production of the flexible sparse metrology sample plan of the present invention includes receiving a complete set of metrology signals from one or more wafers from a metrology tool, determining a set of wafer characteristics based on the entire set of metrology signals, Calculating at least one independent characterization metric based on the entire set of measurement signals, and determining a set of wafer characteristics, a wafer characteristic metric, and a flexible rare < RTI ID = 0.0 > And generating a sample plan. The at least one independent characterization metric of the at least one characteristic calculated from the measurement signals from the flexible sparse sample plan is within a threshold selected from one or more independent characterization metrics of one or more characteristics calculated with the entire set of metrology signals.

Description

유연적 샘플링을 이용한 공정 제어 방법 및 시스템Process control method and system using flexible sampling

본 출원은 이하에 열거된 출원(들)("관련 출원들")과 관련이 있으며, 이 출원(들)으로부터의 최선의 이용가능한 유효 출원일(들)의 이익을 청구한다(예를 들어, 관련 출원들의 임의의 그리고 모든 부모 출원, 조부모 출원, 증조부모 출원 등에 대하여, 가특허 출원들 이외의 경우에는 최선의 이용가능한 우선일을 청구하거나, 또는 가특허 출원의 경우에는 35 USC § 119(e)에 기반하여 이익들을 청구한다).This application is related to the application (s) listed below ("Related Applications") and claims the benefit of the best available effective filing date (s) from this application (s) For any and all parent, grandparent, and grandparent applications of applications, the applicant shall, unless otherwise claimed, claim the best available priority date, or, in the case of a patent application, in accordance with 35 USC § 119 (e) Based on benefits).

USPTO의 특별 법규 요구 사항의 목적을 위해, 본 출원은 발명자들로서 오누 데미에르(Onur Demirer), 윌리엄 피어슨(William Pierson) 및 로이 볼코비크(Roie Volkovich)에 의해 "COMPOSITE WAFER CONTROL USING FLEXIBLE SAMPLING"이라는 발명의 명칭으로 2015년 6월 18일자로 출원된 미국 가특허 출원 번호 제62/181,200호의 정규 특허 출원으로 구성되며, 이 가특허 출원의 전체 내용은 본원 명세서에 참고로 원용된다.For purposes of the USPTO's special regulatory requirements, the present application is referred to as "COMPOSITE WAFER CONTROL USING FLEXIBLE SAMPLING" by Onur Demirer, William Pierson, and Roie Volkovich as inventors Filed June 18, 2015, the entirety of which is incorporated herein by reference in its entirety.

USPTO의 특별 법규 요구 사항의 목적을 위해, 본 출원은 발명자들로서 마크 바그너(Mark Wagner), 로이 볼코비크(Roie Volkovich), 다나 클레인(Dana Klein), 빌 피어슨(Bill Pierson) 및 오누 데미에르(Onur Demirier)에 의해 "OPTIMIIZING SAMPLING BASED ACCURACY"이라는 발명의 명칭으로 2015년 9월 21일자로 출원된 미국 가특허 출원 번호 제62/221,588호의 정규 특허 출원으로 구성되며, 이 가특허 출원의 전체 내용은 본원 명세서에 참고로 원용된다.For the purposes of the USPTO's special regulatory requirements, the present application is based on the inventors Mark Wagner, Roie Volkovich, Dana Klein, Bill Pierson and Onudemier ( No. 62 / 221,588 filed on September 21, 2015 entitled " OPTIMIIZING SAMPLING BASED ACCURACY "by Onur Demirier, which is incorporated herein by reference in its entirety, Which is incorporated herein by reference.

본 발명은 일반적으로 리소그래피 공정 제어(lithography process control)를 위한 웨이퍼 계측(wafer metrology)에 관한 것이며, 특히, 노이즈를 감소시키고 피드백 공정 툴 피드백 정정(feedback process tool feedback correction)을 개선하기 위한 유연적 샘플링 플랜(flexible sampling plan)들의 생성에 관한 것이다.The present invention relates generally to wafer metrology for lithography process control and more particularly to flexible sampling for reducing noise and improving feedback process tool feedback correction. To the creation of plans (flexible sampling plans).

로직 및 메모리 디바이스와 같은 반도체 디바이스들을 제조하는 것은 일반적으로 반도체 디바이스들의 다양한 피처(feature)들 및 다중 레벨들을 형성하기 위해 방대한 수의 제조 공정들을 사용하여 웨이퍼와 같은 기판을 처리하는 것을 포함한다. 예를 들어, 리소그래피(lithography)는 레티클/마스크로부터 웨이퍼 상에 배열된 레지스트로 패턴을 전사하는 것을 수반하는 제조 공정이다. 제조 공정들의 추가의 예들은 화학 기계적 연마(CMP, chemical-mechanical polishing), 에칭(etching), 증착(deposition) 및 이온 주입(ion implantation)을 포함하지만, 그러나 이들로 한정되는 것은 아니다.Manufacturing semiconductor devices, such as logic and memory devices, generally involves processing a substrate, such as a wafer, using a vast number of fabrication processes to form various features and multiple levels of semiconductor devices. For example, lithography is a manufacturing process involving transferring a pattern from a reticle / mask to a resist arranged on a wafer. Further examples of manufacturing processes include, but are not limited to, chemical-mechanical polishing (CMP), etching, deposition, and ion implantation.

본 명세서의 전반에 걸쳐서 사용되는 용어인 "웨이퍼(wafer)"는 일반적으로 반도체 또는 비반도체 재료로 형성된 기판을 지칭한다. 예를 들어, 반도체 또는 비반도체 재료는 단결정 실리콘(monocrystalline silicon), 갈륨 비소(gallium arsenide) 또는 인듐 인화물(indium phosphide)을 포함할 수 있지만, 그러나 이들로 한정되는 것은 아니다. 웨이퍼는 하나 이상의 층을 포함할 수 있다. 예를 들어, 이러한 층들은 레지스트, 유전체 재료, 도전성 재료 및 반도전성 재료를 포함할 수 있지만, 그러나 이들로 한정되는 것은 아니다. 많은 상이한 유형의 이러한 층들이 당업계에는 공지되어 있으며, 본 명세서에서 사용되는 웨이퍼라는 용어는 이러한 모든 유형의 층들이 형성될 수 있는 웨이퍼를 망라하는 것으로 의도된다. 웨이퍼 상에 형성된 하나 이상의 층은 패터닝되거나 또는 패터닝되지 않을 수 있다. 예를 들어, 웨이퍼는 복수의 다이들을 포함할 수 있고, 각각의 다이들은 반복 가능한 패터닝된 피처들을 갖는다. 이러한 재료 층들의 형성 및 처리는 궁극적으로 완성된 디바이스들을 초래할 수 있다. 많은 상이한 유형의 디바이스들이 웨이퍼 상에 형성될 수 있고, 본 명세서에서 사용되는 웨이퍼라는 용어는 당업계에서 공지된 임의의 유형의 디바이스가 제조될 수 있는 웨이퍼를 망라하도록 의도된다.The term "wafer" as used throughout this specification generally refers to a substrate formed of a semiconductor or non-semiconductor material. For example, the semiconductor or non-semiconductor material may include, but is not limited to, monocrystalline silicon, gallium arsenide, or indium phosphide. The wafer may comprise one or more layers. For example, these layers may include, but are not limited to, resist, dielectric material, conductive material and semiconductive material. Many different types of such layers are known in the art, and the term wafer as used herein is intended to encompass a wafer on which all these types of layers may be formed. The one or more layers formed on the wafer may or may not be patterned. For example, the wafer may include a plurality of dies, each having repeatable patterned features. The formation and processing of such material layers may ultimately lead to finished devices. Many different types of devices can be formed on a wafer, and the term wafer used herein is intended to encompass a wafer on which any type of device known in the art can be fabricated.

계측 공정들은 디바이스 제조 중에 공정 제어를 모니터하기 위해서 반도체 제조 공정 중의 다양한 단계들에서 사용되고 있다. 공정 제어에 사용되는 계측 공정의 유형들은 오버레이 계측, 임계 치수(CD, Critical Dimension) 계측, 웨이퍼 형상 계측(wafer geometry metrology) 등을 포함한다. 예를 들어, 리소그래피 처리 단계와 같은 공정 단계 중에, 반도체 디바이스의 현재 층과 이전 층 사이에는 오버레이 오차(overlay error)가 발생될 수 있다. 오버레이는 반도체 디바이스의 현재 층과 반도체 디바이스의 하나 또는 다수의 이전 층들 사이의 부정합으로서 정의된다. 리소그래피 툴(스캐너) 오차, 웨이퍼 형상 유도 오차, 에칭 유도 오차 등을 포함하는 다양한 원인으로 오버레이 오차들이 발생할 수 있다. 반도체 디바이스의 제조 중에 오버레이를 제어하고 오버레이 오차들을 최소화하기 위해서, 피드백 제어 시스템(feedback control system)이 적용된다. 피드백 제어 시스템은, i)계측 툴(metrology tool)을 사용하여 오버레이를 측정하고, ii)오버레이를 최소화하는 스캐너 정정 가능 인자(correctable)를 계산하며, iii)향상된 공정 제어(APC) 알고리즘을 통해 이러한 정정치(correction)를 되공급하는 것에 의존한다. 종래의 오버레이 제어 방식들은 오버레이 오차들을 모델링하고 스캐너 정정 가능 인자를 계산하기 위해 웨이퍼들 상의 오버레이 타겟들(즉, 정적 샘플 플랜)의 고정된 서브 세트를 측정하는 것에 의존한다.The metrology processes are being used at various stages in the semiconductor manufacturing process to monitor process control during device fabrication. Types of metrology processes used in process control include overlay metrology, critical dimension (CD) metrology, wafer geometry metrology, and the like. During a processing step, such as, for example, a lithographic processing step, an overlay error may occur between the current layer and the previous layer of the semiconductor device. The overlay is defined as the mismatch between the current layer of the semiconductor device and one or more previous layers of the semiconductor device. Overlay errors can occur for various reasons, including lithography tool (scanner) errors, wafer shape induced errors, etch induced errors, and the like. In order to control the overlay and minimize the overlay errors during manufacturing of the semiconductor device, a feedback control system is applied. The feedback control system may be configured to: i) measure the overlay using a metrology tool; ii) calculate a scanner correctable that minimizes the overlay; and iii) use an improved process control (APC) And to re-supply the correction. Conventional overlay control schemes rely on measuring a fixed subset of overlay targets (i.e., static sample plan) on wafers to model overlay errors and calculate scanner correctable factors.

오버레이 계측에 대한 이전의 응용들은 정적 샘플 플랜들을 이용하는데, 여기서 모든 로트에서 측정된 모든 웨이퍼는 동일한 샘플 플랜을 수신한다. 이 경우, 샘플 플랜은 웨이퍼들 상의 모든 사용 가능한 오버레이 타겟들의 선택된 서브 세트를 나타낸다. 그 결과, 주기적인 "밀집 맵(dense map)" 측정이 수행되는 경우가 종종 있는데, 일부 웨이퍼들은 매우 조밀한 오버레이 샘플 플랜(예컨대, 수천 개의 타겟들)을 사용하여 측정되기 때문에 필드별 정정치가 생성될 수 있다. 이러한 주기적인 측정에는 시간과 노력이 필요하다. 또한, 상당히 불규칙하고 고차의 오버레이 시그너처에 대한 정정을 위해서 이러한 절차가 반복되어야만 한다. 추가의 접근 방법은 정적 샘플 플랜으로부터의 정보가 주기적인 밀집 맵 측정에 의존하지 않고 필드별 정정치를 계산하는데 사용되는 향상된 필드별 외삽 모델링 기법에 의존하는 것을 포함한다. 이러한 접근 방법은 광대한 최적화 및 신중한 설정을 필요로 한다. 또한, 외삽 기법은 일부 불규칙한 오버레이 시그너처에는 덜 유용하다.Previous applications for overlay metrology use static sample plans, where all wafers measured in all lots receive the same sample plan. In this case, the sample plan represents a selected subset of all available overlay targets on the wafers. As a result, periodic "dense map" measurements are often performed, since some wafers are measured using a very dense overlay sample plan (e.g., thousands of targets) Lt; / RTI > This periodic measurement requires time and effort. In addition, this procedure must be repeated for correction of highly irregular, high-order overlay signatures. An additional approach involves relying on an improved field-specific extrapolation modeling technique that is used to calculate field-specific corrections without relying on periodic dense map measurements from the static sample plan. This approach requires extensive optimization and careful configuration. Also, extrapolation techniques are less useful for some irregular overlay signatures.

반도체 디바이스의 치수가 감소됨에 따라서, 허용 가능한 반도체 디바이스의 성공적인 제조를 위해서는 계측 공정들이 더욱 중요시 되고 있다. 이와 같이, 향상된 계측 성능들을 제공하고 전술한 바와 같은 종래 기술의 접근 방법들에서의 결점들을 구제하는 시스템 및 방법을 제공하는 것이 바람직할 수 있다.As the dimensions of semiconductor devices are reduced, metrology processes are becoming more important for the successful manufacture of acceptable semiconductor devices. Thus, it may be desirable to provide a system and method for providing improved metrology capabilities and remedying the drawbacks of the prior art approaches as described above.

다수의 유연적 희소 샘플 플랜(flexible sparse sample plan)들을 이용하여 가상 밀집 샘플 맵(virtual dense sample map)을 형성하는 시스템이 개시된다. 하나의 실시예에서, 시스템은 많은 수의 웨이퍼들 중 하나 이상의 웨이퍼에 대해 하나 이상의 계측 측정을 수행하도록 구성된 계측 서브 시스템을 포함한다. 다른 실시예에서, 시스템은 계측 서브 시스템의 하나 이상의 부분에 통신 가능하게 결합된 제어기를 포함한다. 다른 실시예에서, 제어기는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하고, 상기 프로그램 명령어들은, 상기 하나 이상의 프로세서로 하여금, 계측 서브 시스템으로부터 수신된 하나 이상의 웨이퍼의 하나 이상의 계측 측정치에 기초하여 복수의 유연적 희소 샘플링 플랜들을 생성하게 하고, 복수의 유연적 희소 샘플링 플랜들의 위치에서 2 개 이상의 웨이퍼들에 대한 계측 측정을 수행하도록 계측 서브 시스템에게 지시하게 하며 - 여기서, 각각의 유연적 희소 샘플링 플랜은 2 개 이상의 웨이퍼들 중 하나와 관련된다 -, 복수의 유연적 샘플 플랜들의 위치에서 수행된 계측 측정으로부터의 결과들을 결합함으로써 계측 신호들의 가상 밀집 맵을 형성하게 하고, 계측 신호들의 가상 밀집 맵에 기초하여 공정 툴 정정 가능 인자의 세트를 계산하게 하도록 구성된다.A system for forming a virtual dense sample map using a plurality of flexible sparse sample plans is disclosed. In one embodiment, the system includes a metrology subsystem configured to perform one or more metrology measurements on one or more of a number of wafers. In another embodiment, the system includes a controller communicatively coupled to one or more portions of the metering subsystem. In another embodiment, the controller includes one or more processors configured to execute program instructions, wherein the program instructions cause the one or more processors to generate a plurality of measurements based on one or more metrology measurements of one or more wafers received from the metrology subsystem To create flexible sparse sampling plans of the flexible sparse sampling plan and direct the metrology subsystem to perform the metrology measurements for two or more wafers at the locations of the plurality of flexible sparse sampling plans, Associated with one of the two or more wafers, to form a virtual dense map of the measurement signals by combining the results from the measurement measurements performed at the locations of the plurality of flexible sample plans, Process tool correctable factor based on It is configured to calculate a set.

하나 이상의 유연적 희소 샘플 플랜을 생성하는 시스템이 개시된다. 하나의 실시예에서, 시스템은 하나 이상의 웨이퍼에 대해 하나 이상의 계측 측정을 수행하도록 구성된 계측 서브 시스템을 포함한다. 다른 실시예에서, 시스템은 계측 서브 시스템의 하나 이상의 부분에 통신 가능하게 결합된 제어기를 포함한다. 제어기는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하고, 상기 프로그램 명령어들은, 상기 하나 이상의 프로세서로 하여금, 계측 서브 시스템으로부터 하나 이상의 웨이퍼로부터의 계측 신호들의 전체 세트를 수신하게 하고, 계측 신호들의 전체 세트에 기초하여 웨이퍼 특성들의 세트를 결정하고 웨이퍼 특성들의 세트와 관련된 웨이퍼 특성 메트릭(metric)을 계산하게 하고, 계측 신호들의 전체 세트에 기초하여 하나 이상의 독립적인 특성화 메트릭을 계산하게 하며, 웨이퍼 특성들의 세트, 웨이퍼 특성 메트릭, 및 하나 이상의 독립적인 특성화 메트릭에 기초하여 유연적 희소 샘플 플랜을 생성하게 하도록 구성되며, 유연적 희소 샘플 플랜으로부터의 계측 신호들로 계산된 하나 이상의 특성의 하나 이상의 독립적인 특성화 메트릭은 계측 신호들의 전체 세트로 계산된 하나 이상의 특성의 하나 이상의 독립적인 특성화 메트릭으로부터 선택된 임계값 내에 있다.A system for generating one or more flexible sparse sample plans is disclosed. In one embodiment, the system includes a metrology subsystem configured to perform one or more metrology measurements on one or more wafers. In another embodiment, the system includes a controller communicatively coupled to one or more portions of the metering subsystem. The controller includes one or more processors configured to execute program instructions, the program instructions causing the one or more processors to receive a full set of measurement signals from one or more wafers from a metrology subsystem, Determine a set of wafer characteristics based on the set and to calculate a wafer characteristic metric associated with the set of wafer characteristics, calculate one or more independent characterization metrics based on the entire set of measurement signals, And one or more independent characterization metrics of the one or more characteristics calculated with the metrology signals from the flexible sparse sample plan based on at least one independent characterization metric, The metric is within a threshold selected from one or more independent characterization metrics of one or more characteristics calculated as the entire set of metrology signals.

하나 이상의 유연적 희소 샘플 플랜을 생성하는 시스템이 개시된다. 하나의 실시예에서, 시스템은 하나 이상의 웨이퍼에 대해 하나 이상의 계측 측정을 수행하도록 구성된 계측 서브 시스템을 포함한다. 다른 실시예에서, 시스템은 계측 서브 시스템의 하나 이상의 부분에 통신 가능하게 결합된 제어기를 포함한다. 제어기는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하고, 상기 프로그램 명령어들은, 상기 하나 이상의 프로세서로 하여금, 계측 서브 시스템으로부터 하나 이상의 웨이퍼로부터의 계측 신호들의 전체 세트를 수신하게 하고, 계측 신호들의 전체 세트에 기초하여 웨이퍼 특성들의 세트를 결정하고 웨이퍼 특성들의 세트에 대한 정확도 메리트(merit)들의 세트를 계산하게 하며, 웨이퍼 특성들의 세트에 대한 정확도 메리트들의 세트 각각과 관련된 통계 메트릭을 계산하게 하고, 정확도 메리트들의 세트 각각과 관련된 통계 메트릭에 기초하여 유연적 희소 샘플링 플랜을 생성하게 하도록 구성된다.A system for generating one or more flexible sparse sample plans is disclosed. In one embodiment, the system includes a metrology subsystem configured to perform one or more metrology measurements on one or more wafers. In another embodiment, the system includes a controller communicatively coupled to one or more portions of the metering subsystem. The controller includes one or more processors configured to execute program instructions, the program instructions causing the one or more processors to receive a full set of measurement signals from one or more wafers from a metrology subsystem, Determine a set of wafer characteristics based on the set and calculate a set of accuracy merits for the set of wafer characteristics, calculate a statistical metric associated with each of the set of accuracy merits for the set of wafer characteristics, And to generate a flexible sparse sampling plan based on a statistical metric associated with each of the set of merits.

하나 이상의 유연적 희소 샘플 플랜을 생성하는 시스템이 개시된다. 하나의 실시예에서, 시스템은 하나 이상의 웨이퍼에 대해 하나 이상의 계측 측정을 수행하도록 구성된 계측 서브 시스템을 포함한다. 다른 실시예에서, 시스템은 계측 서브 시스템의 하나 이상의 부분에 통신 가능하게 결합된 제어기를 포함한다. 제어기는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하고, 상기 프로그램 명령어들은, 상기 하나 이상의 프로세서로 하여금, 계측 서브 시스템으로부터 하나 이상의 웨이퍼로부터의 계측 신호들의 전체 세트를 수신하게 하고, 계측 신호들의 전체 세트에 기초하여 웨이퍼 특성들의 세트를 결정하고 웨이퍼 특성들의 세트에 대한 정확도 메리트들의 세트를 계산하게 하며, 정확도 메리트들의 세트에 기초하여 유연적 희소 샘플링 플랜을 생성하게 하도록 구성되고, 유연적 희소 샘플링 플랜은 선택된 임계값 아래의 정확도 메리트 값들을 표시하는 타겟 위치들을 전체 샘플링 플랜 내에서 식별함으로써 생성된다.A system for generating one or more flexible sparse sample plans is disclosed. In one embodiment, the system includes a metrology subsystem configured to perform one or more metrology measurements on one or more wafers. In another embodiment, the system includes a controller communicatively coupled to one or more portions of the metering subsystem. The controller includes one or more processors configured to execute program instructions, the program instructions causing the one or more processors to receive a full set of measurement signals from one or more wafers from a metrology subsystem, Determine a set of wafer characteristics based on the set and to calculate a set of accuracy merits for a set of wafer characteristics, and to generate a flexible sparse sampling plan based on the set of accuracy merits, Is generated by identifying within the overall sampling plan target locations that represent the accuracy merit values below the selected threshold.

전술한 대략적인 설명과 후술하는 상세한 설명 둘 다는 예시적이고 설명적인 것일 뿐이며, 청구된 본 발명을 반드시 제한시키는 것은 아니라는 것을 이해해야 한다. 본 명세서에 통합되어 명세서의 일부를 구성하는 첨부 도면들은 본 발명의 실시예들을 예시하며, 일반적인 설명과 함께, 본 발명의 원리들을 설명하기 위한 역할을 한다.It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the invention as claimed. The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate embodiments of the invention and, together with the general description, serve to explain the principles of the invention.

본 발명의 수많은 장점들은 첨부 도면들을 참조함으로써 본 발명분야의 당업자에 의해 보다 잘 이해될 수 있다:
도 1a는 본 발명의 일 실시예에 따라 반도체 웨이퍼의 계측 타겟(metrology target)들을 측정하기 위한 계측 시스템의 개념적 블록도이다.
도 1b는 본 발명의 일 실시예에 따라 디마크된 필드(demarked field)를 갖는 반도체 웨이퍼의 평면도를 예시한다.
도 1c는 본 발명의 일 실시예에 따라 필드 내의 복수의 타겟들을 도시하는 반도체 웨이퍼의 개별 필드(individual field)의 평면도를 예시한다.
도 1d는 본 발명의 일 실시예에 따라 반도체 웨이퍼의 계측 타겟들을 측정하기 위한 이미징 기반 계측 시스템(imaging-based metrology system)의 블록도이다.
도 1e는 본 발명의 일 실시예에 따라 반도체 웨이퍼의 계측 타겟들을 측정하기 위한 산란측정 기반 계측 시스템(scatterometry-based metrology system)의 블록도이다.
도 2는 본 발명의 일 실시예에 따라 다수의 유연적 희소 샘플 플랜을 통해 공정 툴 정정 가능 인자를 제공하는 방법에서 수행되는 단계들을 예시하는 흐름도이다.
도 3a는 본 발명의 일 실시예에 따라 하나 이상의 유연적 희소 샘플 플랜을 생성하는 방법에서 수행되는 단계들을 예시하는 흐름도이다.
도 3b는 본 발명의 일 실시예에 따라 전체 샘플 플랜 및 유연적 희소 샘플 플랜의 평면도이다.
도 4는 본 발명의 일 실시예에 따라 하나 이상의 유연적 희소 샘플 플랜을 생성하는 방법에서 수행되는 단계들을 예시하는 흐름도이다.
Numerous advantages of the present invention may be better understood by those skilled in the art by reference to the accompanying drawings in which:
FIG. 1A is a conceptual block diagram of a metrology system for measuring metrology targets of a semiconductor wafer in accordance with an embodiment of the invention. FIG.
Figure IB illustrates a top view of a semiconductor wafer having a demarked field in accordance with one embodiment of the present invention.
Figure 1C illustrates a top view of an individual field of a semiconductor wafer showing a plurality of targets in a field in accordance with an embodiment of the present invention.
1D is a block diagram of an imaging-based metrology system for measuring metrology targets of a semiconductor wafer in accordance with an embodiment of the present invention.
1e is a block diagram of a scatterometry-based metrology system for measuring measurement targets of semiconductor wafers in accordance with an embodiment of the present invention.
2 is a flow chart illustrating steps performed in a method for providing a process tool correctable factor through a plurality of flexible sparse sample plans in accordance with an embodiment of the present invention.
3A is a flow chart illustrating steps performed in a method of generating one or more flexible sparse sample plans in accordance with one embodiment of the present invention.
Figure 3B is a top view of the entire sample plan and the flexible rare sample plan according to one embodiment of the present invention.
4 is a flow chart illustrating steps performed in a method for generating one or more flexible sparse sample plans in accordance with one embodiment of the present invention.

이제부터, 첨부된 도면들에서 도시되고 개시된 본 발명내용을 자세하게 설명할 것이다.BRIEF DESCRIPTION OF THE DRAWINGS The details of the present invention, which is shown and described in the accompanying drawings, will now be described in detail.

일반적으로 도 1a 내지 도 4를 참조하면, 본 발명의 개시에 따른 공정 툴 정정에서 사용하기 위한 유연적 샘플링 플랜들의 생성 방법 및 시스템이 설명된다. 본 발명의 실시예들은 많은 웨이퍼들 중의 하나 이상의 웨이퍼의 사용 가능한 계측 타겟 위치의 서브 세트를 나타내는 유연적 희소 샘플 플랜의 생성에 관한 것이다. 본 발명의 추가의 실시예들은 다수의 유연적 희소 플랜들을 사용하여 획득된 계측 데이터를 사용하는 복합 웨이퍼 정정치의 생성에 관한 것이다. 유연적 희소 샘플링 플랜들은 공정 시그너처 메트릭(예컨대, PSQ), 패터닝된 웨이퍼 형상 메트릭(예컨대, PWG), 오버레이 타겟 비대칭 메트릭(예컨대, Qmerit) 또는 오버레이 타겟 정확도 메트릭(예컨대, 오버레이 타겟 정확도 플래그)과 같은 하나 이상의 독립적인 메트릭(예컨대, 정확도 메리트)의 분석에 기초하여 생성될 수 있지만, 그러나 이들로 한정되지는 않는다.Referring generally to FIGS. 1A-4, a method and system for generating flexible sampling plans for use in process tool correction in accordance with the teachings of the present invention is described. Embodiments of the present invention are directed to the creation of a flexible sparse sample plan that represents a subset of the available measurement target positions of one or more wafers of a number of wafers. Additional embodiments of the present invention are directed to the generation of complex wafer definitions using metrology data obtained using a number of flexible scarce plans. Flexible sparse sampling plans may be used to generate a patterned metric such as a process signature metric (e.g., PSQ), a patterned wafer shape metric (e.g., PWG), an overlay target asymmetric metric (e.g., Qmerit), or an overlay target accuracy metric But may be based on analysis of one or more independent metrics (e.g., accuracy merits).

도 1a는 본 발명의 하나 이상의 실시예에 따라 하나 이상의 계측 측정을 수행하는 계측 시스템(100)의 개념적 블록도를 도시한다. 하나의 실시예에서, 시스템(100)은 계측 서브 시스템(102)을 포함한다. 계측 서브 시스템(102)은 웨이퍼(112)의 하나 이상의 계측 타겟(111)의 하나 이상의 특성을 측정하도록 구성된다. 예를 들어, 계측 서브 시스템(102)은 하나 이상의 오버레이 계측 타겟, 광학 임계 치수(CD) 타겟 또는 포커스/도즈 타겟을 측정/특성화하도록 구성될 수 있다. 예컨대, 계측 서브 시스템(102)은 도 1b 및 도 1c에 도시된 바와 같이 웨이퍼의 하나 이상의 필드(113) 내의 하나 이상의 계측 타겟(116)을 측정할 수 있다.Figure 1A illustrates a conceptual block diagram of a metrology system 100 that performs one or more metrology measurements in accordance with one or more embodiments of the present invention. In one embodiment, the system 100 includes a metrology subsystem 102. The metrology subsystem 102 is configured to measure one or more characteristics of the one or more metrology targets 111 of the wafer 112. For example, the metrology subsystem 102 may be configured to measure / characterize one or more overlay metrology targets, optical critical dimension (CD) targets, or focus / dose targets. For example, the metrology subsystem 102 may measure one or more metrology targets 116 in one or more fields 113 of a wafer, as shown in Figures 1B and 1C.

간략화를 목적으로, 계측 시스템(100)은 단순화된 블록도로 도시되어 있다는 것을 유념한다. 구성 요소 및 기하학적 구성을 포함하는 이 도시는 제한적인 것은 아니며 설명을 목적으로 제공되었을 뿐이다. 본 명세서에서 계측 시스템은 콘트라스트 기반 이미징(contrast-based imaging), 산란측정(scatterometry), 엘립소메트리(ellipsometry), SEM 및/또는 AFM 기술과 같은 계측 측정 기술에 기초할 수 있는, 본 명세서에 기술된 계측 공정들(예를 들어, 오버레이 계측, CD 계측, 포커스/도즈 계측)을 수행하기 위한 임의의 수의 광학 소자들, 조명원(illumination source)들 및 검출기들을 포함할 수도 있음이 인식되고 있다.It is noted that for the sake of simplicity, the metrology system 100 is shown in a simplified block diagram. The city, including its components and geometry, is not intended to be limiting and is provided for illustrative purposes only. As used herein, the metrology system may be based on metrology measurement techniques such as contrast-based imaging, scatterometry, ellipsometry, SEM and / or AFM techniques, It is recognized that it may also include any number of optical elements, illumination sources and detectors for performing the metrology processes (e.g., overlay metrology, CD metrology, focus / dose metrology) .

하나의 실시예에서, 계측 서브 시스템(102)은 오버레이 계측 서브 시스템 또는 툴을 포함한다. 하나의 실시예에서, 도 1d에 도시된 바와 같이, 계측 서브 시스템(102)은 이미징 기반 계측 서브 시스템이다. 예를 들어, 이미징 기반 계측 서브 시스템은 스테이지(136) 상에 배치된 웨이퍼(112)의 하나 이상의 타겟(111)의 하나 이상의 콘트라스트 기반 필드 이미지를 측정하도록 구성된다.In one embodiment, the metering subsystem 102 includes an overlay metrology subsystem or tool. In one embodiment, as shown in FIG. 1D, the metering subsystem 102 is an imaging-based metering subsystem. For example, the imaging-based metrology subsystem is configured to measure one or more contrast-based field images of one or more targets 111 of a wafer 112 disposed on a stage 136.

하나의 실시예에서, 이미징 기반 계측의 경우, 시스템(100)은 조명(134)을 생성하도록 구성된 조명원(illumination source)(122)과, 하나 이상의 웨이퍼(112)(예를 들어, 하나 이상의 웨이퍼 로트의 하나 이상의 웨이퍼)의 하나 이상의 계측 타겟(111)으로부터 반사된 광을 수집하도록 구성된 검출기(130) 및 하나 이상의 광학 소자를 포함할 수 있다. 하나의 실시예에서, 하나 이상의 광학 소자(예를 들어, 빔 스플리터(126) 등)는 조명원(122)으로부터의 조명의 제1 부분을, 물체 경로(132)를 따라, 스테이지(136) 상에 배치된 웨이퍼(112)의 하나 이상의 처리 층 위에 배치된 하나 이상의 계측 타겟(111)에 지향시키도록 구성된다. 또한, 조명원(122)으로부터의 광의 제2 부분은 기준 경로(138)를 따라 하나 이상의 기준 광학기(140)로 지향된다.In one embodiment, in the case of imaging-based metrology, system 100 includes an illumination source 122 configured to generate illumination 134, and one or more wafers 112 (e.g., And one or more optical elements configured to collect reflected light from one or more metrology targets 111 of the substrate (e.g., one or more wafers in a lot). In one embodiment, one or more optical elements (e.g., beam splitter 126, etc.) provide a first portion of the illumination from illumination source 122 along the object path 132 onto the stage 136 To at least one metrology target (111) disposed on at least one processing layer of the wafer (112) disposed on the wafer (112). In addition, a second portion of the light from the illumination source 122 is directed to the one or more reference optics 140 along a reference path 138.

시스템(100)의 조명원(122)은 당업계에 공지된 임의의 조명원을 포함할 수 있다. 하나의 실시예에서, 조명원(122)은 광대역 광원을 포함할 수 있다. 예를 들어, 조명원(122)은 할로겐 광원(HLS), 아크 램프 또는 레이저 유지 플라즈마 광원을 포함할 수 있지만, 이들로 한정되는 것은 아니다. 다른 실시예에서, 조명원(122)은 협대역 광원을 포함할 수 있다. 예를 들어, 조명원(122)은 하나 이상의 레이저를 포함할 수 있지만, 이들로 한정되는 것은 아니다.The illumination source 122 of the system 100 may include any illumination source known in the art. In one embodiment, the illumination source 122 may comprise a broadband light source. For example, illumination source 122 may include, but is not limited to, a halogen light source (HLS), an arc lamp, or a laser maintenance plasma light source. In another embodiment, the illumination source 122 may include a narrowband light source. For example, the illumination source 122 may include, but is not limited to, one or more lasers.

하나의 실시예에서, 시스템(100)의 하나 이상의 광학 소자는 하나 이상의 빔 스플리터(126)를 포함할 수 있지만, 이들로 한정되는 것은 아니다. 예를 들어, 빔 스플리터(126)는 조명원(122)으로부터 나오는 광선(134)을 물체 경로(132)와 기준 경로(138)의 2 개의 경로들로 분리할 수 있다. 이러한 의미에서, 물체 경로(132) 및 기준 경로(138)는 2 개의 빔 간섭 광학 시스템의 일부를 형성할 수 있다. 예를 들어, 빔 스플리터(126)는 조명 경로(134)로부터의 광의 빔의 제2 부분이 기준 경로(138)를 따라 전송되는 것을 허용하면서, 조명 경로(134)로부터의 광의 빔의 제1 부분을 물체 경로(132)를 따르도록 지향시킬 수 있다. 빔 스플리터(126)는 조명 경로(134)로부터의 광의 일부를 기준 경로(138)를 따라 기준 거울(이들로 한정되지 않음)과 같은 기준 광학기(140)로 전송할 수 있다.In one embodiment, one or more optical elements of system 100 may include, but are not limited to, one or more beam splitters 126. For example, beam splitter 126 may split light rays 134 from illumination source 122 into two paths, object path 132 and reference path 138. In this sense, object path 132 and reference path 138 may form part of two beam interference optical systems. For example, beam splitter 126 may be configured to transmit a first portion of the beam of light from illumination path 134, while allowing a second portion of the beam of light from illumination path 134 to be transmitted along reference path 138. [ May be directed along the object path 132. Beam splitter 126 may transmit a portion of the light from illumination path 134 along reference path 138 to reference optics 140 such as but not limited to a reference mirror.

기준 경로(138) 및 기준 광학기(140)는 기준 거울, 기준 대물 렌즈 및 기준 경로(138)를 선택적으로 차단하도록 구성된 셔터를 비롯하여(이들로 한정되지 않음), 이미지 기반 오버레이 계측의 분야에서 알려진 임의의 광학 소자들을 포함할 수 있다. 일반적인 의미에서, 2개 빔 간섭 광학 시스템은 린닉 간섭계(Linnik interferometer)로서 구성될 수 있다. 린닉 간섭계는 1989년 4월 4일자로 발행된 미국 특허 제4,818,110호 및 2001년 1월 9일자로 발행된 미국 특허 제6,172,349호에 일반적으로 기술되어 있으며, 이들은 참고로 그 전체가 본 명세서에 통합된다.The reference path 138 and the reference optics 140 may be any type of optical element that is known in the art of image based overlay metrology including but not limited to a shutter configured to selectively block the reference mirror, And may include any optical elements. In a general sense, a two-beam interference optical system may be configured as a Linnik interferometer. Linnik interferometers are described generally in U.S. Patent No. 4,818,110, issued April 4, 1989, and U.S. Patent No. 6,172,349, issued January 9, 2001, which are incorporated herein by reference in their entirety .

다른 실시예에서, 시스템(100)은 대물 렌즈(128)를 포함할 수 있다. 대물 렌즈(128)는 광을 물체 경로(132)를 따라 스테이지(136) 상에 배치된 웨이퍼(112)의 표면에 지향시키는 것을 도울 수 있다. 빔 스플리터(126)에 의한 분할 프로세스에 이어서, 대물 렌즈(128)는 1차 광축과 동일 선상에 있을 수 있는 물체 경로(132)로부터의 광을 웨이퍼(112)의 계측 타겟들(111) 상에 포커싱시킬 수 있다. 당업계에 공지된 임의의 대물 렌즈가 이 실시예에서의 구현에 적합할 수 있다.In another embodiment, the system 100 may include an objective lens 128. The objective lens 128 may help direct light to the surface of the wafer 112 disposed on the stage 136 along the object path 132. Following the splitting process by the beam splitter 126, the objective lens 128 splits the light from the object path 132, which may be colinear with the primary optical axis, onto the measurement targets 111 of the wafer 112 . Any objective lens known in the art may be suitable for implementation in this embodiment.

또한, 웨이퍼(112)의 표면에 충돌하는 광의 일부는 웨이퍼(112)의 계측 타겟들(111)에 의해 반사, 산란 또는 회절될 수 있고, 대물 렌즈(128) 및 빔 스플리터(126)를 거쳐 1차 광축(124)을 따라 검출기(130)로 지향될 수 있다. 대물 렌즈(128)와 검출기(130) 사이에는 중간 렌즈, 거울, 추가 빔 스플리터, 필터, 편광자, 이미징 렌즈 등과 같은 중간 광학 장치들이 배치될 수 있음을 추가로 인식되어야 한다.A part of the light impinging on the surface of the wafer 112 can be reflected, scattered or diffracted by the measurement targets 111 of the wafer 112 and transmitted through the objective lens 128 and the beam splitter 126, And may be directed to the detector 130 along the optical axis 124. It should further be appreciated that intermediate optics such as an intermediate lens, a mirror, an additional beam splitter, a filter, a polarizer, an imaging lens, etc. may be disposed between the objective lens 128 and the detector 130.

다른 실시예에서, 검출기(130)는 웨이퍼(112)의 표면으로부터 이미지 데이터를 수집하도록 배열될 수 있다. 예를 들어, 웨이퍼(112)의 표면으로부터 반사 또는 산란한 후에, 광은 1차 광축(124)을 따라 검출기(130)로 진행할 수 있다. 당업계에 공지된 임의의 검출기 시스템이 이 실시예에서의 구현에 적합하다는 것이 인식되고 있다. 예를 들어, 검출기(130)는 전하 결합 디바이스(CCD) 기반 카메라 시스템을 포함할 수 있다. 다른 예로서, 검출기(130)는 시간 지연 통합(TDI)-CCD 기반 카메라 시스템을 포함할 수 있다. 또 다른 양태에서, 검출기(130)는 제어기(104)와 통신 가능하게 결합될 수 있다. 이와 관련하여, 디지털화된 이미지 데이터는 유선 신호(예를 들어, 구리선, 광섬유 케이블 등) 또는 무선 신호(예를 들어, 무선 RF 신호)와 같은 신호를 통해 검출기(130)로부터 제어기(104)로 전송될 수 있다. 이어서, 본 명세서에서 보다 상세하게 설명되는 바와 같이, 제어기(104)는 검출기(130)로부터 수신된 계측 측정치에 기초하여 공정 툴 정정 가능 인자의 세트를 계산하고 정정치를 공정 툴(105)(예를 들어, 스캐너)에 되공급할 수 있다.In another embodiment, the detector 130 may be arranged to collect image data from the surface of the wafer 112. For example, after reflecting or scattering from the surface of the wafer 112, light may travel along the primary optical axis 124 to the detector 130. It is recognized that any detector system known in the art is suitable for implementation in this embodiment. For example, the detector 130 may comprise a charge coupled device (CCD) based camera system. As another example, the detector 130 may include a time delay integrated (TDI) -CCD based camera system. In another aspect, the detector 130 may be communicatively coupled to the controller 104. In this regard, the digitized image data is transmitted from the detector 130 to the controller 104 via a signal such as a wired signal (e.g., copper wire, fiber optic cable, etc.) or a wireless signal . The controller 104 then calculates a set of process tool correctable factors based on the metrology measurements received from the detector 130 and provides a correction to the process tool 105 (e.g., For example, a scanner).

본 명세서에 설명된 이미징 기반 오버레이 계측에 대한 확장 가능한 측정 및 계산 기술은 2012년 12월 11일자로 발행된 미국 특허 제8,330,281호 및 2008년 4월 8일자로 발행된 미국 특허 제7,355,291호에 기술되어 있으며, 이들 각각은 그 전체가 본원 명세서에 참고로 통합되어 있다.The scalable measurement and calculation techniques for imaging-based overlay metrology described herein are described in U.S. Patent No. 8,330,281, issued December 11, 2012, and U.S. Patent No. 7,355,291, issued April 8, 2008 Each of which is incorporated herein by reference in its entirety.

하나의 실시예에서, 도 1e에 도시된 바와 같이, 계측 서브 시스템(102)은 산란측정 기반 계측 서브 시스템이다. 예를 들어, 산란측정 기반 계측 서브 시스템은 산란측정 기반 오버레이 계측 툴이며, 웨이퍼(112)의 하나 이상의 타겟(111)의 동공 이미지(pupil image)를 측정하도록 구성된다. 또 다른 예로서, 계측 서브 시스템(102)은 웨이퍼(112) 상에 배치된 하나 이상의 CD 타겟으로부터 하나 이상의 CD 파라미터를 측정하기에 적합한 CD 계측 툴을 포함한다. CD 계측 툴은 당업계에 공지된 임의의 CD 파라미터를 측정하도록 구성될 수 있다. 예를 들어, CD 계측 툴은 하나 이상의 CD 타겟으로부터 아래의 파라미터들, 즉 높이, CD(예컨대, 하부 CD, 중간 CD 또는 상부 CD) 및 측벽 각도(SWA)(예컨대, 하부 SWA , 중간 SWA 또는 상부 SWA) 중 하나 이상을 측정할 수 있다. 이 실시예에서, 계측 서브 시스템(102)은 산란측정 또는 엘립소메트리 측정을 수행하기 위한 임의의 방식으로 구성될 수 있다.In one embodiment, as shown in FIG. 1E, the metrology subsystem 102 is a scatterometry-based metrology subsystem. For example, the scatterometry-based metrology subsystem is a scatterometry-based overlay metrology tool and is configured to measure a pupil image of one or more targets 111 of the wafer 112. As another example, the metering subsystem 102 includes a CD metrology tool adapted to measure one or more CD parameters from one or more CD targets disposed on a wafer 112. The CD measurement tool may be configured to measure any CD parameter known in the art. For example, the CD measurement tool may determine the following parameters from one or more CD targets: height, CD (e.g., lower CD, middle CD or upper CD) and sidewall angle SWA (e.g., lower SWA, middle SWA, SWA) can be measured. In this embodiment, the metrology subsystem 102 may be configured in any manner for performing scatterometry or ellipsometry measurements.

하나의 실시예에서, 도 1e에 도시된 바와 같이, 계측 서브 시스템(102)은 조명원(150), 편광 소자(152), 분석기(154) 및 검출기(160)를 포함할 수 있다. 다른 실시예에서, 계측 서브 시스템(102)은 추가의 광학 소자들(156, 158)을 포함할 수있다. 예를 들어, 광학 소자들(156, 158)은 하나 이상의 렌즈(예를 들어, 포커싱 렌즈), 하나 이상의 거울, 하나 이상의 필터 및/또는 하나 이상의 시준기(collimator)를 포함할 수 있지만, 그러나 이들로 한정되는 것은 아니다.1E, the measurement subsystem 102 may include an illumination source 150, a polarization element 152, an analyzer 154, and a detector 160. In one embodiment, In another embodiment, the metrology subsystem 102 may include additional optical elements 156,158. For example, optical elements 156 and 158 may include one or more lenses (e.g., focusing lenses), one or more mirrors, one or more filters and / or one or more collimators, But is not limited thereto.

오버레이 오차를 검출하기 위한 산란측정의 사용은 2016년 5월 24일자로 발행된 미국 특허 제9,347,879호에 일반적으로 기술되어 있으며, 이들은 그 전체가 본원 명세서에 참고로 통합되어 있다. 오버레이 오차를 검출하기 위한 산란측정의 사용은 2004년 2월 10일자로 발행된 미국 특허 제6,689,519호에 일반적으로 기술되어 있으며, 이들은 그 전체가 본원 명세서에 참고로 통합되어 있다. 엘립소메트리의 원리는 윌리엄 앤드류사(William Andrew, Inc.)의 하랜드 지. 톰긴스(Harland G. Tompkins) 및 유진 에이. 아이린(Eugene A. Irene)에 의해 2005년에 발간된 엘립소메트리 핸드북 초판에 일반적으로 제공되어 있으며, 이들은 그 전체가 본원 명세서에 참고로 통합되어 있다.The use of scatterometry to detect overlay error is generally described in U.S. Patent No. 9,347,879, issued May 24, 2016, which is incorporated herein by reference in its entirety. The use of scatterometry to detect overlay error is generally described in U.S. Patent No. 6,689,519, issued February 10, 2004, which is incorporated herein by reference in its entirety. The principle of Eli Lip Sometri is Harland of William Andrew, Inc. Harland G. Tompkins and Eugene A.. Are generally provided in the first edition of the Euless Sometri Handbook published by Eugene A. Irene in 2005, which are incorporated herein by reference in their entirety.

도 1a를 다시 참조하면, 일 실시예에서, 계측 시스템(100)은 제어기(104)를 포함한다. 일 실시예에서, 제어기(104)는 계측 서브 시스템(102)에 통신 가능하게 결합된다. 예를 들어, 도 1d 내지 도 1e에 도시된 바와 같이, 제어기(104)는 계측 서브시스템(102)의 검출기(130,160)의 출력에 결합될 수 있다. 제어기(104)가 계측 서브 시스템(102)에 의해 생성된 출력을 수신할 수 있도록 임의의 적합한 방식(예를 들어, 점선으로 나타낸 하나 이상의 전송 매체에 의해)으로 제어기(104)는 검출기에 결합될 수 있다.Referring again to FIG. 1A, in one embodiment, the metrology system 100 includes a controller 104. In one embodiment, the controller 104 is communicatively coupled to the metering subsystem 102. For example, as shown in Figures 1d-1e, the controller 104 may be coupled to the outputs of the detectors 130, 160 of the metering subsystem 102. [ The controller 104 may be coupled to the detector in any suitable manner (e.g., by one or more transmission media, indicated by dashed lines) so that the controller 104 may receive the output generated by the metrology subsystem 102 .

하나의 실시예에서, 제어기(104)는 하나 이상의 프로세서(106)를 포함한다. 하나 이상의 프로세서(106)는 프로그램 명령어들의 세트를 실행하도록 구성된다. 프로그램 명령어는 본 명세서 전체에 걸쳐 기술된 임의의 공정 단계들을 수행할 수 있다.In one embodiment, the controller 104 includes one or more processors 106. The one or more processors 106 are configured to execute a set of program instructions. Program instructions may perform any of the processing steps described throughout this disclosure.

제어기(104)의 하나 이상의 프로세서(106)는 당업계에 공지된 임의의 하나 이상의 처리 소자를 포함할 수 있다. 이러한 의미에서, 하나 이상의 프로세서(106)는 소프트웨어 알고리즘 및/또는 명령어들을 실행하도록 구성된 임의의 마이크로 프로세서형 디바이스를 포함할 수 있다. 하나의 실시예에서, 하나 이상의 프로세서(106)는 본 명세서 전체에 걸쳐 기술된 바와 같이 시스템(100)을 동작시키기 위해 구성된 프로그램을 실행하도록 구성된 데스크탑 컴퓨터, 메인 프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 기타의 컴퓨터 시스템(예를 들어, 네트워크 컴퓨터)으로 구성될 수 있다. 본 명세서 전체에 걸쳐 기술된 단계들은 단일 컴퓨터 시스템 또는 대안적으로 다수의 컴퓨터 시스템에 의해 수행될 수 있음을 인식하여야 한다. 일반적으로, 용어 "프로세서"는 비일시적 메모리 매체(108)로부터의 프로그램 명령어를 실행하는 하나 이상의 처리 소자를 갖는 임의의 디바이스를 포함하는 것으로 광범위하게 정의될 수 있다. 더욱이, 시스템(100)의 상이한 서브시스템(예컨대, 계측 서브 시스템, 디스플레이 또는 사용자 인터페이스)은 본 명세서 전체에 걸쳐 기술된 단계들의 적어도 일부를 수행하기에 적합한 프로세서 또는 논리 소자들을 포함할 수 있다. 그러므로, 전술한 설명은 본 발명에 대한 제한으로서 해석되어서는 안되며, 단지 예시일 뿐이다.The one or more processors 106 of the controller 104 may comprise any one or more processing elements known in the art. In this sense, the one or more processors 106 may comprise any microprocessor-type device configured to execute software algorithms and / or instructions. In one embodiment, one or more of the processors 106 may be a desktop computer, a mainframe computer system, a workstation, an image computer, a personal computer, a personal computer, a personal computer, A parallel processor, or other computer system (e.g., a network computer). It should be appreciated that the steps described throughout this disclosure may be performed by a single computer system or alternatively by multiple computer systems. In general, the term "processor" may be broadly defined as including any device having one or more processing elements for executing program instructions from non-volatile memory medium 108. Moreover, different subsystems (e.g., a metering subsystem, display, or user interface) of the system 100 may include processors or logic elements suitable for performing at least some of the steps described throughout this disclosure. Therefore, the above description should not be construed as limiting the invention, but merely as an example.

메모리 매체(108) 또는 메모리는 관련된 하나 이상의 프로세서(106)에 의해 실행 가능한 프로그램 명령어를 저장하기에 적합한 당업계에 공지된 임의의 저장 매체를 포함할 수 있다. 예를 들어, 메모리 매체(108)는 비일시적 메모리 매체를 포함할 수 있다. 예를 들어, 메모리 매체(108)는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 메모리 디바이스(예를 들어, 디스크), 자기 테이프, 솔리드 스테이트 드라이브(solid state drive) 등을 포함할 수 있지만, 그러나 이들로 한정되는 것은 아니다. 다른 실시예에서, 본 명세서에서 메모리(108)는 계측 서브 시스템(102)으로부터의 하나 이상의 결과 및/또는 본 명세서에 설명된 다양한 단계들의 출력을 저장하도록 구성되는 것에 주목한다. 또한, 메모리(108)는 하나 이상의 프로세서(106)와 함께 공통 제어기 하우징에 하우징될 수 있음을 추가로 주목한다. 대안적인 실시예에서, 메모리(108)는 프로세서(106)의 물리적 위치에 대해 원격으로 위치될 수 있다. 예를 들어, 제어기(104)의 하나 이상의 프로세서(106)는 네트워크(예를 들어, 인터넷, 인트라넷 등)를 통해 액세스 가능한 원격 메모리(예를 들어, 서버)에 액세스할 수 있다. 다른 실시예에서, 메모리 매체(108)는 하나 이상의 프로세서(106)로 하여금 본 명세서를 통해 설명된 다양한 단계들을 수행하게 하는 프로그램 명령어들을 포함한다.The memory media 108 or memory may include any storage medium known in the art suitable for storing program instructions executable by one or more processors 106 associated therewith. For example, memory media 108 may include non-volatile memory media. For example, memory media 108 may include read-only memory, random access memory, magnetic or optical memory devices (e.g., disks), magnetic tape, solid state drives, But are not limited thereto. Note that in another embodiment, memory 108 is configured to store one or more results from metrology subsystem 102 and / or output of the various steps described herein. It is further noted that the memory 108 may be housed in a common controller housing with one or more processors 106. In an alternative embodiment, the memory 108 may be remotely located relative to the physical location of the processor 106. For example, one or more of the processors 106 of the controller 104 may access a remote memory (e.g., a server) accessible via a network (e.g., Internet, intranet, etc.). In another embodiment, memory media 108 includes program instructions that cause one or more processors 106 to perform the various steps described herein.

다른 실시예에서, 시스템(100)의 제어기(104)는 유선 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의하여 다른 시스템들로부터의 데이터 또는 정보(예를 들어, 검사 시스템으로부터의 검사 결과들이나 계측 시스템으로부터의 계측 결과들)를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 제어기(104)와 시스템(100)의 다른 서브 시스템들 사이의 데이터 링크로서 역할을 할 수 있다. 더욱이, 제어기(104)는 전송 매체(예컨대, 네트워크 연결)를 통해 외부 시스템으로 데이터를 전송할 수 있다.In another embodiment, the controller 104 of the system 100 may be configured to receive data or information from other systems (e.g., test results from the inspection system, etc.) from other systems by a transmission medium, which may include wired and / And / or obtain measurement results from the metrology system). In this manner, the transmission medium may serve as a data link between the controller 104 and other subsystems of the system 100. Moreover, the controller 104 may transmit data to an external system via a transmission medium (e.g., a network connection).

다른 실시예에서, 시스템(100)은 사용자 인터페이스(도시되지 않음)를 포함한다. 하나의 실시예에서, 사용자 인터페이스는 제어기(104)의 하나 이상의 프로세서(106)에 통신 가능하게 결합된다. 다른 실시예에서, 사용자 인터페이스 디바이스는 사용자로부터의 선택 및/또는 명령들을 받아들이기 위해 제어기(104)에 의해 이용될 수 있다. 본 명세서에서 추가로 설명되는 일부 실시예들에서, 사용자(도시 생략됨)에게 데이터를 표시하기 위해서 디스플레이가 사용될 수 있다. 이어서, 사용자는 디스플레이 디바이스를 통해 사용자에게 표시된 데이터에 응답하여 선택 및/또는 명령들(예를 들어, 측정된 필드 사이트들 또는 회귀 공정을 위한 필드 사이트들의 사용자 선택)을 입력할 수 있다.In another embodiment, the system 100 includes a user interface (not shown). In one embodiment, the user interface is communicatively coupled to one or more processors 106 of the controller 104. In another embodiment, the user interface device may be utilized by the controller 104 to accept selections and / or commands from the user. In some embodiments described further herein, a display may be used to display data to a user (not shown). The user may then enter selection and / or commands (e.g., user selection of field sites for the measured field sites or regression process) in response to the data displayed to the user via the display device.

사용자 인터페이스 디바이스는 당업계에 공지된 임의의 사용자 인터페이스를 포함할 수 있다. 예를 들어, 사용자 인터페이스는 키보드, 키패드, 터치 스크린, 레버, 노브(knob), 스크롤 휠, 트랙 볼, 스위치, 다이얼, 슬라이딩 바(sliding bar), 스크롤 바, 슬라이드, 핸들, 터치 패드, 패들(paddle), 스티어링 휠, 조이스틱, 베젤(bezel) 입력 장치 등을 포함할 수 있지만, 이들로 한정되는 것은 아니다. 터치 스크린 인터페이스 디바이스의 경우, 당업자라면 다수의 터치 스크린 인터페이스 디바이스가 본 발명에서의 구현에 적합할 수 있음을 알아야 한다. 예를 들어, 디스플레이 디바이스는 용량성 터치 스크린, 저항성 터치 스크린, 표면 음향 기반 터치 스크린, 적외선 기반 터치 스크린 등과 같은 터치 스크린 인터페이스(이들로 한정되지 않음)와 통합될 수 있다. 일반적인 의미에서, 디스플레이 디바이스의 디스플레이부와 통합될 수 있는 임의의 터치 스크린 인터페이스는 본 발명에서 구현하기에 적합하다. 다른 실시예에서, 사용자 인터페이스는 베젤 장착 인터페이스를 포함할 수 있지만, 이들로 한정되는 것은 아니다.The user interface device may comprise any user interface known in the art. For example, the user interface may be a keyboard, a keypad, a touch screen, a lever, a knob, a scroll wheel, a track ball, a switch, a dial, a sliding bar, a scroll bar, a slide, a paddle, a steering wheel, a joystick, a bezel input device, and the like. For touch screen interface devices, it should be appreciated by those skilled in the art that a number of touch screen interface devices may be suitable for implementation in the present invention. For example, the display device may be integrated with (but not limited to) a touch screen interface such as a capacitive touch screen, a resistive touch screen, a surface acoustic based touch screen, an infrared based touch screen, In the general sense, any touch screen interface that can be integrated with the display portion of the display device is suitable for implementation in the present invention. In other embodiments, the user interface may include but is not limited to a bezel mounted interface.

디스플레이 디바이스(도시되지 않음)는 당업계에 공지된 임의의 디스플레이 디바이스를 포함할 수 있다. 하나의 실시예에서, 디스플레이 디바이스는 액정 디스플레이(LCD)를 포함할 수 있지만, 이들로 한정되는 것은 아니다. 다른 실시예에서, 디스플레이 디바이스는 유기 발광 다이오드(OLED) 기반 디스플레이를 포함할 수 있지만, 이들로 한정되는 것은 아니다. 다른 실시예에서, 디스플레이 디바이스는 CRT 디스플레이를 포함할 수 있지만, 이들로 한정되는 것은 아니다. 당업자라면 다양한 디스플레이 디바이스가 본 발명에서 구현하기에 적합할 수 있고 디스플레이 디바이스의 특정 선택이 폼 팩터(form factor), 비용 등을 포함하는 다양한 요인들(이들로 한정되지 않음)에 따라 달라질 수 있음을 알아야 한다. 일반적인 의미에서, 사용자 인터페이스 디바이스(예컨대, 터치 스크린, 베젤 장착 인터페이스, 키보드, 마우스, 트랙 패드(trackpad) 등)와 통합될 수 있는 임의의 디스플레이 디바이스가 본 발명에서의 구현에 적합하다.The display device (not shown) may include any display device known in the art. In one embodiment, the display device may include, but is not limited to, a liquid crystal display (LCD). In another embodiment, the display device may include, but is not limited to, an organic light emitting diode (OLED) based display. In another embodiment, the display device may include, but is not limited to, a CRT display. Those skilled in the art will appreciate that a variety of display devices may be suitable for implementation in the present invention and that the particular choice of display device may vary depending on a variety of factors including, but not limited to, form factor, cost, You should know. In the general sense, any display device that can be integrated with a user interface device (e.g., a touch screen, bezel mounted interface, keyboard, mouse, trackpad, etc.) is suitable for implementation in the present invention.

도 1a 내지 도 1e에 도시된 시스템(100)의 실시예들은 본 명세서에 기술된 바와 같이 추가로 구성될 수 있다. 또한, 시스템(100)은 본 명세서에서 기술된 방법의 실시예(들) 중 임의의 다른 단계(들)을 수행하도록 구성될 수 있다.Embodiments of the system 100 shown in Figs. 1A-1E may be further configured as described herein. In addition, the system 100 may be configured to perform any other step (s) of the embodiment (s) of the method described herein.

도 2는 본 발명의 하나 이상의 실시예에 따라 다수의 유연적 희소 샘플링 플랜들을 이용한 공정 제어의 방법(200)에서 수행되는 단계들을 예시하는 흐름도이다.2 is a flow chart illustrating steps performed in a method 200 of process control using a plurality of flexible sparse sampling plans in accordance with one or more embodiments of the present invention.

단계 202에서, 다수의 유연적 희소 샘플링 플랜들이 생성된다. 유연적 희소 샘플링 플랜들의 이용은 계측 서브 시스템(102)으로부터의 정확도/독립적인 메트릭 정보 수집에 기초한 샘플링의 최적화(또는 적어도 개량)를 허용한다. 계측 서브 시스템(102)은 독립형 계측 툴, 통합된 계측 툴(예컨대, 산란측정 또는 이미징 기반 계측 툴) 또는 이들의 조합일 수 있다. 이 접근 방법의 정확도/독립적인 메트릭 정보 기반 최적화는 타겟들의 전체 세트 또는 충분한 세트를 나타내는 측정된 타겟들의 서브 세트를 선택함으로써 샘플링 크기 및 계측 측정 지속기간을 단축하는 역할을 한다. 정확도 메리트 값과 같은 독립적인 메트릭 정보를 기반으로 유연적 희소 샘플링 플랜들을 생성하는 방법은 본원 명세서에서 추가로 상세히 설명한다.In step 202, a number of flexible sparse sampling plans are created. The use of flexible sparse sampling plans allows optimization (or at least improvement) of sampling based on acquisition of accuracy / independent metric information from metrology subsystem 102. The metrology subsystem 102 may be a stand-alone metrology tool, an integrated metrology tool (e.g., a scatterometry or imaging-based metrology tool), or a combination thereof. The accuracy / independent metric information based optimization of this approach serves to shorten the sampling size and metrology measurement duration by selecting a subset of measured targets representing a full set or a sufficient set of targets. Methods for generating flexible sparse sampling plans based on independent metric information, such as accuracy merit values, are described in further detail herein.

단계 204에서, 다수의 유연적 샘플링 플랜의 위치에서 하나 이상의 웨이퍼에 대해 계측 측정이 수행된다. 단계 206에서, 다수의 유연적 샘플링 플랜의 위치에서 수행된 계측 측정으로부터의 결과들을 결합함으로써 계측 측정치의 가상 밀집 맵이 형성된다. 본 명세서의 유연적 샘플 플랜의 적용은 단계 206에서 생성된 가상 밀집 맵으로부터 필드별 정정치의 생성을 허용한다. 이러한 접근법은 주기적인 밀집 맵 측정을 필요로 하지 않는다.At 204, metrology measurements are performed on one or more wafers at the locations of the plurality of flexible sampling plans. At step 206, a virtual compact map of the metrology measurements is formed by combining the results from the metrology measurements performed at the locations of the multiple flexible sampling plans. The application of the flexible sample plan herein permits the creation of field-specific corrections from the virtual dense map generated in step 206. [ This approach does not require periodic dense map measurements.

또한, 단계 206의 가상 그리드 맵의 형성은 단지 다수의 유연적 샘플 플랜의 합성을 수반하지는 않는다. 오히려, 가상 밀집 맵의 형성은 먼저 제어기(104)를 통해서 각각의 유연적 샘플 웨이퍼로부터 그리드 시그너처를 제거하는 것을 포함한다. 그 다음, 제어기(104)에 의해 실행되는 하나 이상의 알고리즘은 각각의 필드에 대해 인접한 필드의 가중된 결합을 적용함으로서 노이즈를 필터링할 수 있다. 방법(200)의 노이즈 필터링 기능은 웨이퍼간 변동 및 로트 간 변동이 증가함에 따라 특히 유용하게 된다. 이러한 접근법을 사용함으로써, 웨이퍼(112)에 대한 구역 변동이 더 정확하게 포착될 수 있고, 필드별 정정치가 가상 밀집 맵을 사용하여 계산될 수 있다.Furthermore, the formation of the virtual grid map in step 206 does not involve merely composing a plurality of flexible sample plans. Rather, the formation of the virtual dense map involves first removing the grid signatures from each flexible sample wafer through the controller 104. One or more algorithms executed by the controller 104 may then filter the noise by applying a weighted combination of adjacent fields for each field. The noise filtering function of method 200 is particularly useful as inter-wafer variations and lot-to-lot variations increase. By using this approach, zone variations for the wafer 112 can be captured more accurately, and field-specific settings can be calculated using a virtual dense map.

단계 208에서, 공정 툴 정정 가능 인자가 계측 측정치의 가상 밀집 맵에 기초하여 계산된다. 예를 들어, 가상 밀집 샘플 맵의 위치와 관련된 다양한 계측 신호들을 포함하는 가상 밀집 샘플 맵의 형성시에, 제어기(104)는 가상 밀집 샘플 맵에 기초하여 하나 이상의 정정 가능 인자를 계산할 수 있다. 정정 가능 인자는 공정 툴 정정 분야에서 공지된 임의의 공지된 정정 가능 인자 계산 절차를 이용하여 계산될 수 있다. 추가의 단계에서, 공정 툴 정정 가능 인자는 하나 이상의 공정 툴(105)을 조정하는데 사용된다. 예를 들어, 도 1a에 도시된 바와 같이, 일단 공정 툴 정정 가능 인자가 제어기(104)로 계산되면, 제어기(104)는 공정 툴(105)(예를 들어, 스캐너)의 하나 이상의 동작 파라미터를 조정할 수 있다. 공정 툴 정정 가능 인자의 계산 및 공정 툴 정정 가능 인자의 계산에서의 오버레이 기능의 사용은 2011년 1월 25일자로 발행된 미국 특허 제7,876,438호에 기술되어 있으며, 이들은 그 전체가 본원 명세서에 참고로 통합되어 있다. 반도체 계측 시스템의 맥락에서 사용되는 모델링의 예들은 일반적으로 미국 특허 제6,704,661호; 미국 특허 제 6,768,967호; 미국 특허 제6,867,866호; 미국 특허 제6,898,596호; 미국 특허 제6,919,964호; 미국 특허 제7,069,153호; 미국 특허 제7,145,664호; 미국 특허 제7,873,585호; 및 미국 특허 출원 제12/486,830호에 개시되어 있으며, 이들 모두는 그 전체가 본원 명세서에 참고로 통합되어 있다.In step 208, a process tool correctable factor is calculated based on a virtual dense map of metrology measurements. For example, in the formation of a virtual dense sample map that includes various metrology signals related to the location of the virtual dense sample map, the controller 104 may calculate one or more correctable factors based on the virtual dense sample map. The correctable factor may be calculated using any known correctable factor calculation procedure known in the art of process tool correction. In a further step, the process tool correctable factor is used to adjust one or more of the process tools 105. 1A, once the process tool correctable factor is calculated by the controller 104, the controller 104 may determine one or more operating parameters of the process tool 105 (e.g., a scanner) Can be adjusted. Calculation of process tool correctable factors and use of the overlay function in the calculation of process tool correctable factors is described in U.S. Patent No. 7,876,438, issued January 25, 2011, which is incorporated herein by reference in its entirety Integrated. Examples of modeling used in the context of semiconductor metrology systems are generally described in U.S. Patent Nos. 6,704,661; U.S. Patent No. 6,768,967; U.S. Patent No. 6,867,866; U.S. Patent No. 6,898,596; U.S. Patent No. 6,919,964; U.S. Patent No. 7,069,153; U.S. Patent No. 7,145,664; U.S. Patent No. 7,873,585; And U. S. Patent Application No. 12 / 486,830, all of which are incorporated herein by reference in their entirety.

도 3a는 본 발명의 하나의 실시예에 따라서, 유연적 희소 계측 샘플링 플랜을 생성하는 방법(300)에서 수행되는 단계들을 예시하는 흐름도이다. 본 명세서에서 이 방법(300)의 단계들이 시스템(100)에 의해 전체 또는 부분적으로 구현될 수 있음에 주목해야 한다. 그러나, 방법(300)은 추가의 또는 대안적인 시스템 레벨 실시예들이 방법(300)의 단계들의 전부 또는 일부를 수행할 수 있다는 점에서 시스템(100)으로 제한되지 않는다는 점이 추가로 인식되고 있다. 또한, 본 명세서에서 전술한 방법(200)과 관련된 단계들 및 실시예들은 방법(300)으로 확장되도록 해석될 수 있음에 주목해야 한다. 이와 관련하여, 방법(200) 및 방법(300)의 단계들은 임의의 적절한 방식으로 결합될 수 있다.FIG. 3A is a flow chart illustrating steps performed in a method 300 for generating a flexible rare-instrumentation sampling plan, in accordance with one embodiment of the present invention. It should be noted that the steps of the method 300 herein may be implemented in whole or in part by the system 100. However, it is additionally recognized that the method 300 is not limited to the system 100 in that additional or alternative system-level embodiments may perform all or part of the steps of the method 300. It should also be noted that the steps and embodiments associated with the method 200 described hereinabove may be interpreted to extend to the method 300. [ In this regard, the steps of method 200 and method 300 may be combined in any suitable manner.

단계 302에서는, 하나 이상의 웨이퍼(112)로부터의 계측 신호들의 전체 세트가 획득된다. 예를 들어, 도 1a에 도시된 바와 같이, 계측 서브 시스템(102)은 하나 이상의 웨이퍼(112)로부터의 하나 이상의 계측 측정값을 획득하고 그 측정값을 제어기(104)로 전송한다. 예를 들어, 계측 서브 시스템(102)은 많은 웨이퍼들 중 대표적인 웨이퍼(112) 세트로부터 계측 신호들의 전체 또는 충분한 세트를 수집할 수 있다. 단계 302의 전체 샘플링은 단일 웨이퍼를 측정하는 것에 국한되지 않고, 상이한 웨이퍼들로부터 서브 샘플링으로 구성될 수 있음에 주목해야 한다.At step 302, a complete set of measurement signals from one or more wafers 112 is acquired. 1A, the metrology subsystem 102 acquires one or more metrology measurements from one or more wafers 112 and transmits the metrology measurements to the controller 104. The metrology subsystem 102, as shown in FIG. For example, the metrology subsystem 102 may collect a full or sufficient set of metrology signals from a representative set of wafers 112 of many wafers. It should be noted that the full sampling of step 302 is not limited to measuring a single wafer, but may be comprised of subsampling from different wafers.

하나의 실시예에서, 계측 서브 시스템(102)은 하나 이상의 타겟(111)의 하나 이상의 이미지를 수집하도록 구성된 이미징 기반 계측 툴(도 1d 참조)을 포함할 수 있다. 다른 실시예에서, 계측 서브 시스템(102)은 웨이퍼(112)로부터 산란되거나 반사된(그렇지 않으면 발산하는) 광을 수집하도록 구성된 산란측정 기반 계측 툴(도 1e 참조)을 포함할 수 있다. 예를 들어, 계측 서브 시스템(102)에 의해 수집된 계측 신호들은 계측 서브 시스템(102)을 통해 산란측정 오버레이(SCOL) 타겟들 및/또는 다층 SCOL 타겟들로부터 수집된 하나 이상의 산란측정 기반 동공 이미지들을 포함할 수 있다. 다른 예로서, 계측 서브 시스템(102)에 의해 수집된 계측 신호들은 계측 서브 시스템(102)을 통해 이미지 기반 오버레이(IBO) 타겟들 및/또는 다층 IBO 타겟들로부터 수집된 하나 이상의 콘트라스트 기반 필드 이미지를 포함할 수 있다.In one embodiment, the metrology subsystem 102 may include an imaging-based metrology tool (see FIG. 1d) configured to acquire one or more images of one or more targets 111. In another embodiment, the metrology subsystem 102 may include a scatterometry-based metrology tool (see FIG. 1e) configured to collect light scattered or reflected (otherwise diverging) from the wafer 112. For example, the metrology signals collected by the metrology subsystem 102 may include one or more scatterometry-based pupil images collected from scatterometry overlay (SCOL) targets and / or multilayer SCOL targets via the metrology subsystem 102 Lt; / RTI > As another example, the metrology signals collected by the metrology subsystem 102 may include one or more contrast-based field images collected from image-based overlay (IBO) targets and / or multilayer IBO targets via metrology subsystem 102 .

단계 302에서 획득된 계측 신호들은 웨이퍼(112) 상의 임의의 수의 위치로부터 획득될 수 있다. 예를 들어, 계측 신호들은 웨이퍼(112)의 임의의 타겟들(111)로부터 수집될 수 있다. 하나의 실시예에서, 계측 신호들은 유사한 타겟들의 세트로부터 수집될 수 있다. 다른 실시예에서, 계측 신호들은 상이한 유형의 타겟들로부터 수집될 수 있다. 예를 들어, 계측 신호들의 일부는 제1 유형의 오버레이 계측 타겟으로부터 수집되는 반면에, 계측 신호들의 제2 부분은 제2 유형의 오버레이 계측 타겟으로부터 수집되는 식으로 이루어질 수 있다. 또 다른 예로서, 계측 신호들의 일부는 오버레이 계측 타겟으로부터 수집될 수 있는 반면에, 계측 신호들의 제2 부분은 광학 CD 및/또는 포커스/도즈 타겟들로부터 수집될 수 있다.The measurement signals obtained in step 302 may be obtained from any number of locations on the wafer 112. For example, the measurement signals may be collected from any of the targets 111 of the wafer 112. In one embodiment, the metrology signals may be collected from a set of similar targets. In another embodiment, the metrology signals may be collected from different types of targets. For example, some of the metrology signals may be collected from an overlay metrology target of a first type, while a second portion of metrology signals may be collected from an overlay metrology target of a second type. As another example, some of the metrology signals may be collected from the overlay metrology target, while a second portion of the metrology signals may be collected from the optical CD and / or focus / dose targets.

용어 "계측 신호들의 전체 세트" 및 "계측 신호들의 충분한 세트"는 본 명세서에서 상호 교환적으로 사용되며, 하나 이상의 계측 신호의 추가가 공정 제어 또는 트랙킹을 향상시키지 않는 신호 획득의 레벨을 설명하도록 해석되는 점에 주목해야 한다.The term "a full set of measurement signals" and "a sufficient set of measurement signals" are used interchangeably herein and are interpreted such that the addition of one or more measurement signals describes the level of signal acquisition that does not improve process control or tracking It should be noted.

단계 304에서는, 웨이퍼 특성들의 세트가 결정되고, 웨이퍼 특성들의 세트와 관련된 웨이퍼 특성 메트릭이 계산된다. 예를 들어, 계측 서브 시스템(102)으로부터 계측 신호들의 전체 세트를 수신 한 후에 제어기(104)는 계측 신호들의 전체 세트로부터 웨이퍼 특성들의 세트를 결정할 수 있다. 이어서, 제어기(104)는 웨이퍼 특성들의 세트와 관련된 하나 이상의 웨이퍼 특성 메트릭을 계산할 수 있다. 예를 들어, 제어기(104)는 계측 신호들의 전체 세트의 각 위치에 대응하는 오버레이 값들의 세트를 결정할 수 있다. 이어서, 제어기(104)는 오버레이 값들의 세트와 관련된 하나 이상의 메트릭을 계산할 수 있다. 예를 들어, 제어기(104)는 전체 샘플 플랜으로 획득된 오버레이 값들의 분포와 관련된 하나 이상의 통계 메트릭을 결정할 수 있다. 하나 이상의 통계적 메트릭은 당업계에 공지된 임의의 통계 메트릭을 포함할 수 있다. 예를 들어, 제어기(104)는 전체 샘플 플랜으로 획득된 오버레이 값 분포와 연관된 평균, 표준 편차(σ) 또는 표준 편차 배수(예를 들어, 3σ) 등을 계산할 수 있다.In step 304, a set of wafer characteristics is determined and a wafer characteristic metric associated with the set of wafer characteristics is calculated. For example, after receiving the entire set of measurement signals from the measurement subsystem 102, the controller 104 may determine a set of wafer characteristics from the entire set of measurement signals. The controller 104 may then calculate one or more wafer property metrics associated with the set of wafer properties. For example, the controller 104 may determine a set of overlay values corresponding to each position of the entire set of measurement signals. The controller 104 may then calculate one or more metrics associated with the set of overlay values. For example, the controller 104 may determine one or more statistical metrics associated with the distribution of overlay values obtained with the entire sample plan. The one or more statistical metrics may comprise any statistical metric known in the art. For example, the controller 104 may calculate an average, a standard deviation (?) Or a standard deviation multiple (e.g., 3?) Associated with an overlay value distribution obtained with the entire sample plan.

또 다른 예로서, 제어기(104)는 이전 층으로부터의 계측 신호들의 전체 세트의 위치에서 타겟들에 대응하는 SWA 값들의 세트를 결정할 수 있다. 이어서, 제어기(104)는 SWA 값들의 세트와 관련된 하나 이상의 메트릭을 계산할 수 있다. 예를 들어, 제어기(104)는 전체 샘플 플랜으로 획득된 SWA 값들의 분포와 관련된 하나 이상의 통계 메트릭을 결정할 수 있다. 예를 들어, 제어기(104)는 전체 샘플 플랜으로 획득된 SWA 값 분포와 관련된 평균, 표준 편차(σ) 또는 표준 편차 배수(예를 들어, 3σ) 등을 계산할 수 있다. 본 발명의 범위는 위에서 제공된 예들로 제한되지 않는다는 점에 주목해야 한다. 본 명세서는 당업계에 공지된 임의의 웨이퍼 특성(예를 들어, CD 값) 및 당업계에 공지된 임의의 웨이퍼 특성 메트릭(예를 들어, 통계 메트릭)으로 확장될 수 있음을 본 명세서에서 인식된다.As another example, the controller 104 may determine a set of SWA values corresponding to targets at the location of the entire set of metrology signals from the previous layer. The controller 104 may then calculate one or more metrics associated with the set of SWA values. For example, the controller 104 may determine one or more statistical metrics associated with the distribution of SWA values obtained with the entire sample plan. For example, the controller 104 may calculate an average, a standard deviation (?) Or a standard deviation multiple (e.g., 3?) Associated with the SWA value distribution obtained with the entire sample plan. It should be noted that the scope of the present invention is not limited to the examples provided above. It is recognized herein that the present specification can be extended to any wafer property (e.g., CD value) known in the art and any wafer property metric (e.g., statistical metric) known in the art .

단계 306에서, 하나 이상의 독립적인 특성화 메트릭이 계산된다. 본 발명의 목적을 위해, 용어 "독립적인 특성화 메트릭"은 단계 304에서 계산된 제어를 위해 선택된 웨이퍼 특성(예를 들어, 오버레이, SWA, CD 등)과 독립적인 특성화 메트릭을 의미하는 것으로 해석되지만, 주어진 웨이퍼 특성에 대한 추가의 정보를 제공한다. 예를 들어, 하나 이상의 독립적인 특성화 메트릭은 하나 이상의 정확도 메리트를 포함할 수 있다. 예를 들어, 하나 이상의 정확도 메리트는 오버레이 타겟 정확도 플래그와 같은 오버레이 타겟 정확도 메트릭을 포함할 수 있지만, 이들로 한정되는 것은 아니다. 예를 들어, 그러한 하나의 오버레이 타겟 정확도 플래그는 동공 3σ 정확도 플래그이다. 동공 3σ 플래그는 동공 이미지를 측정하고 동공 내의 모든 픽셀에 대해 3σ를 계산함으로써 유도된다. 동공 3σ 플래그는 타겟 품질 및 아크(arcs)와 같은 기타 정확도 관련 문제를 나타낸다. 오버레이와 동공 3σ 정확도 플래그 사이의 관계는 "Root cause analysis of overlay metrology excursions with scatterometry overlay technology(SCOL), Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography(March 24, 2016)"에서 Gutjahr et al.에 의해 기술되어 있다.At step 306, one or more independent characterization metrics are calculated. For purposes of the present invention, the term "independent characterization metric" is interpreted to mean a characterization metric that is independent of the wafer characteristics (e.g., overlay, SWA, CD, etc.) Provides additional information on the given wafer characteristics. For example, the one or more independent characterization metrics may include one or more accuracy benefits. For example, the one or more accuracy benefits may include, but are not limited to, an overlay target accuracy metric such as an overlay target accuracy flag. For example, one such overlay target accuracy flag is a pupil 3σ accuracy flag. The pupil 3σ flag is derived by measuring the pupil image and calculating 3σ for all pixels in the pupil. The pupil 3? Flag indicates target quality and other accuracy related issues such as arcs. The relationship between the overlay and the pupil 3σ accuracy flag is described in Gutjahr et al., " Root cause analysis of overlay metrology excursions with scatterometry overlay technology (SCOL) , Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography al. < / RTI >

본 발명의 개시의 범위는 전술한 바와 같이 오버레이 타겟 정확도 플래그에 한정되지 않는다는 점을 알아야 한다. 단계 306의 하나 이상의 독립적인 특성화 메트릭은 공정 시그너처 메트릭(예컨대, PSQ), 패터닝된 웨이퍼 형상 메트릭(예컨대, PWG), 오버레이 타겟 비대칭 메트릭(예컨대, Qmerit) 및 오버레이 타겟 정확도 메트릭(예컨대, 오버레이 타겟 정확도 플래그)(이들로 한정되지 않음)과 같이, 웨이퍼의 기술 분야에서 알려진 임의의 특성화 메트릭 또는 정확도 메리트로 확장될 수 있다. 이러한 메트릭들은 오버레이 시그너처 변경, 웨이퍼 상의 문제 영역, 진단 목적을 위해 보다 조밀하게 측정할 위치 및 측정 신뢰도가 낮아서 피할 수 있는 위치 등을 식별하는데 사용될 수 있다. 오버레이 타겟 비대칭을 측정하기 위한 품질 메트릭(즉, Qmerit)은 2012년 5월 7일자로 출원된 미국 특허 출원 제13/508,495호에 기술되어 있으며, 이들은 본원 명세서에 그 전체가 참고로 통합된다.It should be noted that the scope of the disclosure of the present invention is not limited to the overlay target accuracy flag as described above. The one or more independent characterization metrics of step 306 may include at least one of a process signature metric (e.g., PSQ), a patterned wafer shape metric (e.g., PWG), an overlay target asymmetric metric (e.g., Qmerit), and an overlay target accuracy metric Flags), such as, but not limited to, any feature metric or accuracy benefit known in the art of wafers. These metrics can be used to identify overlay signature changes, problem areas on the wafer, locations to more closely measure for diagnostic purposes, and locations that can be avoided due to poor measurement confidence. Quality metrics for measuring overlay target asymmetry (i. E. Qmerit) are described in U.S. Patent Application No. 13 / 508,495, filed May 7, 2012, which is incorporated herein by reference in its entirety.

단계 308에서, 하나 이상의 유연적 희소 샘플 플랜이 생성된다. 도 3b는 전체 샘플 플랜(310) 및 유연적 희소 샘플 플랜(320)의 개념도를 예시한다. 하나의 실시예에서, 하나 이상의 유연적 희소 샘플 플랜은 웨이퍼 특성들의 세트, 웨이퍼 특성 메트릭, 및/또는 하나 이상의 독립적인 특성화 메트릭에 기초하여 생성된다.At step 308, one or more flexible sparse sample plans are generated. FIG. 3B illustrates a conceptual diagram of an entire sample plan 310 and a flexible sparse sample plan 320. FIG. In one embodiment, the one or more flexible sparse sample plans are generated based on a set of wafer characteristics, a wafer characteristic metric, and / or one or more independent characteristic metrics.

하나의 실시예에서, 하나 이상의 유연적 희소 샘플 플랜은, 유연적 희소 샘플링 플랜으로 획득된 하나 이상의 웨이퍼 특성의 하나 이상의 독립적인 특성화 메트릭이 계측 신호들의 전체 세트로 획득된 하나 이상의 웨이퍼 특성의 하나 이상의 독립적인 특성화 메트릭과 동등하도록(선택된 허용 수준 내에 있도록) 생성된다.In one embodiment, the one or more flexible sparse sample plans may include one or more independent characterization metrics of one or more wafer characteristics obtained with a flexible sparse sampling plan, the one or more independent characterization metrics of one or more of the one or more wafer characteristics obtained with the full set of measurement signals (To be within the selected tolerance level) to be equivalent to an independent characterization metric.

하나의 실시예에서, 하나 이상의 유연적 희소 샘플 플랜은, 유연적 희소 샘플링 플랜으로 획득된 하나 이상의 웨이퍼의 특성의 하나 이상의 독립적인 특성화 메트릭 및 계측 신호들의 전체 세트로 획득된 하나 이상의 웨이퍼 특성의 하나 이상의 독립적인 특성화 메트릭이 서로에 대해 선택된 임계 값 내에 있으면 동등한 것으로 정의되도록 생성된다. 다른 실시예에서, 하나 이상의 유연적 희소 샘플 플랜은, 유연적 희소 샘플링 플랜으로 획득된 하나 이상의 웨이퍼의 특성의 하나 이상의 독립적인 특성화 메트릭 및 계측 신호들의 전체 세트로 획득된 하나 이상의 웨이퍼 특성의 하나 이상의 독립적인 특성화 메트릭이 서로의 통계 파라미터(예컨대, σ의 배수) 내에 있으면 동등한 것으로 정의되도록 생성된다.In one embodiment, the one or more flexible sparse sample plans include one or more independent characterization metrics of the characteristics of one or more wafers obtained with a flexible sparse sampling plan, and one or more of the one or more wafer characteristics obtained with the full set of measurement signals Are defined to be equivalent if the above independent characterization metrics are within a selected threshold value for each other. In another embodiment, the one or more flexible sparse sample plans may include one or more independent characterization metrics of the characteristics of one or more wafers obtained with a flexible sparse sampling plan and one or more independent characterization metrics of one or more of the one or more wafer characteristics obtained with the full set of measurement signals If the independent characterization metric is within a statistical parameter of each other (e.g., a multiple of sigma).

하나의 실시예에서, 하나 이상의 유연적 희소 샘플 플랜은 모든 웨이퍼 특성들을 동시에 공동 최적화함으로써 생성된다. 예를 들어, 웨이퍼 특성들, 대응하는 정확도 메트릭, 타겟 레이아웃 및 신호 파라미터들(예를 들어, 세기, 감도 등)은 가장 정확한 결과 세트를 발견하도록 최적화될 수 있다. 다른 실시예에서, 적어도 하나의 웨이퍼 특성에 대해, 적어도 하나의 웨이퍼 특성 메트릭은 웨이퍼 특성들의 공동 최적화에 수반된다.In one embodiment, one or more flexible sparse sample plans are generated by simultaneously optimizing all wafer properties simultaneously. For example, wafer characteristics, corresponding accuracy metrics, target layout and signal parameters (e.g., intensity, sensitivity, etc.) can be optimized to find the most accurate result set. In another embodiment, for at least one wafer characteristic, the at least one wafer characteristic metric is accompanied by a joint optimization of wafer characteristics.

하나의 실시예에서, 웨이퍼 로트 내의 각각의 웨이퍼들 또는 각각의 연속된 로트가 다른 웨이퍼들과는 상이한 샘플 플랜을 사용하도록 다수의 유연적 희소 샘플링 플랜이 생성된다. 하나의 실시예에서, 유연적 희소 플랜들은 자신들이 하나 이상의 웨이퍼(112) 위에 균일하게 분포됨과 아울러 로컬 및 글로벌 테스트 밸런싱 기준(즉, 균형이 잡힌 테스트 반복)을 충족시키도록 생성된다. 오버레이 측정의 경우에, 이러한 특성들은 유연적 희소 샘플 플랜에 그리드 오버레이를 정확하게 모델링할 수 있는 기능을 제공하는 점에 주목해야 한다. 다른 실시예에서, 단계 308에서 생성된 유연적 희소 샘플 플랜들은 각각의 웨이퍼로부터 측정된 계측 신호로부터 그리드 노이즈를 필터링하기 위해서 사용될 수 있다. 그리드 오버레이는 노광 필드가 오정합되는 정도를 나타내는 점에 주목해야 한다.In one embodiment, a number of flexible sparse sampling plans are created such that each wafer in the wafer lot or each successive lot in the wafer lot uses a different sample plan than the other wafers. In one embodiment, the flexible sparse plans are generated so that they are uniformly distributed over one or more wafers 112 and meet local and global test balancing criteria (i.e., balanced test iterations). It should be noted that, in the case of overlay measurements, these properties provide the ability to accurately model the grid overlay in a flexible sparse sample plan. In another embodiment, the flexible sparse sample plans generated in step 308 can be used to filter grid noise from measurement signals measured from each wafer. It should be noted that the grid overlay represents the degree to which the exposure field is misaligned.

또한, 하나 이상의 유연적 희소 샘플 플랜(320)은 작은 샘플 크기에서 정확도와 견고성을 제공한다. 그 결과, 유연적 희소 샘플 플랜들(320)은 다음 로트에 대한 합성 필드별 정정치를 계산하기 전에 주어진 웨이퍼 로트 내의 각각의 웨이퍼를 측정하고 웨이퍼간 그리드 변동을 필터링하기 위하여 매우 작은 샘플 크기(예컨대, 웨이퍼 당 20개~50개 타겟들)에서 통합 계측 툴과 함께 사용할 수 있다. 유연적 희소 샘플 플랜들(320)은, 각각의 유연적 희소 샘플 플랜이 정적 샘플 플랜들과 동일한 밸런싱 기준을 충족하면서 나머지 샘플 플랜들과 특정 양의 오버랩을 갖도록 생성될 수 있다.In addition, one or more flexible sparse sample plans 320 provide accuracy and robustness at small sample sizes. As a result, flexible sparse sample plans 320 can be used to measure each wafer in a given wafer lot and calculate very small sample sizes (e. G., ≪ RTI ID = 0.0 > , 20 to 50 targets per wafer) with integrated metrology tools. The flexible sparse sample plans 320 can be generated such that each flexible sparse sample plan has a certain amount of overlap with the remaining sample plans while meeting the same balancing criteria as the static sample plans.

예를 들어, 사용자는 상이한 샘플 플랜들에 의해 측정된 총 타겟들을 최대화(또는 적어도 증가)시키기 위해 유연적 희소 샘플 플랜들(320) 사이의 오버랩을 최소화할 수 있다. 다른 예로서, 사용은 유연적 희소 샘플 플랜들(320) 사이의 일부 오버랩을 이용하여 서로 내의 다수의 웨이퍼들을 일관되게 비교할 수있다. 본 발명의 유연적 샘플링 접근법은 또한 공정 시그너처 메트릭(예를 들어, PSQ), 패터닝된 웨이퍼 형상 메트릭(예를 들어, PWG), 오버레이 타겟 비대칭 메트릭(예를 들어, Qmerit) 및 오버레이 타겟 정확도 메트릭(예를 들어, 오버레이 타겟 정확도 플래그)(이들로 한정되지는 않음)과 같은 독립적인 특성화 메트릭에 기반한 유연적 희소 샘플 플랜들(320)에 대한 런타임 업데이트를 포함한다.For example, the user can minimize the overlap between the flexible sparse sample plans 320 to maximize (or at least increase) the total targets measured by the different sample plans. As another example, use may consistently compare a plurality of wafers within each other using some overlap between the flexible sparse sample plans 320. The flexible sampling approach of the present invention may also be applied to other types of metrics such as process signature metrics (e.g., PSQ), patterned wafer shape metrics (e.g., PWG), overlay target asymmetric metrics (e.g. Qmerit), and overlay target accuracy metrics And runtime updates to flexible sparse sample plans 320 based on independent characterization metrics such as, for example, but not limited to, overlay target accuracy flags.

도 4는 본 발명의 일 실시예에 따라 유연적 희소 계측 샘플링 플랜을 생성하는 방법(400)에서 수행되는 단계들을 예시하는 흐름도이다. 본 명세서에서 방법(400)의 단계들은 시스템(100)에 의해 전부 또는 부분적으로 구현될 수 있음에 주목해야 한다. 그러나, 방법(400)은 추가의 또는 대안적인 시스템 레벨 실시예들이 방법(400)의 단계들의 전부 또는 일부를 수행할 수 있다는 점에서 시스템(100)에 한정되지 않는 점이 추가로 인식된다. 또한, 본 명세서에서 이전에 설명된 방법(200, 300)과 관련된 단계들 및 실시예들은 방법(400)으로 확장되는 것으로 해석되는 점에 주목해야 한다. 이와 관련하여, 방법(200, 300, 400)의 단계들은 임의의 적절한 방식으로 결합될 수 있다.4 is a flow chart illustrating steps performed in a method 400 of generating a flexible rare-instrumentation sampling plan in accordance with an embodiment of the present invention. It should be noted that the steps of the method 400 herein may be implemented in whole or in part by the system 100. However, it is additionally recognized that the method 400 is not limited to the system 100 in that additional or alternative system level embodiments may perform all or part of the steps of the method 400. It should also be noted that the steps and embodiments associated with the method 200, 300 previously described herein are interpreted as extending to the method 400. In this regard, the steps of the method 200, 300, 400 may be combined in any suitable manner.

단계 402에서는, 하나 이상의 웨이퍼(112)로부터 계측 신호들의 전체 세트가 획득된다. 단계 404에서는, 웨이퍼 특성들의 세트를 결정하고, 웨이퍼 특성들의 세트와 관련된 정확도 메리트의 세트를 계산한다. 단계 406에서는, 웨이퍼 특성들의 세트에 대한 정확도 메리트들의 세트 각각과 관련된 통계 메트릭을 계산한다. 단계 408에서는, 정확도 메리트들의 세트 각각과 관련된 통계 메트릭에 기초한 유연적 희소 샘플링 플랜을 생성한다.In step 402, a full set of measurement signals is acquired from one or more wafers 112. In step 404, a set of wafer properties is determined and a set of accuracy merits associated with the set of wafer properties is calculated. In step 406, a statistics metric is calculated that is associated with each of the set of accuracy merits for the set of wafer properties. In step 408, a flexible sparse sampling plan is generated based on a statistical metric associated with each of the set of accuracy merits.

계산된 정확도 메리트는 다음과 같은 식으로 표현될 수 있다:The calculated accuracy merit can be expressed as:

Figure pct00001
Figure pct00001

여기서, OVL_A는 오버레이와 관련된 정확도 메리트를 나타내고, m은 정확도 메리트의 유형을 나타내며, i, j는 웨이퍼 상의 타겟 위치를 나타낸다. 전술한 설명은 오버레이에 한정되지 않고, 하나 이상의 CD 파라미터(예를 들어, SWA)(이들로 한정되지는 않음)과 같은 임의의 유형의 웨이퍼 특성으로 확장될 수 있음을 알아야 한다. 본 명세서에서 이전에 설명된 바와 같이, 정확도 메리트의 유형들은 공정 시그너처 메트릭(예를 들어, PSQ), 패터닝된 웨이퍼 형상 메트릭(예를 들어, PWG), 오버레이 타겟 비대칭 메트릭(예를 들어, Qmerit) 및 오버레이 타겟 정확도 메트릭(예를 들어, 오버레이 타겟 정확도 플래그)을 포함할 수 있지만, 이들로 한정되지는 않는다.Where OVL_A represents the accuracy merit associated with the overlay, m represents the type of accuracy merit, and i, j represents the target location on the wafer. It should be noted that the above description is not limited to overlays and may be extended to any type of wafer characteristic, such as, but not limited to, one or more CD parameters (e.g., SWA). As previously described herein, the types of accuracy merit include a process signature metric (e.g., PSQ), a patterned wafer shape metric (e.g., PWG), an overlay target asymmetric metric (e.g., Qmerit) And an overlay target accuracy metric (e.g., an overlay target accuracy flag).

웨이퍼 특성들의 세트에 대한 정확도 메리트들의 세트 각각과 관련된 통계 메트릭은 당업계에 공지된 임의의 통계 메트릭을 포함할 수 있다. 예를 들어, 단계 406에서 계산된 통계 메트릭은 웨이퍼 특성 분포의 평균값(예를 들면, 정규 분포), 표준 편차 등을 포함할 수 있지만, 이들로 한정되지는 않는다.The statistical metrics associated with each of the sets of accuracy merits for a set of wafer characteristics may include any statistical metric known in the art. For example, the statistical metric calculated in step 406 may include, but is not limited to, an average value (e.g., normal distribution), a standard deviation, and the like of a wafer characteristic distribution.

하나의 실시예에서, 단계 408의 유연적 희소 샘플링 플랜은 통계적으로 정의된 임계값 아래의 정확도 메리트 값을 표시하는 타겟 위치를 전체 샘플링 플랜 내에서 식별함으로써 생성된다. 예를 들어, 각각의 정확도 메리트 유형에 대해, 통계적으로 정의된 임계값은 정확도 메리트의 평균값 이상의 σ의 배수를 포함할 수 있다. 예를 들어, 통계적으로 정의된 임계값은 다음의 식을 포함할 수 있다:In one embodiment, the flexible sparse sampling plan of step 408 is generated by identifying within the overall sampling plan a target location indicative of an accuracy merit value below a statistically defined threshold. For example, for each accuracy merit type, the statistically defined threshold may include a multiple of σ greater than or equal to the average value of the accuracy merit. For example, a statistically defined threshold may include the following equation:

Figure pct00002
Figure pct00002

전술한 통계적으로 정의된 임계값에서, 유연적 희소 샘플 플랜은 위에서 제공된 합계 아래의 정확도 메리트를 갖는 타겟 위치로 구성될 것이다.At the statistically defined threshold values described above, the flexible sparse sample plan will consist of a target location with the accuracy merit below the sum provided above.

다른 실시예에서, 웨이퍼의 선택된 영역들이 선택적으로 타겟화될 수 있다. 하나의 실시예에서, 단계 404의 하나 이상의 통계 메트릭의 계산은 하나 이상의 웨이퍼의 중심 또는 하나 이상의 웨이퍼의 에지들 중 적어도 하나에 대한 웨이퍼 특성들의 세트에 대한 정확도 메리트의 세트 각각과 관련된 하나 이상의 통계 메트릭을 계산하는 것을 포함할 수 있다. 이어서, 하나 이상의 웨이퍼의 중심 및/또는 에지들로부터 획득된 정확도 메리트와 관련된 하나 이상의 통계 메트릭을 사용하여 통계적으로 정의된 임계값을 정확도 메리트들의 세트에 적용할 수 있다.In another embodiment, selected areas of the wafer may be selectively targeted. In one embodiment, the calculation of the one or more statistical metrics of step 404 includes one or more statistical metrics associated with each of a set of accuracy merits for a set of wafer properties for at least one of a center of one or more wafers, Lt; / RTI > A statistically defined threshold may then be applied to the set of accuracy merits using one or more statistical metrics associated with the accuracy merits obtained from the centers and / or edges of one or more wafers.

대체 실시예에서, 유연적 희소 샘플링 플랜은 선택된 임계값 레벨을 사용하여 생성될 수 있다. 이와 관련하여, 단계 406 없이 방법(400)을 실행할 수 있다. 예를 들어, 각각의 정확도 유형에 대해 선택된 임계값 미만의 정확도 메리트 값들을 표시하는 타겟 위치들을 전체 샘플링 플랜 내에서 식별함으로써 유연적 희소 샘플링 플랜을 생성할 수 있다.In an alternative embodiment, the flexible sparse sampling plan may be generated using a selected threshold level. In this regard, method 400 may be executed without step 406. [ For example, a flexible sparse sampling plan can be generated by identifying, within the overall sampling plan, target locations that represent accuracy merit values below a selected threshold for each accuracy type.

본 명세서에 설명된 모든 방법들은 방법 실시예들 중 하나 이상의 단계의 결과치들을 저장 매체에 저장하는 단계를 포함할 수 있다. 결과치들은 본 명세서에 설명된 임의의 결과치들을 포함할 수 있고 당업계에 공지된 임의의 방식으로 저장될 수 있다. 저장 매체는 본 명세서에 설명된 임의의 저장 매체 또는 당업계에 공지된 임의의 다른 적절한 저장 매체를 포함할 수 있다. 결과치들이 저장된 후에, 결과치들은 저장 매체에서 액세스될 수 있고, 본 명세서에 설명된 임의의 방법 또는 시스템 실시예들에 의해 사용될 수 있으며, 사용자에게 표시하기 위해 포맷될 수 있고, 다른 소프트웨어 모듈, 방법 또는 시스템 등에 의해 사용될 수 있다. 더욱이, 결과치들은 "영구적으로", "반영구적으로", "임시적으로" 또는 일정 기간 동안 저장될 수 있다. 예를 들어, 저장 매체는 랜덤 액세스 메모리(RAM)일 수 있고, 결과치들은 반드시 저장 매체에서 무기한으로 존속될 필요는 없다.All of the methods described herein may include storing results of one or more of the method embodiments on a storage medium. The results may include any results described herein and may be stored in any manner known in the art. The storage medium may comprise any of the storage media described herein or any other suitable storage medium known in the art. After the results are stored, the results can be accessed in a storage medium, used by any method or system embodiment described herein, formatted for display to a user, System or the like. Moreover, the results may be stored "permanently", "semi-permanently", "temporarily" or for a period of time. For example, the storage medium may be random access memory (RAM), and the results do not necessarily have to last indefinitely in the storage medium.

본 명세서에 설명된 본 발명의 요지의 특정 양태들이 도시 및 설명되었지만, 본 명세서의 교시에 기초하여, 본 명세서에 설명된 요지 및 그보다 넓은 양태들로부터 벗어나지 않으면서 변경들 및 수정들이 이루어질 수 있다는 것은 당업자에게는 명백할 것이고, 그에 따라서, 첨부된 특허 청구 범위는 본 명세서에서 설명된 요지의 진정한 정신 및 범위 내에 있는 그러한 모든 변경들 및 수정들을 그들 범위 내에 포함한다.Although specific embodiments of the inventive subject matter described herein have been shown and described, it will be appreciated that, based on the teachings herein, changes and modifications may be made without departing from the spirit of the disclosure and the broader aspects set forth herein It will be apparent to those skilled in the art that the appended claims are to encompass within their scope all such changes and modifications as are within the true spirit and scope of the subject matter described herein.

더욱이, 본 발명은 첨부된 특허 청구 범위에 의해 정의되는 것으로 이해되어야 한다. 일반적으로, 본 명세서에서 사용된 용어, 및 특히 첨부된 특허 청구 범위(예를 들어, 첨부된 특허 청구 범위의 주요부)는 일반적으로 "개방형" 용어로 의도된다는 것을 당업자는 이해할 수 있을 것이다(예를 들어, "포함하는"이라는 용어는 "포함하지만 이들로 한정되지 않는다"로 해석되어야 하고, "갖는다"은 "적어도 갖는다"로 해석되어야 하며, "구비하는"이라는 용어는 "구비하지만 이들로 한정되지 않는다" 등으로 해석되어야 한다). 특정 수의 도입된 특허 청구 범위의 기재가 의도된다면, 그러한 의도는 특허 청구 범위에서 명시적으로 언급될 것이며, 그러한 기재가 없을 경우 그러한 의도는 존재하지 않는다는 것이 당업계의 당업자에 의해 추가로 명확히 이해될 수 있을 것이다. 예를 들어, 이해를 돕기 위해서, 다음의 첨부된 특허 청구 범위는 특허 청구 범위의 기재를 소개하기 위해서 "적어도 하나" 및 "하나 이상"이라는 도입 문구의 사용을 포함할 수 있다. 그러나, 그러한 문구의 사용은, 단수 표현에 의한 특허 청구 범위의 기재의 도입이 그런 도입된 특허 청구 범위의 기재를 포함하는 특정의 특허 청구 범위를 그러한 기재의 단지 하나만을 포함하는 발명으로 제한하는 것을 의미하는 것으로 해석되어서는 않되고, 이들에 대해서는 동일한 청구항이 "하나 이상" 또는 "적어도 하나"라는 도입 문구 및 단수 표현을 포함하는 경우(예컨대, 단수 표현은 일반적으로 "적어도 하나" 또는 "하나 이상"을 의미하도록 해석될 수 있다)에서 조차도 마찬가지이고, 특허 청구 범위의 기재 사항을 도입하는데 사용되는 명확한 조항들의 사용에 대해서도 마찬가지이다. 또한, 도입된 특허 청구 범위의 기재의 특정 번호가 명시적으로 인용되더라도 당업자는 그러한 기재가 전형적으로 적어도 인용된 번호를 의미하는 것으로 해석되어야 한다는 것을 인식할 것이다(예를 들어, 다른 변경이 없이도 "2 개의 기재"의 있는 그대로의 기재가 전형적으로 적어도 2 개의 기재 또는 2 개 이상의 기재를 의미한다). 더욱이, "A, B 및 C 중 적어도 하나"와 유사한 협약이 사용되는 경우, 일반적으로 그러한 구성은 당업자가 협약을 이해할 수 있다는 의미로 의도된다(예를 들어, "A, B 및 C 중 적어도 하나를 갖는 시스템"은 A 단독, B 단독, C 단독, A와 B 함께, A와 C 함께, B와 C 함께 및/또는 A, B 및 C를 함께 등을 갖는 시스템을 포함하지만, 이들로 한정되지 않는다). "A, B, 또는 C 등 중 적어도 하나"와 유사한 협약이 사용되는 경우, 일반적으로 그러한 구성은 당업자가 협약을 이해할 수 있다는 의미로 의도된다(예를 들어, "A, B 또는 C 중 적어도 하나를 갖는 시스템"은 A 단독, B 단독, C 단독, A와 B 함께, A와 C 함께, B와 C 함께 및/또는 A, B 및 C를 함께 등을 갖는 시스템을 포함하지만, 이들로 한정되지 않는다). 발명의 상세한 설명, 특허 청구 범위 또는 도면에서 2 개 이상의 대안적인 용어를 제시하는 사실상 임의의 분리성 단어 및/또는 문구는 용어들 중 하나, 용어들 중 어느 하나 또는 양쪽 용어들 모두를 포함할 가능성을 고려하도록 이해되어야 한다는 것이 당업계의 사람들에 의해 추가로 이해될 것이다. 예를 들어, "A 또는 B"라는 문구는 "A" 또는 "B" 또는 "A 및 B"의 가능성을 포함하는 것으로 이해될 것이다.Moreover, it is to be understood that the invention is defined by the appended claims. In general, those skilled in the art will understand that the terms used herein, and in particular the appended claims (for example, the subject matter of the appended claims), are generally intended to be "open" It is to be understood that the term " comprising " should be interpreted as "including but not limited to ", and" having " should be interpreted as having at least, Do "and so on). It is to be understood that the intention is to be clearly expressed in the appended claims and should not be construed as further undermining the understanding of those embodiments by those skilled in the art that such intent is not intended to be so, . For example, to facilitate understanding, the following appended claims are intended to cover the use of the phrases "at least one" and "one or more" The use of such phrases, however, is not intended to limit the scope of the appended claims to the scope of the appended claims, including the scope of such claims, Unless the same claim includes the introductory phrases " at least one "or" at least one "(e.g., the singular expressions generally denote" at least one " The same shall apply to the use of explicit provisions used to introduce the claims of the claims). In addition, even if a specific number of the description of the introduced claims is explicitly cited, those skilled in the art will recognize that such description is typically to be construed to mean at least a quoted number (for example, Two substrates "as used herein means typically at least two substrates or two or more substrates). Moreover, when an agreement similar to "at least one of A, B and C" is used, such a configuration is generally intended to mean that a person skilled in the art can understand the convention (for example, "at least one of A, B and C Includes, but is not limited to, systems having A alone, B alone, C alone, A and B together, A and C together, B and C together and / or A, B and C together ). Where an agreement similar to "at least one of A, B, or C" is used, such a convention is generally intended to mean that the person skilled in the art can understand the agreement (eg, "at least one of A, Includes, but is not limited to, systems having A alone, B alone, C alone, A and B together, A and C together, B and C together and / or A, B and C together ). Virtually any separable word and / or phrase that suggests two or more alternative terms in the description, the claims, or the figures of the invention may include any one of the terms, any one of the terms, or both terms As will be appreciated by those of ordinary skill in the art. For example, the phrase "A or B" will be understood to include the possibility of "A" or "B" or "A and B".

본 명세서에 개시된 설명 및 그에 수반된 다수의 메리트들은 전술한 설명에 의해 이해될 수 있고, 또한 개시된 내용으로부터 벗어나지 않거나 또는 모든 물질적 장점들을 희생하지 않으면서 구성 요소들의 형태, 구성 및 배치에 다양한 변경들이 이루어질 수 있음이 명백할 것이다. 설명된 형태는 단지 예시적인 것이며, 그러한 변경들을 둘러싸고 포함하는 것은 이하의 특허 청구 범위의 의도이다.It is to be understood that the description disclosed herein and many of the attendant advantages may be understood by the foregoing description, and that various changes in form, arrangement, and arrangement of components, without departing from the disclosure or sacrificing all of the physical advantages, It will be clear that The described modes are merely illustrative and it is the intent of the following claims to encompass such changes.

Claims (33)

계측(metrology) 시스템으로서,
하나 이상의 웨이퍼에 대해 하나 이상의 계측 측정을 수행하도록 구성된 계측 서브 시스템; 및
상기 계측 서브 시스템의 하나 이상의 부분에 통신 가능하게 결합된 제어기
를 포함하고,
상기 제어기는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하고,
상기 프로그램 명령어들은, 상기 하나 이상의 프로세서로 하여금,
상기 계측 서브 시스템으로부터 상기 하나 이상의 웨이퍼로부터의 계측 신호들의 전체 세트를 수신하게 하고,
상기 계측 신호들의 전체 세트에 기초하여 웨이퍼 특성들의 세트를 결정하고 상기 웨이퍼 특성들의 세트와 관련된 웨이퍼 특성 메트릭(metric)을 계산하게 하며,
상기 계측 신호들의 전체 세트에 기초하여 하나 이상의 독립적인 특성화 메트릭을 계산하게 하고,
상기 웨이퍼 특성들의 세트, 상기 웨이퍼 특성 메트릭, 및 상기 하나 이상의 독립적인 특성화 메트릭에 기초하여 유연적 희소 샘플 플랜(flexible sparse sample plan)을 생성하게 하도록 구성되고,
상기 유연적 희소 샘플링 플랜으로부터의 계측 신호들로 계산된 하나 이상의 특성의 하나 이상의 독립적인 특성화 메트릭은 상기 계측 신호들의 전체 세트로 계산된 하나 이상의 특성의 하나 이상의 독립적인 특성화 메트릭으로부터 선택된 임계값 내에 있는 것인 계측 시스템.
As a metrology system,
A metrology subsystem configured to perform one or more metrology measurements for one or more wafers; And
A controller communicatively coupled to one or more portions of the metrology subsystem
Lt; / RTI >
The controller comprising one or more processors configured to execute program instructions,
Wherein the program instructions cause the one or more processors to:
Receive a full set of measurement signals from the one or more wafers from the metrology subsystem,
Determine a set of wafer characteristics based on the entire set of measurement signals and calculate wafer characteristic metrics associated with the set of wafer characteristics,
Cause one or more independent characterization metrics to be calculated based on the entire set of measurement signals,
And to generate a flexible sparse sample plan based on the set of wafer characteristics, the wafer characteristic metric, and the one or more independent characterization metrics,
Wherein the at least one independent characterization metric of the at least one characteristic calculated with the measurement signals from the flexible sparse sampling plan is within a threshold selected from one or more independent characterization metrics of the at least one characteristic calculated with the full set of measurement signals Measurement system.
제1항에 있어서, 상기 제어기는 또한, 적어도 하나의 추가의 유연적 희소 샘플 플랜을 생성하도록 구성되는 것인 계측 시스템.2. The metrology system of claim 1, wherein the controller is further configured to generate at least one additional flexible sparse sample plan. 제2항에 있어서, 상기 제어기는, 상기 계측 서브 시스템으로 하여금, 상기 유연적 희소 샘플 플랜 및 적어도 상기 추가의 유연적 희소 샘플 플랜의 위치들에서 하나 이상의 계측 측정을 수행하게끔 지시하도록 구성되는 것인 계측 시스템.3. The system of claim 2, wherein the controller is configured to instruct the metrology subsystem to perform one or more metrology measurements at locations of the flexible sparse sample plan and at least the additional flexible sparse sample plan Measuring system. 제3항에 있어서, 상기 제어기는 또한, 상기 유연적 희소 샘플 플랜 및 적어도 상기 추가의 유연적 희소 샘플 플랜의 위치들에서 수행된 상기 하나 이상의 계측 측정으로부터의 결과들을 결합하여 가상 밀집 샘플 맵(virtual dense sample map)을 형성하도록 구성되는 것인 계측 시스템.4. The method of claim 3, wherein the controller is further configured to combine results from the one or more metrology measurements performed at locations of the flexible sparse sample plan and at least the additional flexible sparse sample plan to generate a virtual dense sample map dense sample map). 제4항에 있어서, 상기 제어기는 또한, 상기 가상 밀집 샘플 맵에 기초하여 하나 이상의 정정 가능 인자(correctable)를 계산하도록 구성되는 것인 계측 시스템.5. The system of claim 4, wherein the controller is further configured to calculate one or more correctable based on the virtual dense sample map. 제5항에 있어서, 상기 제어기는 또한, 상기 정정 가능 인자에 기초하여 하나 이상의 공정 툴을 조정하도록 구성되는 것인 계측 시스템.6. The metrology system of claim 5, wherein the controller is further configured to adjust one or more processing tools based on the correctable factor. 제1항에 있어서, 상기 계측 서브 시스템은 이미징 기반 계측 툴을 포함하는 것인 계측 시스템.2. The metrology system of claim 1, wherein the metrology subsystem comprises an imaging-based metrology tool. 제1항에 있어서, 상기 계측 서브 시스템은 산란측정 기반 계측 툴을 포함하는 것인 계측 시스템.2. The metrology system of claim 1, wherein the metrology subsystem comprises a scatterometry-based metrology tool. 제1항에 있어서, 상기 계측 서브 시스템은 통합 계측 툴을 포함하는 것인 계측 시스템.2. The metrology system of claim 1, wherein the metrology subsystem comprises an integrated metrology tool. 제1항에 있어서, 상기 제어기에 의해 결정된 웨이퍼 특성들의 세트는 오버레이 값들의 세트를 포함하는 것인 계측 시스템.2. The metrology system of claim 1, wherein the set of wafer characteristics determined by the controller includes a set of overlay values. 제1항에 있어서, 상기 제어기에 의해 결정된 웨이퍼 특성들의 세트는 측벽 각도 값들의 세트를 포함하는 것인 계측 시스템.The metrology system of claim 1, wherein the set of wafer characteristics determined by the controller includes a set of sidewall angular values. 제1항에 있어서, 상기 제어기에 의해 결정된 웨이퍼 특성들의 세트는 임계 치수값들의 세트를 포함하는 것인 계측 시스템.The metrology system of claim 1, wherein the set of wafer characteristics determined by the controller comprises a set of critical dimension values. 제1항에 있어서, 상기 제어기에 의해 계산된 웨이퍼 특성 메트릭은 통계 메트릭을 포함하는 것인 계측 시스템.2. The metrology system of claim 1, wherein the wafer characteristic metric calculated by the controller comprises a metric metric. 제13항에 있어서, 상기 통계 메트릭은 평균 또는 표준 편차 중 적어도 하나를 포함하는 것인 계측 시스템.14. The metrology system of claim 13, wherein the statistical metric comprises at least one of an average or a standard deviation. 제1항에 있어서, 상기 하나 이상의 독립적인 특성화 메트릭은 상기 웨이퍼 특성들의 세트와는 독립적인 것인 계측 시스템.2. The metrology system of claim 1, wherein the at least one independent characterization metric is independent of the set of wafer characteristics. 제1항에 있어서, 상기 하나 이상의 독립적인 특성화 메트릭은 하나 이상의 정확도 메리트(merit)를 포함하는 것인 계측 시스템.The metrology system of claim 1, wherein the at least one independent characterization metric comprises one or more accuracy merits. 제16항에 있어서, 상기 하나 이상의 정확도 메리트는, 공정 시그너처(signature) 메트릭, 패턴 웨이퍼 형상 메트릭, 오버레이 타겟 비대칭 메트릭, 또는 오버레이 타겟 정확도 메트릭 중 적어도 하나를 포함하는 것인 계측 시스템.17. The system of claim 16, wherein the at least one accuracy merit comprises at least one of a process signature metric, a patterned wafer shape metric, an overlay target asymmetric metric, or an overlay target accuracy metric. 계측 시스템으로서,
하나 이상의 웨이퍼에 대해 하나 이상의 계측 측정을 수행하도록 구성된 계측 서브 시스템; 및
상기 계측 서브 시스템의 하나 이상의 부분에 통신 가능하게 결합된 제어기
를 포함하고,
상기 제어기는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하고,
상기 프로그램 명령어들은, 상기 하나 이상의 프로세서로 하여금,
상기 계측 서브 시스템으로부터 상기 하나 이상의 웨이퍼로부터의 계측 신호들의 전체 세트를 수신하게 하고,
상기 계측 신호들의 전체 세트에 기초하여 웨이퍼 특성들의 세트를 결정하고 상기 웨이퍼 특성들의 세트에 대한 정확도 메리트들의 세트를 계산하게 하며,
상기 웨이퍼 특성들의 세트에 대한 정확도 메리트들의 세트 각각과 관련된 통계 메트릭을 계산하게 하고,
상기 정확도 메리트들의 세트 각각과 관련된 통계 메트릭에 기초하여 유연적 희소 샘플링 플랜을 생성하게 하도록 구성되는 것인 계측 시스템.
As a measurement system,
A metrology subsystem configured to perform one or more metrology measurements for one or more wafers; And
A controller communicatively coupled to one or more portions of the metrology subsystem
Lt; / RTI >
The controller comprising one or more processors configured to execute program instructions,
Wherein the program instructions cause the one or more processors to:
Receive a full set of measurement signals from the one or more wafers from the metrology subsystem,
Determine a set of wafer characteristics based on the entire set of measurement signals and calculate a set of accuracy merits for the set of wafer characteristics,
To calculate a statistical metric associated with each of a set of accuracy merits for the set of wafer characteristics,
And to generate a flexible sparse sampling plan based on a statistical metric associated with each of the set of accuracy merits.
제18항에 있어서, 상기 유연적 희소 샘플링 플랜은, 통계적으로 정의된 임계값 미만의 정확도 메리트 값들을 표시하는 타겟 위치들을 전체 샘플링 플랜 내에서 식별함으로써 생성되는 것인 계측 시스템.19. The metrology system of claim 18, wherein the flexible sparsely sampled plan is generated by identifying within the overall sampling plan target locations that represent accuracy merit values less than a statistically defined threshold. 제18항에 있어서, 상기 웨이퍼 특성들의 세트에 대한 정확도 메리트들의 세트 각각과 관련된 통계 메트릭을 계산하게 하는 것은,
하나 이상의 웨이퍼의 중심 또는 하나 이상의 웨이퍼의 에지 중 적어도 하나에 대해 웨이퍼 특성들의 세트에 대한 정확도 메리트들의 세트 각각과 관련된 통계 메트릭을 계산하는 것을 포함하는 것인 계측 시스템.
19. The method of claim 18, further comprising calculating a statistical metric associated with each of the set of accuracy merits for the set of wafer properties,
Calculating a statistical metric associated with each of a set of accuracy merits for a set of wafer properties for at least one of a center of one or more wafers or an edge of one or more wafers.
제18항에 있어서, 상기 제어기는 또한, 적어도 하나의 추가의 유연적 희소 샘플 플랜을 생성하도록 구성되는 것인 계측 시스템.19. The metrology system of claim 18, wherein the controller is further configured to generate at least one additional flexible sparse sample plan. 제21항에 있어서, 상기 제어기는, 상기 계측 서브 시스템으로 하여금, 상기 유연적 희소 샘플 플랜 및 적어도 상기 추가의 유연적 희소 샘플 플랜의 위치들에서 하나 이상의 계측 측정을 수행하게끔 지시하도록 구성되는 것인 계측 시스템.22. The system of claim 21, wherein the controller is configured to instruct the metrology subsystem to perform one or more metrology measurements at locations of the flexible sparse sample plan and at least the additional flexible sparse sample plan Measuring system. 제22항에 있어서, 상기 제어기는 또한, 상기 유연적 희소 샘플 플랜 및 적어도 상기 추가의 유연적 희소 샘플 플랜의 위치들에서 수행된 하나 이상의 계측 측정으로부터의 결과들을 결합하여 가상 밀집 샘플 맵을 형성하도록 구성되는 것인 계측 시스템.23. The system of claim 22, wherein the controller is further configured to combine the results from one or more metrology measurements performed at locations of the flexible sparse sample plan and at least the additional flexible sparse sample plan to form a virtual dense sample map Wherein the measurement system is configured to measure the temperature of the system. 제23항에 있어서, 상기 제어기는 또한, 상기 가상 밀집 샘플 맵에 기초하여 하나 이상의 정정 가능 인자를 계산하도록 구성되는 것인 계측 시스템.24. The system of claim 23, wherein the controller is further configured to calculate one or more correctable factors based on the virtual dense sample map. 제24항에 있어서, 상기 제어기는 또한, 상기 정정 가능 인자에 기초하여 하나 이상의 공정 툴을 조정하도록 구성되는 것인 계측 시스템.25. The metrology system of claim 24, wherein the controller is further configured to adjust one or more processing tools based on the correctable factor. 제18항에 있어서, 상기 계측 서브 시스템은 이미징 기반 계측 툴을 포함하는 것인 계측 시스템.19. The metrology system of claim 18, wherein the metrology subsystem comprises an imaging-based metrology tool. 제18항에 있어서, 상기 계측 서브 시스템은 산란측정 기반 계측 툴을 포함하는 것인 계측 시스템.19. The metrology system of claim 18, wherein the metrology subsystem comprises a scatterometry-based metrology tool. 제18항에 있어서, 상기 계측 서브 시스템은 통합 계측 툴을 포함하는 것인 계측 시스템.19. The metrology system of claim 18 wherein the metrology subsystem comprises an integrated metrology tool. 제18항에 있어서, 상기 제어기에 의해 결정된 웨이퍼 특성들의 세트는 오버레이 값들의 세트를 포함하는 것인 계측 시스템.19. The system of claim 18, wherein the set of wafer characteristics determined by the controller includes a set of overlay values. 제18항에 있어서, 상기 제어기에 의해 결정된 웨이퍼 특성들의 세트는 임계 치수값들의 세트를 포함하는 것인 계측 시스템.19. The metrology system of claim 18, wherein the set of wafer characteristics determined by the controller includes a set of critical dimension values. 제18항에 있어서, 상기 정확도 메리트의 세트는, 공정 시그너처 메트릭, 패턴 웨이퍼 형상 메트릭, 오버레이 타겟 비대칭 메트릭, 또는 오버레이 타겟 정확도 메트릭 중 적어도 하나를 포함하는 것인 계측 시스템.19. The metrology system of claim 18, wherein the set of accuracy merits comprises at least one of a process signature metric, a patterned wafer shape metric, an overlay target asymmetric metric, or an overlay target accuracy metric. 계측 시스템으로서,
하나 이상의 웨이퍼에 대해 하나 이상의 계측 측정을 수행하도록 구성된 계측 서브 시스템; 및
상기 계측 서브 시스템의 하나 이상의 부분에 통신 가능하게 결합된 제어기
를 포함하고,
상기 제어기는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하고,
상기 프로그램 명령어들은, 상기 하나 이상의 프로세서로 하여금,
상기 계측 서브 시스템으로부터 상기 하나 이상의 웨이퍼로부터의 계측 신호들의 전체 세트를 수신하게 하고,
상기 계측 신호들의 전체 세트에 기초하여 웨이퍼 특성들의 세트를 결정하고 상기 웨이퍼 특성들의 세트에 대한 정확도 메리트들의 세트를 계산하게 하며,
상기 정확도 메리트들의 세트에 기초하여 유연적 희소 샘플링 플랜을 생성하게 하도록 구성되고,
상기 유연적 희소 샘플링 플랜은 선택된 임계값 미만의 정확도 메리트 값들을 표시하는 타겟 위치들을 전체 샘플링 플랜 내에서 식별함으로써 생성되는 것인 계측 시스템.
As a measurement system,
A metrology subsystem configured to perform one or more metrology measurements for one or more wafers; And
A controller communicatively coupled to one or more portions of the metrology subsystem
Lt; / RTI >
The controller comprising one or more processors configured to execute program instructions,
Wherein the program instructions cause the one or more processors to:
Receive a full set of measurement signals from the one or more wafers from the metrology subsystem,
Determine a set of wafer characteristics based on the entire set of measurement signals and calculate a set of accuracy merits for the set of wafer characteristics,
And to generate a flexible sparse sampling plan based on the set of accuracy merits,
Wherein the flexible sparse sampling plan is generated by identifying within the overall sampling plan target locations that represent accuracy merit values less than a selected threshold.
계측 시스템으로서,
많은 수의 웨이퍼들 중의 하나 이상의 웨이퍼에 대해 하나 이상의 계측 측정을 수행하도록 구성된 계측 서브 시스템; 및
상기 계측 서브 시스템의 하나 이상의 부분에 통신 가능하게 결합된 제어기
를 포함하고,
상기 제어기는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하고,
상기 프로그램 명령어들은, 상기 하나 이상의 프로세서로 하여금,
상기 계측 서브 시스템으로부터 수신된 하나 이상의 웨이퍼의 하나 이상의 계측 측정치에 기초하여 복수의 유연적 희소 샘플링 플랜들을 생성하게 하고,
상기 계측 서브 시스템으로 하여금, 복수의 유연적 희소 샘플링 플랜들의 위치에서 2 개 이상의 웨이퍼들에 대한 계측 측정을 수행하게끔 지시하게 하며 - 각각의 유연적 희소 샘플링 플랜은 2 개 이상의 웨이퍼들 중 하나와 관련됨 -,
상기 복수의 유연적 샘플 플랜들의 위치에서 수행된 계측 측정으로부터의 결과들을 결합함으로써 계측 신호들의 가상 밀집 맵을 형성하게 하고,
상기 계측 신호들의 가상 밀집 맵에 기초하여 공정 툴 정정 가능 인자의 세트를 계산하게 하도록 구성되는 것인 계측 시스템.
As a measurement system,
A metrology subsystem configured to perform one or more metrology measurements on one or more wafers of a number of wafers; And
A controller communicatively coupled to one or more portions of the metrology subsystem
Lt; / RTI >
The controller comprising one or more processors configured to execute program instructions,
Wherein the program instructions cause the one or more processors to:
Cause a plurality of flexible sparse sampling plans to be generated based on one or more metrology measurements of one or more wafers received from the metrology subsystem,
Direct the metrology subsystem to perform metrology measurements on two or more wafers at locations of a plurality of flexible sparse sampling plans, each flexible sparse sampling plan being associated with one of two or more wafers -,
To form a virtual dense map of measurement signals by combining results from metrology measurements performed at locations of the plurality of flexible sample plans,
And to calculate a set of process tool correctable factors based on a virtual dense map of the measurement signals.
KR1020187010680A 2015-09-21 2016-09-14 Process control method and system using flexible sampling KR102351636B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562221588P 2015-09-21 2015-09-21
US62/221,588 2015-09-21
US15/184,612 2016-06-16
US15/184,612 US10754260B2 (en) 2015-06-18 2016-06-16 Method and system for process control with flexible sampling
PCT/US2016/051743 WO2017053150A1 (en) 2015-09-21 2016-09-14 Method and system for process control with flexible sampling

Publications (2)

Publication Number Publication Date
KR20180045033A true KR20180045033A (en) 2018-05-03
KR102351636B1 KR102351636B1 (en) 2022-01-13

Family

ID=58386801

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187010680A KR102351636B1 (en) 2015-09-21 2016-09-14 Process control method and system using flexible sampling

Country Status (4)

Country Link
KR (1) KR102351636B1 (en)
CN (1) CN108028210B (en)
TW (1) TWI705510B (en)
WO (1) WO2017053150A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10754260B2 (en) * 2015-06-18 2020-08-25 Kla-Tencor Corporation Method and system for process control with flexible sampling

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008516447A (en) * 2004-10-05 2008-05-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method and system for dynamically adjusting metrology sampling based on available metrology capacity
US20140136137A1 (en) * 2012-11-09 2014-05-15 Kla-Tencor Corporation Metrology target characterization
KR20140111935A (en) * 2013-03-12 2014-09-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Overlay sampling methodology

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6442496B1 (en) * 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6988045B2 (en) * 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US6998867B1 (en) * 2004-09-01 2006-02-14 International Business Machines Corporation Enhanced sampling methodology for semiconductor processing
US7487054B2 (en) * 2005-05-11 2009-02-03 International Business Machines Corporation Automated dynamic metrology sampling system and method for process control
US7567351B2 (en) * 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7567700B2 (en) * 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
US8175831B2 (en) * 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
US8559001B2 (en) * 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
CN103582819B (en) * 2011-04-06 2016-09-14 科磊股份有限公司 For providing the method and system of the quality metric of improved process control
US8638438B2 (en) * 2011-08-17 2014-01-28 International Business Machines Corporation Self-calibrated alignment and overlay target and measurement
NL2009853A (en) * 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US9098891B2 (en) * 2013-04-08 2015-08-04 Kla-Tencor Corp. Adaptive sampling for semiconductor inspection recipe creation, defect review, and metrology
CN108398856B (en) * 2013-08-07 2020-10-16 Asml荷兰有限公司 Metrology method and apparatus, lithographic system and device manufacturing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008516447A (en) * 2004-10-05 2008-05-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method and system for dynamically adjusting metrology sampling based on available metrology capacity
US20140136137A1 (en) * 2012-11-09 2014-05-15 Kla-Tencor Corporation Metrology target characterization
KR20140111935A (en) * 2013-03-12 2014-09-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Overlay sampling methodology

Also Published As

Publication number Publication date
TW201729315A (en) 2017-08-16
CN108028210A (en) 2018-05-11
TWI705510B (en) 2020-09-21
CN108028210B (en) 2022-07-12
KR102351636B1 (en) 2022-01-13
WO2017053150A1 (en) 2017-03-30

Similar Documents

Publication Publication Date Title
US11640116B2 (en) Metrology method, computer product and system
US10379445B2 (en) Metrology method, target and substrate
JP6735884B2 (en) Method and apparatus for determining target parameters
US11106142B2 (en) Metrology recipe selection
JP2019164364A (en) Metrology method and device, computer program, and lithograph system
KR20170136565A (en) Feed forward of measurement data in the measurement system
JP2023506946A (en) Dark-field digital holography microscopy and related measurement methods
US20150186582A1 (en) Method and apparatus for design of a metrology target
JP2020507800A (en) Metrology method, apparatus, and computer program
TW201730513A (en) Statistical hierarchical reconstruction from metrology data
US10754260B2 (en) Method and system for process control with flexible sampling
TW202125113A (en) A method for filtering an image and associated metrology apparatus
KR102351636B1 (en) Process control method and system using flexible sampling
TW202409523A (en) Method and apparatuses for fourier transform spectrometry

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant