KR20170103660A - Systems and methods for tuning an impedance matching network in a step-wise fashion - Google Patents

Systems and methods for tuning an impedance matching network in a step-wise fashion Download PDF

Info

Publication number
KR20170103660A
KR20170103660A KR1020170025504A KR20170025504A KR20170103660A KR 20170103660 A KR20170103660 A KR 20170103660A KR 1020170025504 A KR1020170025504 A KR 1020170025504A KR 20170025504 A KR20170025504 A KR 20170025504A KR 20170103660 A KR20170103660 A KR 20170103660A
Authority
KR
South Korea
Prior art keywords
parameter value
matching network
models
processor
impedance matching
Prior art date
Application number
KR1020170025504A
Other languages
Korean (ko)
Other versions
KR102460246B1 (en
Inventor
아더 엠. 하워드
주니어 존 씨. 발코어
앤드류 퐁
데이비드 홉킨스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/061,705 external-priority patent/US10296676B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170103660A publication Critical patent/KR20170103660A/en
Application granted granted Critical
Publication of KR102460246B1 publication Critical patent/KR102460246B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Transmitters (AREA)
  • Networks Using Active Elements (AREA)
  • Input Circuits Of Receivers And Coupling Of Receivers And Audio Equipment (AREA)

Abstract

Described are systems for tuning an impedance matching network in a step-wise type and methods thereof. An impedance matching network is tuned in a step-wise type instead of immediately achieving optimum values of radio frequencies (RF) and coupled variable capacitance, thereby realizing processing of a wafer using tuned optimum values.

Description

단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR TUNING AN IMPEDANCE MATCHING NETWORK IN A STEP-WISE FASHION}[0001] SYSTEMS AND METHODS FOR TUNING IMPEDANCE MATCHING NETWORKS IN A STEP-BY-STEP METHOD [0002] FIELD OF THE INVENTION [0003]

본 실시예들은 단계적 (step-wise) 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템들 및 방법들에 관한 것이다.The embodiments are directed to systems and methods for tuning an impedance matching network in a step-wise manner.

플라즈마 시스템들은 플라즈마 프로세스들을 제어하도록 사용된다. 플라즈마 시스템은 복수의 RF (radio frequency) 소스들, 임피던스 매칭부, 및 플라즈마 반응기를 포함한다. 워크피스는 플라즈마 챔버 내부에 배치되고 그리고 플라즈마는 워크피스를 프로세싱하도록 플라즈마 챔버 내에서 생성된다. 워크피스가 유사하거나 균일한 방식으로 프로세싱된다는 것이 중요하다. 유사하거나 균일한 방식으로 워크피스를 프로세싱하도록, RF 소스들 및 임피던스 매칭부가 튜닝된다는 것이 중요하다.Plasma systems are used to control plasma processes. The plasma system includes a plurality of RF (radio frequency) sources, an impedance matching unit, and a plasma reactor. The workpiece is disposed within the plasma chamber and the plasma is generated within the plasma chamber to process the workpiece. It is important that the workpieces are processed in a similar or uniform manner. It is important that the RF sources and the impedance matching portion are tuned to process the workpiece in a similar or uniform manner.

본 개시에 기술된 실시예들은 이 맥락에서 발생한다.The embodiments described in this disclosure occur in this context.

본 개시의 실시예들은 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시예들은 수많은 방식들로, 예를 들어, 프로세스, 장치, 시스템, 하드웨어의 일 피스, 또는 컴퓨터-판독가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇의 실시예들이 이하에 기술된다.Embodiments of the present disclosure provide apparatus, methods, and computer programs for tuning an impedance matching network in a step-wise fashion. It is to be understood that the embodiments may be implemented in numerous ways, e.g., in a process, apparatus, system, piece of hardware, or on a computer-readable medium. Some embodiments are described below.

플라즈마 툴은 RF 매칭 네트워크 튜닝 알고리즘 (tune algorithm) 을 갖는다. 플라즈마 툴은 하나 또는 2 개의 RF 생성기들을 갖고, 그리고 RF 생성기 각각은 50 Ω 동축 RF 케이블에 연결된다. RF 케이블들은 RF 전송선을 통해 플라즈마 챔버에 연결되는 임피던스 매칭 네트워크에 연결된다. RF 생성기들은 50 + 0j Ω, 또는 거의 50 + 0j Ω의 부하 임피던스들을 사용하여 동작하도록 설계된다. 임피던스 매칭 네트워크의 일 목적은 통상적으로 거의 50 + 0j Ω이 아닌, 플라즈마 챔버 및 RF 전송선의 부하 임피던스를 50 + 0j Ω 또는 거의 50 + 0j Ω으로 변환하는 것이다. 50 + 0j Ω 또는 거의 50 + 0j Ω의 타깃 임피던스는 2 개의 부분들, 50 Ω 또는 거의 50 Ω이어야 하는 실수부, 및 0 Ω 또는 거의 0 Ω이어야 하는 허수부를 갖는다. 그러므로, 2 개의 RF 생성기들 중 일 RF 생성기에 연결되는 임피던스 매칭 네트워크의 분기 회로는 2 개의 가변 엘리먼트들을 갖는다. 2 개의 가변 엘리먼트들은 RF 생성기들 중 일 RF 생성기로부터의 가변 RF 주파수 출력 및 일 모터-구동된 가변 커패시턴스를 포함한다.The plasma tool has an RF matched network tune algorithm. The plasma tool has one or two RF generators, and each of the RF generators is connected to a 50 Ω coaxial RF cable. The RF cables are connected to an impedance matching network that is connected to the plasma chamber via an RF transmission line. The RF generators are designed to operate using 50 + 0 jΩ, or nearly 50 + 0 jΩ, load impedances. One purpose of the impedance matching network is to convert the load impedance of the plasma chamber and the RF transmission line to 50 + 0 jΩ or nearly 50 + 0 jΩ, rather than about 50 + 0 jΩ. The target impedance of 50 + 0 jΩ or nearly 50 + 0 jΩ has a real part that should be two parts, 50 Ω or nearly 50 Ω, and an imaginary part that should be 0 Ω or nearly 0 Ω. Therefore, the branch circuit of the impedance matching network connected to one RF generator of two RF generators has two variable elements. The two variable elements include a variable RF frequency output from one RF generator of the RF generators and one motor-driven variable capacitance.

가변 커패시턴스는 레시피에서 미리 설정되고 그리고 레시피 단계에서 가변하지 않는다. 가변 커패시턴스는 레시피를 수정함으로써 변화된다. 가변 RF 주파수는 RF 생성기 내에서 내부적으로 프로세스 실행에 의해 제어된다. 프로세스는 전압 반사 계수에 따라 동작한다. 반사 계수가 문턱값에 비해 높다면, 프로세스는 RF 주파수를 증가시키거나 감소시키고, 그리고 이 방식으로, 반사 계수에 기초하여 RF 주파수를 일 방향 또는 다른 방향으로 변화시킨다. RF 생성기 내의 센서는 협대역 필터를 사용하여 반사된 전압을 검출하고 그리고 기본 주파수에서 반사된 전압의 일부를 검출하지만, 상호변조 주파수들에서 큰 반사파 진폭들이 존재할 수 있고, 이는 검출되지 않는다. 다음의 입력들, 예를 들어, RF 전력, 가변 커패시턴스 및 가변 RF 주파수의 값들, 및 RF 생성기의 출력부에서의 RF 부하 임피던스의 측정된 값, 등이 입력들로서 매칭 네트워크 모델에 제공될 때, 임피던스 매칭 네트워크를 위한 매칭 네트워크 모델은 RF 전압, 전류, 및 RF 전압과 전류 사이의 위상 또는 임피던스 매칭 네트워크의 출력부에서의 부하 임피던스를 예측하도록 사용된다. 매칭 네트워크 모델은 임피던스 매칭 네트워크의 출력부와 척 사이의 RF 전압 및 전류를 예측하도록 확장된다. 다양한 실시예들에서, 매칭 네트워크 모델은 출원 번호 제 14/245,803 호를 가진 특허 출원에 기술된 바와 같이, 모두 동일한 형태를 가진 일련의 모듈들을 포함한다.The variable capacitance is preset in the recipe and does not vary in the recipe step. The variable capacitance is changed by modifying the recipe. The variable RF frequency is controlled internally by process execution within the RF generator. The process operates according to the voltage reflection coefficient. If the reflection coefficient is higher than the threshold value, the process increases or decreases the RF frequency and, in this manner, changes the RF frequency in one direction or another direction based on the reflection coefficient. The sensor in the RF generator detects the reflected voltage using a narrow band filter and detects some of the reflected voltage at the fundamental frequency, but there can be large reflected wave amplitudes at the intermodulation frequencies, which are not detected. When the following inputs are provided to the matching network model as inputs, e.g., values of RF power, variable capacitance and variable RF frequency, and measured values of the RF load impedance at the output of the RF generator, etc., The matching network model for the matching network is used to predict the RF voltage, the current, and the phase between the RF voltage and current or the load impedance at the output of the impedance matching network. The matching network model is extended to predict the RF voltage and current between the output of the impedance matching network and the chuck. In various embodiments, the matching network model includes a series of modules all having the same form, as described in the patent application with application number 14 / 245,803.

일부 실시예들에서, RF 생성기의 출력부에서의 부하 임피던스는 가변 커패시턴스 및 가변 RF 주파수로부터 매칭 네트워크 모델의 출력부에서의 부하 임피던스를 계산하도록 매칭 네트워크 모델을 통해 순방향으로 전파되고, 그리고 출력부에서의 부하 임피던스는 이어서 가변 커패시턴스 및 가변 RF 주파수에 대한 최적의 값들을 결정하도록 역방향으로 전파된다. 최적의 값들을 결정할시, RF 생성기 및 임피던스 매칭 네트워크는 가변 커패시턴스 및 RF 가변 주파수의 최적의 값들을 달성하도록 튜닝된다. 가변 RF 주파수는, 가변 커패시턴스가 가변 커패시턴스의 최적의 값을 달성하도록 가변될 수 있는 것보다 가변 RF 주파수의 최적의 값을 달성하도록 훨씬 보다 신속하게 가변될 수 있다. 예를 들어, 가변 RF 주파수는 가변 커패시턴스를 가변하기 위한 대략 초와 비교할 때, 대략 마이크로초로 가변된다. 그래서, 가변 RF 주파수의 최적의 값으로 동작하도록 RF 생성기를 직접적으로 설정하고 그리고 가변 커패시턴스의 최적의 값으로 동작하도록 임피던스 매칭 네트워크를 설정하는 것은 어렵다. 가변 커패시턴스의 최적의 값을 달성하도록 임피던스 매칭 네트워크를 튜닝하고 그리고 가변 RF 주파수의 최적의 값을 달성하도록 RF 생성기를 튜닝하는 대신, 임피던스 매칭 네트워크를 튜닝하기 위해, 임피던스 매칭 네트워크는 가변 커패시턴스의 최적의 값 대신 스텝 가변 커패시턴스 값을 생성하도록 단계적 방식으로 튜닝되고 그리고 스텝 가변 커패시턴스에 대한 가변 RF 주파수의 국부적 최적의 값이 계산된다. 예를 들어, 임피던스 매칭 네트워크는 가변 커패시턴스의 최적의 값의 방향에 있는 가변 커패시턴스의 값 및 가변 커패시턴스의 값에 대해 결정된 가변 RF 주파수의 국부적 최적의 값을 갖도록 튜닝된다. 이러한 방식으로, 가변 커패시턴스의 최적의 값 및 가변 RF 주파수의 최적의 값을 바로 달성하는 대신 가변 커패시턴스의 최적의 값 및 가변 RF 주파수의 최적의 값이 달성된다.In some embodiments, the load impedance at the output of the RF generator is propagated in a forward direction through the matching network model to calculate the load impedance at the output of the matching network model from the variable capacitance and variable RF frequency, Is then propagated in the reverse direction to determine optimal values for the variable capacitance and the variable RF frequency. When determining optimal values, the RF generator and impedance matching network are tuned to achieve optimal values of variable capacitance and RF variable frequency. The variable RF frequency can be varied much more quickly to achieve the optimum value of the variable RF frequency than the variable capacitance can be varied to achieve the optimum value of the variable capacitance. For example, the variable RF frequency can be varied to approximately microseconds when compared to approximately seconds for varying the variable capacitance. Thus, it is difficult to set the RF generator directly to operate at the optimum value of the variable RF frequency and to set the impedance matching network to operate at the optimum value of the variable capacitance. Instead of tuning the impedance matching network to achieve the optimum value of the variable capacitance and tuning the RF generator to achieve the optimum value of the variable RF frequency, the impedance matching network is optimized for the variable capacitance Value is tuned in a stepwise fashion to produce a step variable capacitance value and a local optimal value of the variable RF frequency for the step variable capacitance is calculated. For example, the impedance matching network is tuned to have a value of the variable capacitance in the direction of the optimal value of the variable capacitance and a local optimum value of the variable RF frequency determined for the value of the variable capacitance. In this way, instead of directly achieving the optimum value of the variable capacitance and the optimal value of the variable RF frequency, the optimal value of the variable capacitance and the optimum value of the variable RF frequency are achieved.

본 명세서에 기술된 시스템들 및 방법들의 일부 이점들은 임피던스 매칭 네트워크의 가변 커패시턴스를 튜닝하는 단계적 방식을 적용하는 것을 포함한다. 단계적 방식에서, 매칭 네트워크 모델의 입력부에서의 반사 계수가 최소 값인 가변 RF 주파수의 국부적 최적의 값은 가변 커패시턴스의 스텝 값에 대해 계산된다. 이어서 스텝 값은 증분되고 그리고 매칭 네트워크 모델의 입력부에서의 반사 계수가 최소 값인 가변 RF 주파수의 또 다른 값은 가변 커패시턴스의 증분된 스텝 값에 대해 계산된다. 스텝 값은 가변 커패시턴스의 최적의 값에 도달될 때까지 증분된다. 가변 RF 주파수의 최적의 값이 달성되는 동시에 임피던스 매칭 회로가 동작되는 값으로부터 바로 가변 커패시턴스의 최적의 값을 달성하는 것은 어렵다. 이는 RF 생성기를 제어하는 속도와 동일한 속도로 임피던스 매칭 네트워크의 하나 이상의 가변 커패시터들을 제어하는 것이 어렵기 때문이다. 단계적 방식을 사용함으로써, 가변 커패시턴스의 최적의 값 및 RF 주파수의 최적의 값이 달성된다.Some advantages of the systems and methods described herein include applying a staged approach to tuning the variable capacitance of the impedance matching network. In a step-wise fashion, the local optimum value of the variable RF frequency at which the reflection coefficient at the input of the matching network model is the minimum value is calculated for the step value of the variable capacitance. The step value is then incremented and another value of the variable RF frequency at which the reflection coefficient at the input of the matching network model is the minimum value is calculated for the incremental step value of the variable capacitance. The step value is incremented until the optimum value of the variable capacitance is reached. It is difficult to achieve the optimum value of the variable capacitance directly from the value at which the impedance matching circuit is operated while the optimum value of the variable RF frequency is achieved. This is because it is difficult to control one or more variable capacitors of the impedance matching network at the same rate as controlling the RF generator. By using the step-by-step approach, the optimum value of the variable capacitance and the optimum value of the RF frequency are achieved.

다른 양태들은 첨부된 도면들과 함께 취해진, 다음의 상세한 기술로부터 분명해질 것이다.Other aspects will become apparent from the following detailed description, taken in conjunction with the accompanying drawings.

실시예들은 첨부된 도면들과 함께 취해진 다음의 기술을 참조하여 이해된다.
도 1은 매칭 네트워크 모델을 사용하여 부하 임피던스 ZL1의 생성을 예시하기 위한 플라즈마 시스템의 실시예의 다이어그램이다.
도 2는 매칭 네트워크 모델의 입력부에서 반사 계수 Γi을 생성하도록 무선 주파수 RF1 및 가변 커패시턴스 C1을 초기화하는 매칭 네트워크 모델의 실시예의 다이어그램이다.
도 3은 스텝 결합된 가변 커패시턴스 값 Cstep1을 생성하기 위한 커패시턴스 Coptimum1의 사용, 및 부하 임피던스 ZL2를 생성하기 위한 값 RFoptimum1@C1의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 다이어그램이다.
도 4는 매칭 네트워크 모델의 입력부에서 반사 계수 Γi의 최소 값을 생성하도록 무선 주파수 RFoptimum1@C1 및 결합된 가변 커패시턴스 Cstep1로 설정되는 매칭 네트워크 모델의 실시예의 다이어그램이다.
도 5는 또 다른 스텝 결합된 가변 커패시턴스 값 Cstep2를 생성하기 위한 커패시턴스 값 Coptimum2의 사용, 및 부하 임피던스 ZL3을 생성하기 위한 값 RFoptimum1@Cstep1의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 다이어그램이다.
도 6은 매칭 네트워크 모델의 입력부에서 반사 계수 Γi의 최소 값을 생성하도록 무선 주파수 RFoptimum1@Cstep1 및 결합된 가변 커패시턴스 Cstep2로 설정되는 매칭 네트워크 모델의 실시예의 다이어그램이다.
도 7은 부하 임피던스 ZL4를 생성하도록, 커패시턴스 값 Coptimum3의 사용, 및 값 RFoptimum1@Cstep2의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 다이어그램이다.
도 8은 매칭 네트워크 모델의 입력부에서 반사 계수 Γi의 최소 값을 생성하도록 무선 주파수 RFoptimum1@Cstep2 및 결합된 가변 커패시턴스 Coptimum3으로 설정되는 매칭 네트워크 모델의 실시예의 다이어그램이다.
도 9는 웨이퍼 (W) 를 프로세싱하도록, 커패시턴스 값 Coptimum3의 사용, 및 값 RFoptimum1@Coptimum의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 다이어그램이다.
도 10은 임피던스 매칭 네트워크의 단계적 튜닝 및 플라즈마 시스템의 RF 생성기의 단계적 튜닝을 예시하기 위한 그래프의 실시예이다.
The embodiments are understood with reference to the following description taken in conjunction with the accompanying drawings.
1 is a diagram of an embodiment of a plasma system for illustrating the generation of a load impedance ZL1 using a matching network model.
2 is a diagram of an embodiment of a matching network model that initializes radio frequency RF1 and variable capacitance C1 to produce a reflection coefficient Γi at the input of the matching network model.
3 is a diagram of an embodiment of a plasma system to illustrate the use of a capacitance Coptimum1 to produce a stepped variable capacitance value Cstep1 and the use of a value RFoptimum1 @ C1 to produce a load impedance ZL2.
4 is a diagram of an embodiment of a matching network model set to a radio frequency RFoptimum1 @ C1 and an associated variable capacitance Cstep1 to produce a minimum value of the reflection coefficient Γi at the input of the matching network model.
5 is a diagram of an embodiment of a plasma system to illustrate the use of a capacitance value Coptimum2 for generating another stepped variable capacitance value Cstep2 and the use of a value RFoptimum1 @ Cstep1 to generate a load impedance ZL3.
6 is a diagram of an embodiment of a matching network model set to a radio frequency RFoptimum1 @ Cstep1 and a combined variable capacitance Cstep2 to produce a minimum value of the reflection coefficient? I at the input of the matching network model.
7 is a diagram of an embodiment of a plasma system to illustrate the use of a capacitance value Coptimum3 and the use of a value RFoptimum1 @ Cstep2 to produce a load impedance ZL4.
FIG. 8 is a diagram of an embodiment of a matching network model that is set to a radio frequency RFoptimum1 @ Cstep2 and a combined variable capacitance Coptimum3 to produce a minimum value of the reflection coefficient? I at the input of the matching network model.
9 is a diagram of an embodiment of a plasma system to illustrate the use of the capacitance value Coptimum3 and the use of the value RFoptimum1 @ Coptimum to process the wafer W. FIG.
FIG. 10 is an example of a graph for illustrating step-by-step tuning of an impedance matching network and step-by-step tuning of an RF generator of a plasma system.

다음의 실시예들은 임피던스 매칭 네트워크를 단계적 방식으로 튜닝하기 위한 시스템들 및 방법들을 기술한다. 본 실시예들은 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지된 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.The following embodiments describe systems and methods for tuning an impedance matching network in a step-wise fashion. It is to be understood that these embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail so as not to unnecessarily obscure the embodiments.

도 1은 매칭 네트워크 모델 (102) 을 사용하여 부하 임피던스 ZL1의 생성을 예시하기 위한 플라즈마 시스템 (100) 의 실시예의 다이어그램이다. 플라즈마 시스템 (100) 은 무선 주파수 (RF) 생성기 (104), 임피던스 매칭 네트워크 (106), 및 플라즈마 챔버 (108) 를 포함한다. 플라즈마 시스템 (100) 은 호스트 컴퓨터 시스템 (110), 드라이브 어셈블리 (112), 및 하나 이상의 연결 메커니즘들 (114) 을 포함한다.FIG. 1 is a diagram of an embodiment of a plasma system 100 for illustrating the generation of a load impedance ZL1 using a matching network model 102. FIG. The plasma system 100 includes a radio frequency (RF) generator 104, an impedance matching network 106, and a plasma chamber 108. Plasma system 100 includes a host computer system 110, a drive assembly 112, and one or more connection mechanisms 114.

플라즈마 챔버 (108) 는 상부 전극 (116), 척 (118), 및 웨이퍼 (W) 를 포함한다. 상부 전극 (116) 은 척 (118) 과 대면하고 그리고 접지되고, 예를 들어, 기준 전압에 커플링되고, 0 전압에 커플링되고, 음 전압에 커플링, 등이 된다. 척 (118) 의 예들은 정전 척 (ESC) 및 자기 척을 포함한다. 척 (118) 의 하부 전극은 금속, 예를 들어, 양극산화된 알루미늄, 알루미늄의 합금, 등으로 이루어진다. 다양한 실시예들에서, 척 (118) 의 하부 전극은 세라믹의 층에 의해 커버되는 금속의 박층이다. 또한, 상부 전극 (116) 은 금속, 예를 들어, 알루미늄, 알루미늄의 합금, 등으로 이루어진다. 일부 실시예들에서, 상부 전극 (116) 은 실리콘으로 이루어진다. 상부 전극 (116) 은 척 (118) 의 하부 전극 반대편에 위치되고 그리고 척 (118) 의 하부 전극과 대면한다. 웨이퍼 (W) 는, 프로세싱, 예를 들어, 웨이퍼 (W) 상에 재료들 증착, 또는 웨이퍼 (W) 의 세정, 또는 웨이퍼 (W) 상에 증착된 층들 에칭, 또는 웨이퍼 (W) 도핑, 또는 웨이퍼 (W) 상에 이온들의 주입, 또는 웨이퍼 (W) 상에 포토리소그래피 패턴 생성, 또는 웨이퍼 (W) 에칭, 또는 웨이퍼 (W) 스퍼터링, 또는 이들의 조합을 위해 척 (118) 의 상단 표면 (120) 상에 배치된다.The plasma chamber 108 includes an upper electrode 116, a chuck 118, and a wafer W. The upper electrode 116 faces the chuck 118 and is grounded, for example, coupled to a reference voltage, coupled to a zero voltage, coupled to a negative voltage, and so on. Examples of chuck 118 include an electrostatic chuck (ESC) and a magnetic chuck. The lower electrode of the chuck 118 is made of a metal, for example, anodized aluminum, an alloy of aluminum, or the like. In various embodiments, the lower electrode of the chuck 118 is a thin layer of metal covered by a layer of ceramic. Further, the upper electrode 116 is made of a metal, for example, an alloy of aluminum, aluminum, or the like. In some embodiments, the top electrode 116 is made of silicon. The upper electrode 116 is located opposite the lower electrode of the chuck 118 and faces the lower electrode of the chuck 118. The wafer W may be subjected to processing, for example, deposition of materials on the wafer W, or cleaning of the wafer W, or etching of layers deposited on the wafer W, or wafer W doping, The top surface of the chuck 118 (e.g., the top surface of the chuck 118) for implanting ions onto the wafer W, or creating a photolithographic pattern on the wafer W, or wafer W etching, or wafer W sputtering, 120).

일부 실시예들에서, 플라즈마 챔버 (108) 는 플라즈마가 형성되는 플라즈마 챔버 (108) 내의 구역을 둘러싸도록, 부가적인 부품들, 예를 들어, 상부 전극 (116) 을 둘러싸는 상부 전극 연장부, 척 (118) 의 하부 전극을 둘러싸는 하부 전극 연장부, 상부 전극 (116) 과 상부 전극 연장부 사이의 유전체 링, 하부 전극과 하부 전극 연장부 사이의 유전체 링, 상부 전극 (116) 의 에지들에 위치된 한정 링들 및 척 (118), 등을 사용하여 형성된다.In some embodiments, the plasma chamber 108 may include additional components, such as an upper electrode extension surrounding the upper electrode 116, a chuck 108 surrounding the upper electrode 116, A dielectric ring between the upper electrode 116 and the upper electrode extension, a dielectric ring between the lower electrode and the lower electrode extension, and a dielectric ring between the lower electrode extension and the edges of the upper electrode 116, And is formed using constrained rings and chuck 118 positioned,

임피던스 매칭 네트워크 (106) 는 서로 커플링되는, 하나 이상의 회로 컴포넌트들 (components), 예를 들어, 하나 이상의 인덕터들, 또는 하나 이상의 커패시터들, 또는 하나 이상의 레지스터들, 또는 이들의 2 개 이상의 조합, 등을 포함한다. 예를 들어, 임피던스 매칭 네트워크 (106) 는 커패시터와 직렬로 커플링된 인덕터를 포함하는 직렬 회로를 포함한다. 임피던스 매칭 네트워크 (106) 는 직렬 회로에 연결된 션트 회로를 더 포함한다. 션트 회로는 인덕터와 직렬로 연결된 커패시터를 포함한다. 임피던스 매칭 네트워크 (106) 는 하나 이상의 커패시터들을 포함하고 그리고 하나 이상의 커패시터들, 예를 들어, 모든 가변 커패시터들, 등의 대응하는 커패시턴스들은 가변되고, 예를 들어, 드라이브 어셈블리, 등을 사용하여 가변된다. 임피던스 매칭 네트워크 (106) 는 예를 들어, 드라이브 어셈블리 (112), 등을 사용하여 변화될 수 없는 고정 커패시턴스들을 가진 하나 이상의 커패시터들을 포함한다. 임피던스 매칭 네트워크 (106) 의 하나 이상의 가변 커패시터들의 결합된 가변 커패시턴스는 값 C1이다. 예를 들어, 하나 이상의 가변 커패시터들의 대응하는 마주보고 위치된 플레이트들은 가변 커패시턴스 C1으로 설정하도록 고정된 위치에 있게 조정된다. 예시를 위해, 서로 병렬로 연결되는 2 개 이상의 커패시터들의 결합된 커패시턴스는 커패시터들의 커패시턴스들의 합이다. 또 다른 예시로서, 서로 직렬로 연결되는 2 개 이상의 커패시터들의 결합된 커패시턴스는 커패시터들의 커패시턴스들의 역수들의 합의 역수이다. 임피던스 매칭 네트워크 (106) 의 예는 출원 번호 제 14/245,803 호를 가진 특허 출원에 제공된다.The impedance matching network 106 may include one or more circuit components, e.g., one or more inductors, or one or more capacitors, or one or more resistors, or a combination of two or more thereof, And the like. For example, the impedance matching network 106 includes a series circuit that includes an inductor coupled in series with a capacitor. The impedance matching network 106 further includes a shunt circuit connected to the series circuit. The shunt circuit includes a capacitor connected in series with the inductor. The impedance matching network 106 includes one or more capacitors and the corresponding capacitances of one or more capacitors, e.g., all variable capacitors, etc., are varied and varied using, for example, a drive assembly, . The impedance matching network 106 includes one or more capacitors having fixed capacitances that can not be changed using, for example, a drive assembly 112, The combined variable capacitance of the one or more variable capacitors of the impedance matching network 106 is the value C1. For example, corresponding oppositely positioned plates of one or more variable capacitors are adjusted to be in a fixed position to set variable capacitance C1. For purposes of illustration, the combined capacitance of two or more capacitors connected in parallel with one another is the sum of the capacitances of the capacitors. As another example, the combined capacitance of two or more capacitors connected in series with each other is a reciprocal of the sum of the reciprocals of the capacitances of the capacitors. An example of an impedance matching network 106 is provided in a patent application having application number 14 / 245,803.

매칭 네트워크 모델 (102) 은 임피던스 매칭 네트워크 (106) 의 분기로부터 유도되고 예를 들어, 임피던스 매칭 네트워크 (106) 의 분기를 나타내는, 등 한다. 예를 들어, x ㎒ (megahertz) RF 생성기가 임피던스 매칭 네트워크 (106) 의 분기 회로에 연결될 때, 매칭 네트워크 모델 (102) 은 임피던스 매칭 네트워크 (106) 의 분기 회로의 회로의 컴퓨터-생성 모델, 등을 나타내고, 예를 들어, 컴퓨터-생성 모델이다. 또 다른 예로서, 매칭 네트워크 모델 (102) 은 임피던스 매칭 네트워크 (106) 와 동일한 수의 회로 컴포넌트들을 갖지 않는다. 일부 실시예들에서, 매칭 네트워크 모델 (102) 은 임피던스 매칭 네트워크 (106) 의 회로 컴포넌트들의 수보다 적은 수의 회로 엘리먼트들을 갖는다. 예시를 위해, 매칭 네트워크 모델 (102) 은 임피던스 매칭 네트워크 (106) 의 분기 회로의 간략화된 형태이다. 추가의 예시를 위해, 임피던스 매칭 네트워크 (106) 의 분기 회로의 복수의 가변 커패시터들의 가변 커패시턴스들은 매칭 네트워크 모델 (102) 의 하나 이상의 가변 용량 엘리먼트들에 의해 나타낸 결합된 가변 커패시턴스로 결합되고, 임피던스 매칭 네트워크 (106) 의 분기 회로의 복수의 고정 커패시터들의 고정 커패시턴스들은 매칭 네트워크 모델 (102) 의 하나 이상의 고정 용량 엘리먼트들에 의해 나타낸 결합된 고정 커패시턴스로 결합되고, 그리고/또는 임피던스 매칭 네트워크 (106) 의 분기 회로의 복수의 고정 인덕터들의 인덕턴스들은 매칭 네트워크 모델 (102) 의 하나 이상의 유도 엘리먼트들에 의해 나타낸 결합된 인덕턴스로 결합되고, 그리고/또는 임피던스 매칭 네트워크 (106) 의 분기 회로의 복수의 레지스터들의 레지스턴스들은 매칭 네트워크 모델 (102) 의 하나 이상의 저항성 엘리먼트들에 의해 나타낸 고정 레지스턴스로 결합된다. 더 예시를 위해, 직렬인 커패시터들의 커패시턴스들은 복수의 인버팅된 커패시턴스들을 생성하도록 커패시턴스들 각각을 인버팅하고, 인버팅된 결합된 커패시턴스를 생성하도록 인버팅된 커패시턴스들을 합산하고, 그리고 결합된 커패시턴스를 생성하도록 인버팅된 결합된 커패시턴스를 인버팅함으로써 결합된다. 또 다른 예시로서, 직렬로 연결되는 인덕터들의 복수의 인덕턴스들은 결합된 인덕턴스를 생성하도록 합산되고 그리고 직렬인 레지스터들의 복수의 레지스턴스들은 결합된 레지스턴스를 생성하도록 결합된다. 임피던스 매칭 네트워크 (106) 의 부분의 모든 고정 커패시터들의 모든 고정 커패시턴스들은 매칭 네트워크 모델 (102) 의 하나 이상의 고정 용량 엘리먼트들의 결합된 고정 커패시턴스로 결합된다. 매칭 네트워크 모델 (102) 의 다른 예들은 출원 번호 제 14/245,803 호를 가진 특허 출원에 제공된다. 또한, 임피던스 매칭 네트워크로부터 매칭 네트워크 모델을 생성하는 방식은 출원 번호 제 14/245,803 호를 가진 특허 출원에 기술된다.The matching network model 102 is derived from a branch of the impedance matching network 106 and represents, for example, a branch of the impedance matching network 106, and so on. For example, when an x MHz (megahertz) RF generator is coupled to a branch circuit of the impedance matching network 106, the matching network model 102 is a computer-generated model of the circuit of the branch circuit of the impedance matching network 106, For example, a computer-generated model. As another example, the matching network model 102 does not have the same number of circuit components as the impedance matching network 106. In some embodiments, the matching network model 102 has fewer circuit elements than the number of circuit components of the impedance matching network 106. For purposes of illustration, the matching network model 102 is a simplified form of the branch circuit of the impedance matching network 106. The variable capacitances of the plurality of variable capacitors of the branch circuit of the impedance matching network 106 are combined into a combined variable capacitance represented by one or more variable capacitive elements of the matching network model 102, The fixed capacitances of the plurality of fixed capacitors of the branch circuit of the network 106 are coupled to the combined fixed capacitance represented by one or more fixed capacitive elements of the matching network model 102 and / The inductances of the plurality of fixed inductors of the branch circuit are coupled to the combined inductance represented by one or more inductive elements of the matching network model 102 and / or the resistance of the plurality of resistors of the branch circuit of the impedance matching network 106 The matching network Is coupled to a fixed resistance represented by one or more resistive elements of the model (102). For further illustration, the capacitances of the series capacitors may be varied by inverting each of the capacitances to produce a plurality of inverted capacitances, summing the inverted capacitances to produce the inverted combined capacitances, and summing the combined capacitances Lt; RTI ID = 0.0 > inverted < / RTI > As another example, a plurality of inductances of inductors coupled in series are summed to produce a combined inductance, and a plurality of resistances of the series resistors are combined to produce a combined resistance. All of the fixed capacitances of all the fixed capacitors in the portion of the impedance matching network 106 are combined into the combined fixed capacitance of one or more fixed capacitive elements of the matching network model 102. [ Other examples of a matching network model 102 are provided in a patent application having application number 14 / 245,803. A method for generating a matching network model from an impedance matching network is also described in a patent application having application number 14 / 245,803.

일부 실시예들에서, 매칭 네트워크 모델 (102) 은 3 개의 분기부들을 가진 임피던스 매칭 네트워크 (106) 에 대한 배선도 (schematic) 로부터 생성되고, 분기부들 각각은 x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기에 대한 것이다. 3 개의 분기부들은 임피던스 매칭 네트워크 (106) 의 출력부 (140) 에서 서로 조인한다 (join). 배선도는 처음에 다양한 조합들로 복수의 인덕터들 및 커패시터들을 포함한다. 3 개의 분기부들 중 하나를 개별적으로 고려하기 위해, 매칭 네트워크 모델 (102) 은 3 개의 분기부들 중 하나를 나타낸다. 회로 엘리먼트들은 입력 디바이스를 통해 매칭 네트워크 모델 (102) 에 부가되고, 이 예들은 이하에 제공된다. 부가된 회로 엘리먼트들의 예들은 임피던스 매칭 네트워크 (106) 의 분기부의 전력 손실들을 고려하기 위한, 배선도에 이전에 포함되지 않은, 레지스터들, 다양한 연결 RF 스트랩들 (straps) 의 인덕턴스를 나타내기 위한, 배선도에 이전에 포함되지 않은, 인덕터들, 및 기생 커패시턴스들을 나타내기 위한, 배선도에 이전에 포함되지 않은, 커패시터들을 포함한다. 게다가, 일부 회로 엘리먼트들은 임피던스 매칭 네트워크 (106) 의 물리적 규모 때문에 임피던스 매칭 네트워크 (106) 의 분기부의 전송선 특성을 나타내도록 입력 디바이스를 통해 배선도에 더 부가된다. 예를 들어, 임피던스 매칭 네트워크 (106) 의 분기부 내의 하나 이상의 인덕터들의 감기지 않은 길이는 하나 이상의 인덕터들을 통해 지나가는 RF 신호의 파장과 비교하여 무시할 수 없다. 이 영향을 고려하기 위해, 배선도의 인덕터는 2 개 이상의 인덕터들로 분할된다. 그 후에, 일부 회로 엘리먼트들은 매칭 네트워크 모델 (102) 을 생성하도록 배선도로부터 입력 디바이스를 통해 제거된다.In some embodiments, the matching network model 102 is generated from a schematic for an impedance matching network 106 with three branches, each of the branches comprising an x MHz RF generator, a y MHz RF generator, and z MHz RF generator. The three branches join each other at the output 140 of the impedance matching network 106. The wiring diagram initially includes a plurality of inductors and capacitors in various combinations. To separately consider one of the three branches, the matching network model 102 represents one of the three branches. Circuit elements are added to the matching network model 102 via an input device, examples of which are provided below. Examples of the added circuit elements include a wiring diagram for indicating the inductance of the resistors, various connecting RF straps (straps) not previously included in the wiring diagram for considering the power losses of the branch of the impedance matching network 106 Inductors, and capacitors that were not previously included in the wiring diagram to represent the parasitic capacitances. In addition, some circuit elements are added to the wiring diagram through the input device to indicate the transmission line characteristics of the branch of the impedance matching network 106 due to the physical size of the impedance matching network 106. [ For example, the uncoiled length of one or more inductors in the branch of the impedance matching network 106 can not be compared with the wavelength of the RF signal passing through one or more inductors. To account for this effect, the inductor of the wiring diagram is divided into two or more inductors. Thereafter, some circuit elements are removed through the input device from the wiring diagram to create the matching network model 102.

다양한 실시예들에서, 매칭 네트워크 모델 (102) 은 임피던스 매칭 네트워크 (106) 의 분기 회로와 동일한 토폴로지, 예를 들어, 회로 엘리먼트들 사이의 연결들, 회로 엘리먼트들의 수, 등을 갖는다. 예를 들어, 임피던스 매칭 네트워크 (106) 의 분기 회로가 인덕터와 직렬로 커플링된 커패시터를 포함한다면, 매칭 네트워크 모델 (102) 은 인덕터와 직렬로 커플링된 커패시터를 포함한다. 이 예에서, 임피던스 매칭 네트워크 (106) 의 분기 회로의 인덕터들과 매칭 네트워크 모델 (102) 의 인덕터들은 동일한 값을 갖고 그리고 임피던스 매칭 네트워크 (106) 의 분기 회로의 커패시터들과 매칭 네트워크 모델 (102) 의 커패시터들은 동일한 값을 갖는다. 또 다른 예로서, 임피던스 매칭 네트워크 (106) 의 분기 회로가 인덕터와 병렬로 커플링된 커패시터를 포함한다면, 매칭 네트워크 모델 (102) 은 인덕터와 병렬로 커플링된 커패시터를 포함한다. 이 예에서, 임피던스 매칭 네트워크 (106) 의 분기 회로의 인덕터들과 매칭 네트워크 모델 (102) 의 인덕터들은 동일한 값을 갖고 그리고 임피던스 매칭 네트워크 (106) 의 분기 회로의 커패시터들과 매칭 네트워크 모델 (102) 의 커패시터들은 동일한 값을 갖는다. 또 다른 예로서, 매칭 네트워크 모델 (102) 은 임피던스 매칭 네트워크 (106) 의 회로 컴포넌트들과 동일한 수 및 동일한 타입의 회로 엘리먼트들을 갖고 그리고 회로 컴포넌트들 사이와 동일한 타입의 회로 엘리먼트들 사이의 연결들을 갖는다. 회로 엘리먼트들의 타입들의 예들은 레지스터들, 인덕터들, 및 커패시터들을 포함한다. 연결들의 타입의 예들은 직렬, 병렬, 등을 포함한다.In various embodiments, the matching network model 102 has the same topology as the branch circuit of the impedance matching network 106, e.g., connections between circuit elements, number of circuit elements, and so on. For example, if the branch circuit of the impedance matching network 106 includes a capacitor coupled in series with the inductor, the matching network model 102 includes a capacitor coupled in series with the inductor. In this example, the inductors of the branch circuit of the impedance matching network 106 and the inductors of the matching network model 102 have the same value, and the capacitors of the branch circuit of the impedance matching network 106 and the matching network model 102, Have the same value. As another example, if the branch circuit of the impedance matching network 106 includes a capacitor coupled in parallel with the inductor, the matching network model 102 includes a capacitor coupled in parallel with the inductor. In this example, the inductors of the branch circuit of the impedance matching network 106 and the inductors of the matching network model 102 have the same value, and the capacitors of the branch circuit of the impedance matching network 106 and the matching network model 102, Have the same value. As another example, the matching network model 102 has circuit elements of the same number and type as the circuit components of the impedance matching network 106, and connections between circuit elements of the same type between the circuit components . Examples of types of circuit elements include resistors, inductors, and capacitors. Examples of types of connections include serial, parallel, and so on.

게다가, RF 생성기 (104) 는 RF 신호를 생성하기 위한 RF 전력 공급부 (122) 를 포함한다. RF 생성기 (104) 는 RF 생성기 (104) 의 출력부 (126) 에 연결되는, 센서 (124), 예를 들어, 복소 임피던스 센서, 복소 전류 및 전압 센서, 복소 반사 계수 센서, 복소 전압 센서, 복소 전류 센서, 등을 포함한다. 출력부 (126) 는 RF 케이블 (130) 을 통해 임피던스 매칭 네트워크 (106) 의 분기 회로의 입력부 (128) 에 연결된다. 임피던스 매칭 네트워크 (106) 는 RF 로드 (rod) 및 RF 로드를 둘러싸는 RF 외측 컨덕터를 포함하는 RF 전송선 (132) 을 통해 플라즈마 챔버 (108) 에 연결된다.In addition, the RF generator 104 includes an RF power supply 122 for generating an RF signal. The RF generator 104 includes a sensor 124 coupled to an output 126 of the RF generator 104 and coupled to a sensor 124 such as a complex impedance sensor, a complex current and voltage sensor, a complex reflection coefficient sensor, a complex voltage sensor, Current sensors, and the like. The output 126 is connected to the input 128 of the branch circuit of the impedance matching network 106 via the RF cable 130. The impedance matching network 106 is coupled to the plasma chamber 108 via an RF transmission line 132 that includes a RF rod and an RF outer conductor surrounding the RF load.

드라이브 어셈블리 (112) 는 드라이버, 예를 들어, 하나 이상의 트랜지스터들, 등, 및 모터를 포함하고, 그리고 모터는 임피던스 매칭 네트워크 (106) 의 가변 커패시터에 연결 메커니즘 (114) 을 통해 연결된다. 연결 메커니즘 (114) 의 예들은 하나 이상의 로드들, 또는 기어를 통해 서로 연결되는 로드들, 등을 포함한다. 연결 메커니즘 (114) 은 임피던스 매칭 네트워크 (106) 의 가변 커패시터에 연결된다. 예를 들어, 연결 메커니즘 (114) 은 입력부 (128) 를 통해 RF 생성기 (104) 에 연결되는 분기 회로의 일부인 가변 커패시터에 연결된다.The drive assembly 112 includes a driver, e.g., one or more transistors, etc., and a motor, and the motor is coupled to a variable capacitor of the impedance matching network 106 via a connection mechanism 114. Examples of the connection mechanism 114 include one or more rods, or rods connected to one another via a gear, or the like. The connection mechanism 114 is connected to the variable capacitor of the impedance matching network 106. For example, the connection mechanism 114 is connected to a variable capacitor that is part of a branch circuit coupled to the RF generator 104 via an input 128.

임피던스 매칭 네트워크 (106) 가 RF 생성기 (104) 에 연결되는 분기 회로 내에 2 개 이상의 가변 커패시터를 포함하는 경우에, 드라이브 어셈블리 (112) 는 2 개 이상의 가변 커패시터를 제어하기 위한 개별적인 모터들을 포함하고, 그리고 모터 각각은 대응하는 연결 메커니즘을 통해 대응하는 가변 커패시터에 연결된다는 것이 주의되어야 한다. 이 경우에, 복수의 연결 메커니즘들은 연결 메커니즘 (114) 으로서 지칭된다.In a case where the impedance matching network 106 includes two or more variable capacitors in a branch circuit coupled to the RF generator 104, the drive assembly 112 includes individual motors for controlling two or more variable capacitors, And each of the motors is connected to a corresponding variable capacitor through a corresponding connection mechanism. In this case, the plurality of connection mechanisms is referred to as a connection mechanism 114.

RF 생성기 (104) 는 x ㎒ RF 생성기 또는 y ㎒ RF 생성기 또는 z ㎒ RF 생성기이다. 일부 실시예들에서, x ㎒ RF 생성기의 예는 2 ㎒ RF 생성기를 포함하고, y ㎒ RF 생성기의 예는 27 ㎒ RF 생성기를 포함하고, 그리고 z ㎒ RF 생성기의 예는 60 ㎒ RF 생성기를 포함한다. 다양한 실시예들에서, x ㎒ RF 생성기의 예는 400 ㎑ RF 생성기를 포함하고, y ㎒ RF 생성기의 예는 27 ㎒ RF 생성기를 포함하고, 그리고 z ㎒ RF 생성기의 예는 60 ㎒ RF 생성기를 포함한다.The RF generator 104 is an x ㎒ RF generator or a y ㎒ RF generator or a z ㎒ RF generator. In some embodiments, an example of an x MHz RF generator includes a 2 MHz RF generator, an example of a y MHz RF generator includes a 27 MHz RF generator, and an example of a z MHz RF generator includes a 60 MHz RF generator do. In various embodiments, an example of an x MHz RF generator includes a 400 MHz RF generator, an example of a y MHz RF generator includes a 27 MHz RF generator, and an example of a z MHz RF generator includes a 60 MHz RF generator do.

2 개의 RF 생성기들, 예를 들어, x 및 y ㎒ RF 생성기들, 등이 플라즈마 챔버 (100) 내에서 사용되는 경우에, 2 개의 RF 생성기들 중 일 RF 생성기는 입력부 (128) 에 연결되고 그리고 RF 생성기들 중 또 다른 RF 생성기는 임피던스 매칭 네트워크 (106) 의 또 다른 입력부에 연결된다는 것이 주의되어야 한다. 유사하게, 3 개의 RF 생성기들, 예를 들어, x, y, 및 z ㎒ RF 생성기들, 등이 플라즈마 챔버 (100) 내에서 사용되는 경우에, 3 개의 RF 생성기들 중 제 1 RF 생성기는 입력부 (128) 에 연결되고, RF 생성기들 중 제 2 RF 생성기는 임피던스 매칭 네트워크 (106) 의 제 2 입력부에 연결되고, 그리고 RF 생성기들 중 제 3 RF 생성기는 임피던스 매칭 네트워크 (106) 의 제 3 입력부에 연결된다. 출력부 (140) 는 임피던스 매칭 네트워크 (106) 의 분기 회로를 통해 입력부 (128) 에 연결된다. 복수의 RF 생성기들이 사용되는 실시예들에서, 출력부 (140) 는 임피던스 매칭 네트워크 (106) 의 제 2 분기 회로를 통해 제 2 입력부에 연결되고 그리고 출력부 (140) 는 임피던스 매칭 네트워크 (106) 의 제 3 분기 회로를 통해 제 3 입력부에 연결된다.When two RF generators, e.g., x and y MHz RF generators, etc., are used in the plasma chamber 100, one RF generator of the two RF generators is connected to the input 128, It should be noted that another RF generator of the RF generators is connected to another input of the impedance matching network 106. Similarly, when three RF generators, e.g., x, y, and z ㎒ RF generators, etc., are used in the plasma chamber 100, the first one of the three RF generators is coupled to the input A second RF generator of the RF generators is coupled to a second input of the impedance matching network 106 and a third RF generator of the RF generators is coupled to a third input of the impedance matching network 106, Lt; / RTI > The output unit 140 is connected to the input unit 128 through a branch circuit of the impedance matching network 106. In embodiments where a plurality of RF generators are used, the output 140 is connected to the second input through a second branch of the impedance matching network 106 and the output 140 is connected to the impedance matching network 106, Lt; RTI ID = 0.0 > 3 < / RTI >

호스트 컴퓨터 시스템 (110) 은 프로세서 (134) 및 메모리 디바이스 (137) 를 포함한다. 호스트 컴퓨터 시스템 (110) 의 예들은 랩탑 컴퓨터 또는 데스크탑 컴퓨터 또는 태블릿 또는 스마트 폰, 등을 포함한다. 본 명세서에서 사용되는 바와 같이, 프로세서 대신에, CPU (central processing unit), 제어기, ASIC (application specific integrated circuit), 또는 PLD (programmable logic device) 가 사용되고, 그리고 이들 용어들은 본 명세서에서 상호 교환 가능하게 사용된다. 메모리 디바이스의 예들은 ROM (read-only memory), RAM (random access memory), 하드디스크, 휘발성 메모리, 비휘발성 메모리, 저장 디스크들의 리던던트 (redundant) 어레이, 플래시 메모리, 등을 포함한다. 센서 (124) 는 네트워크 케이블 (136) 을 통해 호스트 컴퓨터 시스템 (110) 에 연결된다. 본 명세서에서 사용되는 바와 같이, 네트워크 케이블의 예들은 직렬 방식으로, 또는 병렬 방식으로, 또는 USB 프로토콜을 사용하여, 등으로 데이터를 전송하도록 사용되는 케이블이다.The host computer system 110 includes a processor 134 and a memory device 137. Examples of the host computer system 110 include a laptop computer or a desktop computer or a tablet or smart phone, and the like. As used herein, a central processing unit (CPU), a controller, an application specific integrated circuit (ASIC), or a programmable logic device (PLD) is used instead of a processor and these terms are used interchangeably herein Is used. Examples of memory devices include read-only memory (ROM), random access memory (RAM), hard disk, volatile memory, non-volatile memory, redundant arrays of storage disks, flash memory, and the like. The sensor 124 is connected to the host computer system 110 via a network cable 136. As used herein, examples of network cables are cables used to transmit data in a serial manner, in a parallel manner, or using a USB protocol, and the like.

RF 생성기 (104) 는 무선 주파수 RF1로 동작된다. 예를 들어, 프로세서 (134) 는 무선 주파수 RF1 및 전력 값을 포함하는 레시피를 RF 생성기 (104) 에 제공한다. RF 생성기 (104) 는 호스트 컴퓨터 시스템 (110) 및 RF 생성기 (104) 에 연결되는 네트워크 케이블 (138) 을 통해 레시피를 수신하고, 그리고 RF 생성기 (104) 의 DSP (digital signal processor) 는 레시피를 RF 전력 공급부 (122) 에 제공한다. RF 전력 공급부 (122) 는 레시피에 규정된 (prescribed) 무선 주파수 RF1 및 전력을 가진 RF 신호를 생성한다.The RF generator 104 operates at radio frequency RF1. For example, the processor 134 provides a recipe to the RF generator 104 that includes a radio frequency RF1 and a power value. The RF generator 104 receives the recipe via the network cable 138 connected to the host computer system 110 and the RF generator 104 and the digital signal processor (DSP) of the RF generator 104 converts the recipe into an RF And supplies it to the power supply unit 122. The RF power supply 122 generates an RF signal having radio frequency RF1 and power prescribed in the recipe.

임피던스 매칭 네트워크 (106) 는 결합된 가변 커패시턴스 C1을 갖도록 초기화된다. 예를 들어, 프로세서 (134) 는 하나 이상의 전류 신호들을 생성하도록 드라이브 어셈블리 (112) 의 드라이버로 신호를 전송한다. 하나 이상의 전류 신호들이 드라이버에 의해 생성되고 그리고 드라이브 어셈블리 (112) 의 대응하는 하나 이상의 모터들의 대응하는 하나 이상의 고정자들로 전송된다. 드라이브 어셈블리 (112) 의 대응하는 하나 이상의 회전자들은 임피던스 매칭 네트워크 (106) 의 분기 회로의 결합된 가변 커패시턴스를 C1로 변화시키도록 연결 메커니즘 (114) 을 이동시키게 회전한다. 결합된 가변 커패시턴스 C1을 가진 임피던스 매칭 네트워크 (106) 의 분기 회로는 출력부 (126) 로부터 입력부 (128) 및 RF 케이블 (130) 을 통해 무선 주파수 RF1을 가진 RF 신호를 수신하고 그리고 RF 신호인 수정된 신호를 생성하도록 임피던스 매칭 네트워크 (106) 에 연결된 소스의 임피던스와 임피던스 매칭 네트워크 (106) 에 연결된 부하의 임피던스를 매칭한다. 부하의 예들은 플라즈마 챔버 (108) 및 RF 전송선 (132) 을 포함한다. 소스의 예들은 RF 케이블 (130) 및 RF 생성기 (104) 를 포함한다. 수정된 신호는 임피던스 매칭 네트워크 (106) 의 분기 회로의 출력부 (140) 로부터 RF 전송선 (132) 을 통해 척 (118) 으로 제공된다. 수정된 신호가 하나 이상의 프로세스 가스들, 예를 들어, 산소 함유 가스, 불소 함유 가스, 등과 함께 척 (118) 에 제공될 때, 플라즈마가 척 (118) 과 상부 전극 (116) 사이의 갭에서 생성되거나 유지된다.The impedance matching network 106 is initialized to have a combined variable capacitance C1. For example, the processor 134 sends a signal to the driver of the drive assembly 112 to generate one or more current signals. One or more current signals are generated by the driver and transmitted to the corresponding one or more stator (s) of the corresponding one or more motors of the drive assembly 112. The corresponding one or more rotors of the drive assembly 112 rotate to move the coupling mechanism 114 to change the combined variable capacitance of the branch circuit of the impedance matching network 106 to Cl. The branch circuit of the impedance matching network 106 with the combined variable capacitance C1 receives the RF signal with the radio frequency RF1 from the output 126 via the input 128 and RF cable 130, Matching the impedance of the source coupled to the impedance matching network 106 to the impedance of the load coupled to the impedance matching network 106 to generate the signal. Examples of loads include a plasma chamber 108 and an RF transmission line 132. Examples of sources include an RF cable 130 and an RF generator 104. The modified signal is provided from the output 140 of the branch circuit of the impedance matching network 106 to the chuck 118 via the RF transmission line 132. When the modified signal is provided to the chuck 118 with one or more process gases, such as an oxygen containing gas, a fluorine containing gas, etc., a plasma is generated in the gap between the chuck 118 and the top electrode 116 Or maintained.

무선 주파수 RF1을 가진 RF 신호가 생성되고 그리고 임피던스 매칭 네트워크 (106) 가 결합된 가변 커패시턴스 C1을 가질 때, 센서 (124) 는 출력부 (126) 에서 전압 반사 계수 Γmi1을 센싱하고 그리고 네트워크 케이블 (136) 을 통해 프로세서 (134) 로 전압 반사 계수를 제공한다. 전압 반사 계수의 예는 플라즈마 챔버 (108) 로부터 RF 생성기 (104) 를 향해 반사된 전력과 RF 생성기 (104) 에 의해 생성된 RF 신호 내에 공급된 전력의 비를 포함한다. 프로세서 (134) 는 전압 반사 계수 Γmi1로부터 임피던스 Zmi1을 계산한다. 예를 들어, 프로세서 (134) 는 Γmi1 = (Zmi1 - Zo)/(Zmi1 + Zo) 인 방정식 (1) 을 적용하고 Zmi1에 대해 풀어서, 임피던스 Zmi1을 계산하고, 여기서 Zo은 RF 전송선 (132) 의 특성 임피던스이다. 임피던스 Zo은 입력/출력 인터페이스, 예를 들어, 직렬 인터페이스, 병렬 인터페이스, USB (universal serial bus) 인터페이스, 등을 통해 프로세서 (134) 에 연결되는, 입력 디바이스, 예를 들어, 마우스, 키보드, 스타일러스, 키패드, 버튼, 터치 스크린, 등을 통해 프로세서 (134) 에 제공된다. 일부 실시예들에서, 센서 (124) 는 임피던스 Zmi1을 측정하고 그리고 임피던스 Zmi1을 네트워크 케이블 (136) 을 통해 프로세서 (134) 에 제공한다.When the RF signal with radio frequency RF1 is generated and the impedance matching network 106 has a combined variable capacitance C1, the sensor 124 senses the voltage reflection coefficient Γmi1 at the output 126, To the processor 134. The voltage reflection coefficient < RTI ID = 0.0 > An example of the voltage reflection coefficient includes the ratio of the power reflected from the plasma chamber 108 toward the RF generator 104 and the power supplied in the RF signal generated by the RF generator 104. The processor 134 calculates the impedance Zmi1 from the voltage reflection coefficient Gmi1. For example, the processor 134 applies Equation (1) with Γmi1 = (Zmi1-Zo) / (Zmi1 + Zo) and solves for Zmi1 to calculate the impedance Zmi1, where Zo is the impedance of the RF transmission line 132 Characteristic impedance. The impedance Zo is an input device, e.g., a mouse, a keyboard, a stylus, a keyboard, etc., which is connected to the processor 134 through an input / output interface, e.g., a serial interface, a parallel interface, a universal serial bus A keypad, a button, a touch screen, and the like. In some embodiments, the sensor 124 measures the impedance Zmi1 and provides the impedance Zmi1 to the processor 134 via the network cable 136.

임피던스 Zmi1은 매칭 네트워크 모델 (102) 의 입력부 (142) 에 프로세서 (134) 에 의해 인가되고 그리고 매칭 네트워크 모델 (102) 의 출력부 (144) 에서 부하 임피던스 ZL1을 계산하도록 매칭 네트워크 모델 (102) 을 통해 순방향으로 전파된다. 예를 들어, 임피던스 Z1은 부하 임피던스 ZL1을 생성하도록 프로세서 (134) 에 의해 매칭 네트워크 모델 (102) 의 하나 이상의 회로 엘리먼트들을 통해 순방향으로 전파된다. 예시를 위해, 매칭 네트워크 모델 (102) 은 무선 주파수 RF1을 갖도록 초기화된다. 매칭 네트워크 모델 (102) 이 저항성 엘리먼트, 유도 엘리먼트, 고정 용량 엘리먼트, 및 가변 용량 엘리먼트의 직렬 조합을 포함할 때, 프로세서 (134) 는 부하 임피던스 ZL1을 생성하도록, 매칭 네트워크 모델 (102) 의 입력부 (142) 에서 수신된 임피던스 Zmi1, 저항성 엘리먼트에 걸친 복소 임피던스, 유도 엘리먼트에 걸친 복소 임피던스, 및 가변 커패시턴스 C1을 가진 가변 용량 엘리먼트에 걸친 복소 임피던스, 및 고정 용량 엘리먼트에 걸친 복소 임피던스의 방향성 합을 계산한다.The impedance Zmi1 is applied by the processor 134 to the input 142 of the matching network model 102 and the matching network model 102 to calculate the load impedance ZL1 at the output 144 of the matching network model 102 Lt; / RTI > For example, the impedance Z1 propagates forward through one or more circuit elements of the matching network model 102 by the processor 134 to produce a load impedance ZL1. For illustrative purposes, matching network model 102 is initialized to have radio frequency RF1. When the matching network model 102 includes a series combination of a resistive element, an inductive element, a fixed capacitive element, and a variable capacitive element, the processor 134 may cause the input of the matching network model 102 to produce a load impedance ZL1 142, the complex impedance across the variable capacitance element with the variable capacitance C1, and the directional sum of the complex impedance over the fixed capacitance element are calculated .

일부 실시예들에서, RF 생성기 (104) 는 펄스파 모드가 아닌 연속파 모드로 동작한다. 예를 들어, RF 생성기 (104) 는 펄싱된 상태들 (S1 및 S2) 을 갖지 않고, 상태 (S2) 의 RF 신호의 모든 전력 값들은 상태 (S1) 의 RF 신호의 전력 값들을 제외한다. 상태 (S2) 는 상태 (S1) 의 전력 값들보다 낮은 전력 값들을 갖는다. 또 다른 예로서, 연속파 모드에서, 일 상태를 생성하도록 상태들 (S1 및 S2) 사이의 차를 제거하게 상태 (S2) 의 적어도 하나의 전력 값과 상태 (S1) 의 적어도 하나의 전력 값들의 오버랩이 있다.In some embodiments, the RF generator 104 operates in a continuous wave mode rather than a pulsed wave mode. For example, the RF generator 104 does not have the pulsed states S1 and S2, and all the power values of the RF signal in state S2 exclude the power values of the RF signal in state S1. State S2 has power values that are lower than the power values in state S1. As another example, in the continuous wave mode, the difference between at least one power value of state S2 and at least one power value of state S1 so as to eliminate the difference between states S1 and S2 to produce a state, .

다양한 실시예들에서, 출력부 (126) 에서 전압 반사 계수를 측정하는 대신, 전압 반사 계수는 출력부 (126) 를 포함하여 출력부 (126) 로부터 입력부 (128) 로의 RF 케이블 (130) 상의 임의의 지점에서 측정된다. 예를 들어, 센서 (124) 는 전압 반사 계수를 측정하도록 RF 전력 공급부 (122) 와 임피던스 매칭 네트워크 (106) 사이의 지점에 연결된다.In various embodiments, instead of measuring the voltage reflection coefficient at the output 126, the voltage reflection coefficient may include an output 126, such as an arbitrary value on the RF cable 130 from the output 126 to the input 128, Lt; / RTI > For example, the sensor 124 is connected to a point between the RF power supply 122 and the impedance matching network 106 to measure the voltage reflection coefficient.

도 2는 입력부 (142) 에서 전압 반사 계수 Γi를 생성하도록 무선 주파수 RF1 및 가변 커패시턴스 C1로 초기화되는 매칭 네트워크 모델 (102) 의 실시예의 다이어그램이다. 프로세서 (134) 는 부하 임피던스 ZL1 및 매칭 네트워크 모델 (102) 로부터 전압 반사 계수 Γi가 0인 무선 주파수 값 RFoptimum 및 결합된 가변 커패시턴스 값 Coptimum1을 계산한다. 예를 들어, 프로세서 (134) 는 입력부 (142) 에서 0 값을 갖는 전압 반사 계수 Γi에 대응하는 입력 임피던스 Zi을 생성하도록 매칭 네트워크 모델 (102) 을 통해 부하 임피던스 ZL1을 역방향으로 전파한다. 역방향 전파는 역방향 전파가 순방향 전파와 반대의 방향인 것을 제외하고 순방향 전파와 동일하다. 일부 실시예들에서, 비선형 최소 제곱 최적화 루틴은 부하 임피던스 ZL1 및 매칭 네트워크 모델 (102) 로부터 전압 반사 계수 Γi가 0인 무선 주파수 값 RFoptimum 및 결합된 가변 커패시턴스 값 Coptimum1을 계산하도록 프로세서 (134) 에 의해 실행된다. 다양한 실시예들에서, 미리 결정된 방정식들은 부하 임피던스 ZL1 및 매칭 네트워크 모델 (102) 로부터 전압 반사 계수 Γi가 0인 무선 주파수 값 RFoptimum 및 결합된 가변 커패시턴스 값 Coptimum1을 계산하도록 프로세서 (134) 에 의해 적용된다.2 is a diagram of an embodiment of a matching network model 102 initiated with a radio frequency RF1 and variable capacitance C1 to produce a voltage reflection coefficient Γi at the input 142. The processor 134 computes the radio frequency value RFoptimum and the combined variable capacitance value Coptimum1 whose voltage reflection coefficient Γi is zero from the load impedance ZL1 and the matching network model 102. [ For example, the processor 134 propagates the load impedance ZL1 in the reverse direction through the matching network model 102 to produce an input impedance Zi corresponding to a voltage reflection coefficient < RTI ID = 0.0 > Reverse propagation is the same as forward propagation except that the reverse propagation direction is opposite to the forward propagation direction. In some embodiments, the nonlinear least-squares optimization routine may be performed by the processor 134 to compute a radio frequency value RFoptimum and a combined variable capacitance value Coptimum1 with a voltage reflection coefficient? I of zero from the load impedance ZL1 and matching network model 102 . In various embodiments, the predetermined equations are applied by the processor 134 to calculate the radio frequency value RFoptimum and the combined variable capacitance value Coptimum1 with a voltage reflection coefficient? I of the load impedance ZL1 and the matching network model 102 from zero .

게다가, 프로세서 (134) 는 매칭 네트워크 모델 (102) 에 인가된 무선 주파수 값들을 RFoptimum1@C1로부터 RFoptimumn@C1로 가변하고 그리고 전압 반사 계수 Γi가 최소 값인 무선 주파수 RFoptimum1@C1을 결정하도록 부하 임피던스 ZL1을 역방향으로 전파하고, 여기서 n은 1 초과의 정수이다. 예를 들어, 프로세서 (134) 는 전압 반사 계수 Γi가 제 1 값을 갖는다는 것을 결정하도록 매칭 네트워크 모델 (102) 이 무선 주파수 RFoptimum1@C1을 가질 때, 가변 커패시턴스 C1을 갖는 매칭 네트워크 모델 (102) 을 통해 부하 임피던스 ZL1을 역방향으로 전파한다. 게다가, 이 예에서, 프로세서 (134) 는 전압 반사 계수 Γi가 제 2 값을 갖는다는 것을 결정하도록 매칭 네트워크 모델 (102) 이 무선 주파수 RFoptimum2@C1을 가질 때, 가변 커패시턴스 C1을 갖는 매칭 네트워크 모델 (102) 을 통해 부하 임피던스 ZL1을 역방향으로 전파한다. 프로세서 (134) 는 RFoptimum1@C1이 전압 반사 계수 Γi가 최소 값인 무선 주파수 값임을 또한 결정하도록 제 1 값이 제 1 값 및 제 2 값 중 최소 값임을 결정한다. 일부 실시예들에서, 비선형 제곱 최적화 루틴은 전압 반사 계수 Γi가 최소 값을 갖는 무선 주파수 값 RFoptimum1@C1을 찾도록 사용된다.In addition, the processor 134 varies the radio frequency values applied to the matching network model 102 from RFoptimum1 @ C1 to RFoptimum @ C1 and sets the load impedance ZL1 to determine the radio frequency RFoptimum1 @ C1, Propagating in the opposite direction, where n is an integer greater than one. For example, the processor 134 may select a matching network model 102 having a variable capacitance C1 when the matching network model 102 has a radio frequency RFoptimum1 @ C1 to determine that the voltage reflection coefficient? I has a first value, Lt; RTI ID = 0.0 > ZL1 < / RTI > In addition, in this example, the processor 134 may determine that the matching network model 102 having a variable capacitance C1 when the matching network model 102 has a radio frequency RFoptimum2 @ C1 to determine that the voltage reflection coefficient? I has a second value 102 to reverse the load impedance ZL1. The processor 134 determines that the first value is the minimum of the first value and the second value so that the RFoptimum1 @ C1 also determines that the voltage reflection coefficient? I is the radio frequency value with the minimum value. In some embodiments, the nonlinear squared optimization routine is used to find a radio frequency value RFoptimum1 @ C1 with a voltage reflection coefficient G i having a minimum value.

다양한 실시예들에서, 전압 반사 계수가 최소 값에 있는 무선 주파수의 값은 적합한 RF 값으로서 본 명세서에 지칭된다.In various embodiments, the value of the radio frequency whose voltage reflection coefficient is at the minimum value is referred to herein as a suitable RF value.

일부 실시예들에서, RF 값은 본 명세서에서 때때로 "파라미터 값"으로서 지칭된다. 게다가, 커패시턴스는 본 명세서에서 때때로 "측정 가능한 인자"로서 지칭된다.In some embodiments, the RF value is sometimes referred to herein as a "parameter value ". In addition, the capacitance is sometimes referred to herein as a "measurable factor ".

도 3은 스텝 결합된 가변 커패시턴스 값 Cstep1을 생성하기 위한 커패시턴스 값 Coptimum1의 사용 및 매칭 네트워크 모델 (102) 의 출력부 (144) 에서 부하 임피던스 ZL2를 생성하기 위한 값 RFoptimum1@C1의 사용을 예시하기 위한 플라즈마 시스템 (100) 의 실시예의 다이어그램이다. 프로세서 (134) 는 무선 주파수 값 RFoptimum1@C1을 포함하도록 레시피를 수정하고 그리고 무선 주파수 값 RFoptimum1@C1을 RF 생성기 (104) 에 제공한다. 게다가, 프로세서 (134) 는 값 C1로부터 값 Coptimum1의 방향으로 일 스텝인 스텝 가변 커패시턴스 값 Cstep1을 결정한다. 임피던스 매칭 네트워크 (106) 의 대응하는 하나 이상의 가변 커패시터들의 하나 이상의 커패시턴스들이 C1로부터 Coptimum1을 향해 변화하도록 수정될지라도, 하나 이상의 가변 커패시터들은 RF 생성기 (104) 에 의해 생성된 RF 신호의 RF 주파수의 변화들에 대해 충분히 느리게 이동한다는 것이 주의되어야 한다.3 illustrates the use of the capacitance value Coptimum1 to generate a stepped variable capacitance value Cstep1 and the use of a value RFoptimum1 @ C1 to produce a load impedance ZL2 at the output 144 of the matching network model 102 ≪ / RTI > is a diagram of an embodiment of a plasma system (100). The processor 134 modifies the recipe to include the radio frequency value RFoptimum1 @ C1 and provides the radio frequency value RFoptimum1 @ C1 to the RF generator 104. In addition, the processor 134 determines a step variable capacitance value Cstep1 that is one step in the direction of the value Coptimum1 from the value C1. Although one or more capacitances of the corresponding one or more variable capacitors of the impedance matching network 106 are modified to vary from Cl to Coptimum1, the one or more variable capacitors may be varied by changing the RF frequency of the RF signal generated by the RF generator 104 Lt; RTI ID = 0.0 > and / or < / RTI >

임피던스 매칭 네트워크 (106) 의 결합된 가변 커패시턴스를 값 Coptimum1로 설정하는 대신 그리고 무선 주파수 RFoptimum을 가진 RF 신호를 생성하도록 RF 생성기 (104) 를 설정하는 대신, 프로세서 (134) 는, 임피던스 매칭 네트워크 (106) 의 결합된 가변 커패시턴스가 값 Cstep1로 설정되도록 드라이브 어셈블리 (112) 를 제어하고 그리고 무선 주파수 RFoptimum1@C1로 동작하도록 RF 생성기 (104) 를 제어한다. 무선 주파수 RFoptimum을 가진 RF 신호를 생성하도록 RF 생성기 (104) 에 의해 걸린 시간보다 임피던스 매칭 네트워크 (106) 가 가변 커패시턴스 Coptimum1을 달성하는데 시간이 더 걸리고, 예를 들어, 대략 초, 등이 걸린다. 예를 들어, RF 생성기 (104) 가 무선 주파수 RF1로부터 무선 주파수 RFoptimum을 달성하는데 대략 마이크로초가 걸린다. 그 결과, RF 생성기 (104) 의 입력부 (126) 에서 전압 반사 계수가 0이도록 값 RF1로부터 값 RFoptimum을 달성하는 동시에 값 C1로부터 값 Coptimum1을 바로 달성하는 것이 어렵다. 그러므로, 임피던스 매칭 네트워크 (106) 의 가변 커패시턴스는 가변 커패시턴스 Coptimum1을 향하는 방향으로 스텝들, 예를 들어, Cstep1 등으로 조정된다.Instead of setting the combined variable capacitance of the impedance matching network 106 to the value Coptimum1 and instead of setting the RF generator 104 to generate an RF signal with a radio frequency RFoptimum, the processor 134 may use the impedance matching network 106 Controls the drive generator 112 to set the combined variable capacitance of the RF generator 104 to a value Cstep1 and controls the RF generator 104 to operate with the radio frequency RFoptimum1 @ C1. The impedance matching network 106 takes longer to achieve the variable capacitance Coptimum1 than the time taken by the RF generator 104 to generate an RF signal with a radio frequency RFoptimum, for example, approximately seconds, and so on. For example, the RF generator 104 takes approximately microseconds to achieve the radio frequency RFoptimum from the radio frequency RF1. As a result, it is difficult to achieve the value RFoptimum from the value RF1 such that the voltage reflection coefficient at the input 126 of the RF generator 104 is zero, while simultaneously achieving the value Coptimum1 from the value C1. Therefore, the variable capacitance of the impedance matching network 106 is adjusted to the steps, e.g., Cstep1, in the direction toward the variable capacitance Coptimum1.

무선 주파수 RFoptimum1@C1 및 가변 커패시턴스 Cstep1에 대해, RF 생성기 (104) 는 하부 전극 (118) 에 제공되는 수정된 신호를 생성하도록 임피던스 매칭 네트워크 (106) 를 통해 지나가는, 무선 주파수 RFoptimum1@C1을 가진 RF 신호를 생성한다. RF 생성기 (104) 가 무선 주파수 RFoptimum1@C1을 가진 RF 신호를 생성하고 그리고 결합된 가변 커패시턴스가 Cstep1일 때, 센서 (124) 는 출력부 (126) 에서 전압 반사 계수 Γmi2를 측정하고 그리고 프로세서 (134) 는, 임피던스 Zmi1이 전압 반사 계수 Γmi1로부터 생성되는, 상기에 기술된 동일한 방식으로 전압 반사 계수 Γmi2로부터 임피던스 Zmi2를 생성한다. 게다가, 임피던스 Zmi1은 부하 임피던스 ZL1이 매칭 네트워크 모델 (102) 의 입력부 (142) 의 임피던스 Zmi1로부터 출력부 (144) 에서 생성되는 동일한 방식으로 매칭 네트워크 모델 (102) 의 출력부 (144) 에서 부하 임피던스 ZL2를 생성하도록 매칭 네트워크 모델 (102) 을 통해 순방향으로 전파된다.The RF generator 104 is coupled to an RF (radio frequency) RFoptimum1 @ C1 radio frequency RFoptimum1 @ C1 that passes through the impedance matching network 106 to generate a modified signal provided to the lower electrode 118. The radio frequency RFoptimum1 @ C1 and the variable capacitance Cstep1 Signal. When the RF generator 104 generates an RF signal with a radio frequency RFoptimum1 @ C1 and the combined variable capacitance is Cstep1, the sensor 124 measures the voltage reflection coefficient Γmi2 at the output 126, Generates an impedance Zmi2 from the voltage reflection coefficient Gmi2 in the same manner as described above, in which the impedance Zmi1 is generated from the voltage reflection coefficient Gmi1. In addition, the impedance Zmi1 is a load impedance at the output 144 of the matching network model 102 in the same way that the load impedance ZL1 is generated at the output 144 from the impedance Zmi1 of the input 142 of the matching network model 102 Lt; RTI ID = 0.0 > ZL2. ≪ / RTI >

도 4는 입력부 (142) 에서 전압 반사 계수 Γi의 최소 값을 생성하도록 무선 주파수 RFoptimum1@C1 및 결합된 가변 커패시턴스 Cstep1로 설정되는 매칭 네트워크 모델 (102) 의 실시예의 다이어그램이다. 예를 들어, 프로세서 (134) 는 무선 주파수 RFoptimum1@C1 및 결합된 가변 커패시턴스 Cstep1을 매칭 네트워크 모델 (102) 에 인가한다. 또 다른 예로서, 프로세서 (134) 는 무선 주파수의 값 RFoptimum1@C1 및 결합된 가변 커패시턴스의 값 Cstep1을 가진 것으로서 매칭 네트워크 모델 (102) 의 파라미터들의 값들을 설정한다.4 is a diagram of an embodiment of a matching network model 102 that is set to a radio frequency RFoptimum1 @ C1 and an associated variable capacitance Cstep1 to produce a minimum value of the voltage reflection coefficient Γi at the input 142. For example, the processor 134 applies the radio frequency RFoptimum1 @ C1 and the combined variable capacitance Cstep1 to the matching network model 102. As another example, the processor 134 sets the values of the parameters of the matching network model 102 as having a radio frequency value RFoptimum1 @ C1 and a combined variable capacitance value Cstep1.

결합된 가변 커패시턴스 Coptimum1을 계산하기 위한 상기에 기술된 동일한 방식으로, 프로세서 (134) 는 부하 임피던스 ZL2 및 매칭 네트워크 모델 (102) 로부터 전압 반사 계수 Γi가 0인 결합된 가변 커패시턴스 값 Coptimum2를 계산한다. 프로세서 (134) 는 매칭 네트워크 모델 (102) 에 인가된 무선 주파수 값들을 RFoptimum1@Cstep1로부터 RFoptimumn@Cstep1로 가변하고 그리고 전압 반사 계수 Γi가 최소 값인 무선 주파수 RFoptimum1@Cstep1을 결정하도록 부하 임피던스 ZL2를 역방향으로 전파하고, 여기서 n은 1 초과의 정수이다. 예를 들어, 프로세서 (134) 는 전압 반사 계수 Γi가 제 1 값을 갖는다는 것을 결정하도록 매칭 네트워크 모델 (102) 이 무선 주파수 RFoptimum1@Cstep1을 가질 때, 가변 커패시턴스 Cstep1을 가진 매칭 네트워크 모델 (102) 을 통해 임피던스 ZL2를 역방향으로 전파한다. 게다가, 이 예에서, 프로세서 (134) 는 전압 반사 계수 Γi가 제 2 값을 갖는다는 것을 결정하도록 매칭 네트워크 모델 (102) 이 무선 주파수 RFoptimum2@Cstep1을 가질 때, 가변 커패시턴스 Cstep1을 가진 매칭 네트워크 모델 (102) 을 통해 임피던스 ZL2를 역방향으로 전파한다. 프로세서 (134) 는 전압 반사 계수 Γi가 최소 값인 무선 주파수 값이 RFoptimum1@Cstep1임을 더 결정하도록 제 1 값이 제 1 값 및 제 2 값 중 최소 값임을 결정한다.In the same manner as described above for calculating the combined variable capacitance Coptimum1, the processor 134 calculates the combined variable capacitance value Coptimum2 with the voltage reflection coefficient? I of the load impedance ZL2 and the matching network model 102 from zero. The processor 134 reverses the load impedance ZL2 so as to vary the radio frequency values applied to the matching network model 102 from RFoptimum1 @ Cstep1 to RFoptimumn @ Cstep1 and to determine the radio frequency RFoptimum1 @ Cstep1, the voltage reflection coefficient? Where n is an integer greater than one. For example, when the matching network model 102 has the radio frequency RFoptimum1 @ Cstep1 to determine that the voltage reflection coefficient [theta] i has a first value, the processor 134 determines the matching network model 102 with the variable capacitance Cstep1, To propagate the impedance ZL2 in the reverse direction. In addition, in this example, the processor 134 may select a matching network model with a variable capacitance Cstep1 (e.g., when the matching network model 102 has a radio frequency RFoptimum2 @ Cstep1 to determine that the voltage reflection coefficient? I has a second value 102 in the opposite direction. The processor 134 determines that the first value is the minimum of the first value and the second value to further determine that the radio frequency value with the minimum value of the voltage reflection coefficient < RTI ID = 0.0 >

도 5는 또 다른 스텝 결합된 가변 커패시턴스 값 Cstep2를 생성하기 위한 커패시턴스 값 Coptimum2의 사용, 및 부하 임피던스 ZL3을 생성하기 위한 값 RFoptimum1@Cstep1의 사용을 예시하기 위한 시스템 (100) 의 실시예의 다이어그램이다. 프로세서 (134) 는 무선 주파수 값 RFoptimum1@Cstep1을 포함하도록 레시피를 수정하고 그리고 무선 주파수 값 RFoptimum1@Cstep1을 RF 생성기 (104) 에 제공한다. 게다가, 프로세서 (134) 는 값 Cstep1로부터 값 Coptimum2의 방향으로 일 부가적인 스텝인 스텝 가변 커패시턴스 값 Cstep2를 결정한다. 예를 들어, 가변 커패시턴스 값들 Cstep1, Cstep2, 및 Coptimum2 중에서, 가변 커패시턴스 값 Cstep2는 값 Cstep1보다 크고 그리고 값 Coptimum2보다 작으며, 그리고 값들 Cstep2 및 Cstep1은 값 C1보다 크다. 또 다른 예로서, 가변 커패시턴스 값들 Cstep1, Cstep2, 및 Coptimum2 중에서, 가변 커패시턴스 값 Cstep2는 값 Cstep1보다 작고 그리고 값 Coptimum2보다 크며, 그리고 값들 Cstep1 및 Cstep2는 값 C1보다 작다.5 is a diagram of an embodiment of system 100 for illustrating the use of a capacitance value Coptimum2 to generate another stepped variable capacitance value Cstep2 and the use of a value RFoptimum1 @ Cstep1 to generate load impedance ZL3. The processor 134 modifies the recipe to include the radio frequency value RFoptimum1 @ Cstep1 and provides the radio frequency value RFoptimum1 @ Cstep1 to the RF generator 104. [ In addition, the processor 134 determines a step variable capacitance value Cstep2 that is one additional step in the direction of the value Coptimum2 from the value Cstep1. For example, of the variable capacitance values Cstep1, Cstep2, and Coptimum2, the variable capacitance value Cstep2 is greater than the value Cstep1 and less than the value Coptimum2, and the values Cstep2 and Cstep1 are greater than the value C1. As another example, of the variable capacitance values Cstep1, Cstep2, and Coptimum2, the variable capacitance value Cstep2 is smaller than the value Cstep1 and larger than the value Coptimum2, and the values Cstep1 and Cstep2 are smaller than the value C1.

값 Coptimum2로 임피던스 매칭 네트워크 (106) 의 결합된 가변 커패시턴스를 설정하는 대신 그리고 무선 주파수 RFoptimum을 가진 RF 신호를 생성하도록 RF 생성기 (104) 를 설정하는 대신, 프로세서 (134) 는 임피던스 매칭 네트워크 (106) 의 결합된 가변 커패시턴스가 값 Cstep2로 설정되도록 드라이브 어셈블리 (112) 를 제어하고 그리고 무선 주파수 RFoptimum1@Cstep1에서 동작하도록 RF 생성기 (104) 를 제어한다. 무선 주파수 RFoptimum1@Cstep1 및 가변 커패시턴스 Cstep2에 대해, RF 생성기 (104) 는, 하부 전극 (118) 에 제공되는 수정된 신호를 생성하도록 임피던스 매칭 네트워크 (106) 를 통해 지나가는, 무선 주파수 RFoptimum1@Cstep1을 가진 RF 신호를 생성한다. 무선 주파수 RFoptimum1@Cstep1 및 가변 커패시턴스 Cstep2에 대해, 센서 (124) 는 출력부 (126) 에서 전압 반사 계수 Γmi3을 측정하고 그리고 프로세서 (134) 는 임피던스 Zmi1이 전압 반사 계수 Γmi1로부터 생성되는 동일한 방식으로 전압 반사 계수로부터 임피던스 Zmi3을 생성한다. 게다가, 임피던스 Zmi3은, 부하 임피던스 ZL1이 매칭 네트워크 모델 (102) 의 입력부 (142) 의 임피던스 Zmi1로부터 출력부 (144) 에서 생성되는 동일한 방식으로 매칭 네트워크 모델 (102) 의 출력부 (144) 에서 부하 임피던스 ZL3을 생성하도록 매칭 네트워크 모델 (102) 을 통해 순방향으로 전파된다.Instead of setting the combined variable capacitance of the impedance matching network 106 with the value Coptimum2 and instead of setting the RF generator 104 to generate an RF signal with a radio frequency RFoptimum, Controls the drive assembly 112 such that the combined variable capacitance of the RF generator 104 is set to the value Cstep2 and controls the RF generator 104 to operate at the radio frequency RFoptimum1 @ Cstep1. For radio frequency RFoptimum1 @ Cstep1 and variable capacitance Cstep2, RF generator 104 has a radio frequency RFoptimum1 @ Cstep1 passing through impedance matching network 106 to produce a modified signal provided to lower electrode 118 Thereby generating an RF signal. For radio frequencies RFoptimum1 @ Cstep1 and variable capacitance Cstep2, the sensor 124 measures the voltage reflection coefficient Γmi3 at the output 126 and the processor 134 measures the impedance Zmi1 at the output 126 in the same manner that the impedance Zmi1 is generated from the voltage reflection coefficient Γmi1 And generates an impedance Zmi3 from the reflection coefficient. The impedance Zmi3 is set such that the load impedance ZL1 is applied to the output 144 of the matching network model 102 in the same manner that it is generated at the output 144 from the impedance Zmi1 of the input 142 of the matching network model 102 Propagates through the matching network model 102 in a forward direction to produce an impedance ZL3.

일부 실시예들에서, 무선 주파수 RFoptimum1@Cstep1은 최적의 무선 주파수 값 RFoptimum과 같고 그리고 Cstep2의 결합된 가변 커패시턴스는 값 Coptimum2와 같다. 이들 실시예들에서, 도 6 내지 도 9를 참조하여 이하에 기술된 동작들은 수행되지 않는다.In some embodiments, the radio frequency RFoptimum1 @ Cstep1 equals the optimum radio frequency value RFoptimum and the combined variable capacitance of Cstep2 equals the value Coptimum2. In these embodiments, the operations described below with reference to Figs. 6 to 9 are not performed.

도 6은 입력부 (142) 에서 전압 반사 계수 Γi의 최소 값을 생성하도록 무선 주파수 RFoptimum1@Cstep1 및 결합된 가변 커패시턴스 Cstep2로 설정되는 매칭 네트워크 모델 (102) 의 실시예의 다이어그램이다. 결합된 가변 커패시턴스 Coptimum1을 계산하기 위해 상기에 기술된 동일한 방식으로, 프로세서 (134) 는 부하 임피던스 ZL3 및 매칭 네트워크 모델 (102) 로부터 전압 반사 계수 Γi가 0인 결합된 가변 커패시턴스 값 Coptimum3을 계산한다.6 is a diagram of an embodiment of a matching network model 102 that is set to a radio frequency RFoptimum1 @ Cstep1 and a combined variable capacitance Cstep2 to produce a minimum value of the voltage reflection coefficient Γi at the input 142. In the same manner as described above for calculating the combined variable capacitance Coptimum1, the processor 134 computes the combined variable capacitance value Coptimum3 whose voltage reflection coefficient? I is zero from the load impedance ZL3 and the matching network model 102. [

게다가, 프로세서 (134) 는 매칭 네트워크 모델 (102) 에 인가된 무선 주파수 값들을 RFoptimum1@Cstep2로부터 RFoptimumn@Cstep2로 가변하고 그리고 전압 반사 계수 Γi가 최소 값인 무선 주파수 RFoptimum1@Cstep2를 결정하도록 부하 임피던스 ZL3을 역방향으로 전파하고, 여기서 n은 1보다 큰 정수이다. 예를 들어, 프로세서 (134) 는, 전압 반사 계수 Γi가 제 1 값을 갖는다는 것을 결정하도록 매칭 네트워크 모델 (102) 이 무선 주파수 RFoptimum1@Cstep2를 가질 때, 결합된 가변 커패시턴스 Cstep2를 가진 매칭 네트워크 모델 (102) 을 통해 임피던스 ZL3을 역방향으로 전파한다. 게다가, 이 예에서, 프로세서 (134) 는, 전압 반사 계수 Γi가 제 2 값을 갖는다는 것을 결정하도록 매칭 네트워크 모델 (102) 이 무선 주파수 RFoptimum2@Cstep2를 가질 때, 결합된 가변 커패시턴스 Cstep2를 가진 매칭 네트워크 모델 (102) 을 통해 임피던스 ZL3을 역방향으로 전파한다. 프로세서 (134) 는, 전압 반사 계수 Γi가 최소 값인 무선 주파수 값이 RFoptimum1@Cstep2임을 또한 결정하도록 제 1 값 및 제 2 값 중 최소 값이 제 1 값임을 결정한다.In addition, the processor 134 may vary the radio frequency values applied to the matching network model 102 from RFoptimum1 @ Cstep2 to RFoptimumn @ Cstep2 and the load impedance ZL3 to determine the radio frequency RFoptimum1 @ Cstep2, Propagating in the opposite direction, where n is an integer greater than one. For example, when the matching network model 102 has the radio frequency RFoptimum1 @ Cstep2 to determine that the voltage reflection coefficient [gamma] i has a first value, the processor 134 determines the matching network model with the combined variable capacitance Cstep2 And propagates the impedance ZL3 in the reverse direction through the antenna 102. [ In addition, in this example, the processor 134 determines whether the matching network model 102 has a radio frequency RFoptimum2 @ Cstep2 to determine that the voltage reflection coefficient? I has a second value, And propagates the impedance ZL3 in the reverse direction through the network model 102. [ The processor 134 determines that the minimum of the first value and the second value is the first value so as to also determine that the radio frequency value with the minimum value of the voltage reflection coefficient Γi is RFoptimum1 @ Cstep2.

일부 실시예들에서, 커패시턴스 값들 Coptimum2 및 Coptimum3 중 어느 하나는 전압 반사 계수 Γi가 0인 커패시턴스 값 Coptimum1과 같다.In some embodiments, either one of the capacitance values Coptimum2 and Coptimum3 is equal to the capacitance value Coptimum1, where the voltage reflection coefficient? I is zero.

도 7은 부하 임피던스 ZL4를 생성하도록, 커패시턴스 값 Coptimum3의 사용, 및 값 RFoptimum1@Cstep2의 사용을 예시하기 위한 플라즈마 시스템 (100) 의 실시예의 다이어그램이다. 프로세서 (134) 는 무선 주파수 값 RFoptimum1@Cstep2를 포함하도록 레시피를 수정하고 그리고 무선 주파수 값 RFoptimum1@Cstep2를 RF 생성기 (104) 에 제공한다. 게다가, 프로세서 (134) 는 값 Cstep2로부터 값 Coptimum3의 방향으로 일 부가적인 스텝인 스텝 가변 커패시턴스 값 Cstep3을 결정한다. 예를 들어, 값 Cstep 3은 값 Coptimum3이다. 추가의 예시를 위해, 가변 커패시턴스 값들 Cstep1, Cstep2, 및 Coptimum3 중에서, 가변 커패시턴스 값 Coptimum3은 값 Cstep2보다 크고, 그리고 값 Cstep2는 값 Cstep1보다 크고, 값 Cstep1은 커패시턴스 값 C1보다 크다. 또 다른 예시로서, 가변 커패시턴스 값들 Cstep1, Cstep2, 및 Coptimum3 중에서, 가변 커패시턴스 값 Coptimum3은 값 Cstep2보다 작고, 값 Cstep2는 값 Cstep1보다 작고, 그리고 값 Cstep1은 값 C1보다 작다.7 is a diagram of an embodiment of the plasma system 100 to illustrate the use of the capacitance value Coptimum3 and the use of the value RFoptimum1 @ Cstep2 to produce the load impedance ZL4. The processor 134 modifies the recipe to include the radio frequency value RFoptimum1 @ Cstep2 and provides the radio frequency value RFoptimum1 @ Cstep2 to the RF generator 104. [ In addition, the processor 134 determines a step variable capacitance value Cstep3 that is one additional step in the direction of the value Coptimum3 from the value Cstep2. For example, the value Cstep3 is the value Coptimum3. For a further example, of the variable capacitance values Cstep1, Cstep2, and Coptimum3, the variable capacitance value Coptimum3 is greater than the value Cstep2, the value Cstep2 is greater than the value Cstep1, and the value Cstep1 is greater than the capacitance value C1. As another example, of the variable capacitance values Cstep1, Cstep2, and Coptimum3, the variable capacitance value Coptimum3 is smaller than the value Cstep2, the value Cstep2 is smaller than the value Cstep1, and the value Cstep1 is smaller than the value C1.

프로세서 (134) 는, 임피던스 매칭 네트워크 (106) 의 결합된 가변 커패시턴스가 값 Coptimum3으로 설정되도록 드라이브 어셈블리 (112) 를 제어한다. 게다가, 무선 주파수 RFoptimum을 가진 RF 신호를 생성하도록 RF 생성기 (104) 를 설정하는 대신, 프로세서 (134) 는 무선 주파수 RFoptimum1@Cstep2로 동작하도록 RF 생성기 (104) 를 제어한다.The processor 134 controls the drive assembly 112 such that the combined variable capacitance of the impedance matching network 106 is set to the value Coptimum3. In addition, instead of setting the RF generator 104 to generate an RF signal with a radio frequency RFoptimum, the processor 134 controls the RF generator 104 to operate with the radio frequency RFoptimum1 @ Cstep2.

무선 주파수 RFoptimum1@Cstep2 및 가변 커패시턴스 Coptimum3에 대해, RF 생성기 (104) 는 하부 전극 (118) 에 제공되는 수정된 신호를 생성하도록 임피던스 매칭 네트워크 (106) 를 통해 지나가는, 무선 주파수 RFoptimum1@Cstep2를 가진 RF 신호를 생성한다. 무선 주파수 RFoptimum1@Cstep2 및 가변 커패시턴스 Coptimum3에 대해, 센서 (124) 는 출력부 (126) 에서 전압 반사 계수 Γmi4를 측정하고 그리고 프로세서 (134) 는 임피던스 Zmi1이 전압 반사 계수 Γmi1로부터 생성되는 동일한 방식으로 전압 반사 계수 Γmi4로부터 임피던스 Zmi4를 생성한다. 게다가, 임피던스 Zmi4는 부하 임피던스 ZL1이 매칭 네트워크 모델 (102) 의 입력부 (142) 의 임피던스 Zmi1로부터 출력부 (144) 에서 생성되는 동일한 방식으로 매칭 네트워크 모델 (102) 의 출력부 (144) 에서 부하 임피던스 ZL4를 생성하도록 매칭 네트워크 모델 (102) 을 통해 순방향으로 전파된다.The RF generator 104 is coupled to an RF with radio frequency RFoptimum1 @ Cstep2 passing through the impedance matching network 106 to generate a modified signal provided to the lower electrode 118. The radio frequency RFoptimum1 @ Cstep2 and the variable capacitance Coptimum3, Signal. For radio frequency RFoptimum1 @ Cstep2 and variable capacitance Coptimum3, the sensor 124 measures the voltage reflection coefficient Γmi4 at the output 126 and the processor 134 measures the impedance Zmi1 in the same way that the impedance Zmi1 is generated from the voltage reflection coefficient Γmi1 And generates an impedance Zmi4 from the reflection coefficient Gmi4. The impedance Zmi4 is a load impedance at the output 144 of the matching network model 102 in the same manner that the load impedance ZL1 is generated at the output 144 from the impedance Zmi1 of the input 142 of the matching network model 102. [ Lt; RTI ID = 0.0 > ZL4. ≪ / RTI >

일부 실시예들에서, 값 RFoptimum1@Cstep2는 무선 주파수 값 RFoptimum과 같다. 이들 실시예들에서, 도 8 및 도 9를 참조하여 이하에 기술된 동작들은 수행되지 않는다.In some embodiments, the value RFoptimum1 @ Cstep2 is equal to the radio frequency value RFoptimum. In these embodiments, the operations described below with reference to Figs. 8 and 9 are not performed.

다양한 실시예들에서, 최적의 값들 Coptimum1, Coptimum2, 및 Coptimum3 각각은 프로세서 (134) 가 미리 결정된 커패시턴스 값 경계들 내에 있는 최적의 커패시턴스 값을 계산하도록 제한되게 프로그래밍된 후 획득된다. 예를 들어, 프로세서 (134) 는 커패시턴스 값 Coptimum1이 미리 결정된 상한값과 미리 결정된 하한값 사이에 있다는 것을 제외하고 도 2에 대해 상기에 기술된 방식으로 최적의 커패시턴스 값 Coptimum1을 결정하도록 프로그래밍된다. 미리 결정된 경계들은 임피던스 매칭 네트워크 (106) (도 1) 의 하나 이상의 가변 커패시터들의 동작 상의 경계들과 동일하다. 예를 들어, 하나 이상의 가변 커패시터들은 동작 상의 경계들 외부에서 물리적으로 동작할 수 없다. 또 다른 예로서, 프로세서 (134) 는 커패시턴스 값 Coptimum2가 미리 결정된 상한값과 미리 결정된 하한값 사이에 있다는 것을 제외하고 도 4에 대해 상기에 기술된 방식으로 최적의 커패시턴스 값 Coptimum2를 결정하도록 프로그래밍된다. 또 다른 예로서, 프로세서 (134) 는 커패시턴스 값 Coptimum3이 미리 결정된 상한값과 미리 결정된 하한값 사이에 있다는 것을 제외하고 도 6에 대해 상기에 기술된 방식으로 최적의 커패시턴스 값 Coptimum3을 결정하도록 프로그래밍된다.In various embodiments, each of the optimum values Coptimum1, Coptimum2, and Coptimum3 is obtained after the processor 134 is programmed to calculate the optimal capacitance value within predetermined capacitance value boundaries. For example, the processor 134 is programmed to determine the optimal capacitance value Coptimum1 in the manner described above with respect to FIG. 2, except that the capacitance value Coptimum1 is between a predetermined upper limit and a predetermined lower limit value. The predetermined boundaries are the same as the operational boundaries of the one or more variable capacitors of the impedance matching network 106 (FIG. 1). For example, one or more variable capacitors can not physically operate outside operational boundaries. As another example, the processor 134 is programmed to determine the optimal capacitance value Coptimum2 in the manner described above with respect to FIG. 4, except that the capacitance value Coptimum2 is between a predetermined upper limit value and a predetermined lower limit value. As another example, the processor 134 is programmed to determine the optimal capacitance value Coptimum3 in the manner described above with respect to FIG. 6, except that the capacitance value Coptimum3 is between a predetermined upper limit and a predetermined lower limit value.

일부 실시예들에서, 값들 RFoptimum1@C1, RFoptimum1@Cstep1, RFoptimum1@Cstep2, 및 RFoptimum1@Coptimum 각각은 프로세서 (134) 가 미리 결정된 제한값들 내에 있는 최적의 RF 값을 계산하도록 제한되게 프로그래밍된 후 획득된다. 예를 들어, 프로세서 (134) 는 RF 값 RFoptimum1@C1이 미리 결정된 상부 경계와 미리 결정된 하부 경계 사이에 있다는 것을 제외하고 도 2에 대해 상기에 기술된 방식으로 RF 값 RFoptimum1@C1을 결정하도록 프로그래밍된다. 미리 결정된 제한값들은 RF 생성기 (104) (도 1) 의 동작 상의 경계들과 동일하다. 예를 들어, RF 생성기 (104) 는 동작 상의 경계들 외부에서 물리적으로 동작할 수 없다. 또 다른 예로서, 프로세서 (134) 는 RF 값 RFoptimum1@Cstep1이 미리 결정된 상부 경계와 미리 결정된 하부 경계 사이에 있다는 것을 제외하고 도 4에 대해 상기에 기술된 방식으로 RF 값 RFoptimum1@Cstep1을 결정하도록 프로그래밍된다. 또 다른 예로서, 프로세서 (134) 는 RF 값 RFoptimum1@Cstep2가 미리 결정된 상부 경계와 미리 결정된 하부 경계 사이에 있다는 것을 제외하고 도 6에 대해 상기에 기술된 방식으로 최적의 RF 값 RFoptimum1@Cstep2를 결정하도록 프로그래밍된다. 또 다른 예로서, 프로세서 (134) 는 RF 값 RFoptimum1@Coptimum이 미리 결정된 상부 경계와 미리 결정된 하부 경계 사이에 있다는 것을 제외하고 도 8에 대해 상기에 기술된 방식으로 최적의 RF 값 RFoptimum1@Coptimum을 결정하도록 프로그래밍된다.In some embodiments, each of the values RFoptimum1 @ C1, RFoptimum1 @ Cstep1, RFoptimum1 @ Cstep2, and RFoptimum1 @ Coptimum is obtained after the processor 134 is programmed to calculate an optimal RF value that is within predetermined limits . For example, the processor 134 is programmed to determine the RF value RFoptimum1 @ C1 in the manner described above for FIG. 2, except that the RF value RFoptimum1 @ C1 is between a predetermined upper boundary and a predetermined lower boundary . The predetermined limit values are the same as the operational boundaries of the RF generator 104 (FIG. 1). For example, the RF generator 104 can not physically operate outside operating borders. As another example, the processor 134 may be programmed to determine the RF value RFoptimum1 @ Cstep1 in the manner described above with respect to FIG. 4, except that the RF value RFoptimum1 @ Cstep1 is between a predetermined upper boundary and a predetermined lower boundary. do. As another example, the processor 134 determines an optimal RF value RFoptimum1 @ Cstep2 in the manner described above with respect to FIG. 6, except that the RF value RFoptimum1 @ Cstep2 is between a predetermined upper boundary and a predetermined lower boundary . As another example, the processor 134 determines an optimal RF value RFoptimum1 @ Coptimum in the manner described above with respect to FIG. 8, except that the RF value RFoptimum1 @ Coptimum is between a predetermined upper boundary and a predetermined lower boundary .

도 8은 입력부 (142) 에서 전압 반사 계수 Γi의 최소 값을 생성하도록 무선 주파수 RFoptimum1@Cstep2 및 결합된 가변 커패시턴스 Coptimum3으로 설정되는 매칭 네트워크 모델 (102) 의 실시예의 다이어그램이다. 프로세서 (134) 는 매칭 네트워크 모델 (102) 에 인가된 무선 주파수 값들을 RFoptimum1@Coptimum으로부터 RFoptimumn@Coptimum으로 가변하고 그리고 전압 반사 계수 Γi가 최소 값인 무선 주파수 RFoptimum1@Coptimum을 결정하도록 부하 임피던스 ZL4를 역방향으로 전파하고, 여기서 n은 1 초과의 정수이다. 예를 들어, 프로세서 (134) 는 전압 반사 계수 Γi가 제 1 값을 갖는다는 것을 결정하도록 매칭 네트워크 모델이 무선 주파수 RFoptimum1@Cstep2를 가질 때, 가변 커패시턴스 Coptimum3을 가진 매칭 네트워크 모델 (102) 을 통해 부하 임피던스 ZL4를 역방향으로 전파한다. 게다가, 이 예에서, 프로세서 (134) 는 전압 반사 계수 Γi가 제 2 값을 갖는다는 것을 결정하도록 매칭 네트워크 모델이 무선 주파수 RFoptimum2@Cstep2를 가질 때, 가변 커패시턴스 Coptimum3을 가진 매칭 네트워크 모델 (102) 을 통해 부하 임피던스 ZL4를 역방향으로 전파한다. 프로세서 (134) 는 전압 반사 계수 Γi가 최소 값인 무선 주파수 값이 RFoptimum1@Coptimum임을 또한 결정하도록 제 1 값 및 제 2 값 중 최소 값이 제 1 값임을 결정한다.FIG. 8 is a diagram of an embodiment of a matching network model 102 that is set to a radio frequency RFoptimum1 @ Cstep2 and a combined variable capacitance Coptimum3 to produce a minimum value of the voltage reflection coefficient? I at the input 142. The processor 134 reverses the load impedance ZL4 so as to vary the radio frequency values applied to the matching network model 102 from RFoptimum1 @ Coptimum to RFoptimum @ Coptimum and to determine the radio frequency RFoptimum1 @ Coptimum, the voltage reflection coefficient? Where n is an integer greater than one. For example, when the matching network model has a radio frequency RFoptimum1 @ Cstep2 to determine that the voltage reflection coefficient? I has a first value, the processor 134 determines the load Impedance ZL4 is propagated in the reverse direction. Further, in this example, the processor 134 may select a matching network model 102 with a variable capacitance Coptimum3 when the matching network model has a radio frequency RFoptimum2 @ Cstep2 to determine that the voltage reflection coefficient? I has a second value The load impedance ZL4 is propagated in the reverse direction. The processor 134 determines that the minimum of the first value and the second value is the first value so as to also determine that the radio frequency value having the minimum value of the voltage reflection coefficient? I is RFoptimum1 @ Coptimum.

일부 실시예들에서, 값 RFoptimum1@Coptimum은 값 RFoptimum과 같다.In some embodiments, the value RFoptimum1 @ Coptimum is equal to the value RFoptimum.

도 9는 웨이퍼 (W) 를 프로세싱하도록 커패시턴스 값 Coptimum3의 사용, 및 값 RFoptimum1@Coptimum의 사용을 예시하기 위한 시스템 (100) 의 실시예의 다이어그램이다. 프로세서 (134) 는 무선 주파수 값 RFoptimum1@Coptimum을 포함하도록 레시피를 수정하고 그리고 무선 주파수 값 RFoptimum1@Coptimum을 RF 생성기 (104) 에 제공한다. 게다가, 프로세서 (134) 는 임피던스 매칭 네트워크 (106) 의 결합된 가변 커패시턴스가 값 Coptimum3으로 설정되도록 드라이브 어셈블리 (112) 를 계속 제어한다. 게다가, 무선 주파수 RFoptimum을 가진 RF 신호를 생성하도록 RF 생성기 (104) 를 설정하는 대신, 프로세서 (134) 는 무선 주파수 RFoptimum1@Coptimum으로 동작하도록 RF 생성기 (104) 를 제어한다.9 is a diagram of an embodiment of the system 100 for illustrating the use of the capacitance value Coptimum3 to process the wafer W and the use of the value RFoptimum1 @ Coptimum. The processor 134 modifies the recipe to include the radio frequency value RFoptimum1 @ Coptimum and provides the radio frequency value RFoptimum1 @ Coptimum to the RF generator 104. [ In addition, the processor 134 continues to control the drive assembly 112 such that the combined variable capacitance of the impedance matching network 106 is set to the value Coptimum3. In addition, instead of setting the RF generator 104 to generate an RF signal with a radio frequency RFoptimum, the processor 134 controls the RF generator 104 to operate at the radio frequency RFoptimum1 @ Coptimum.

무선 주파수 RFoptimum1@Coptimum 및 가변 커패시턴스 Coptimum3에 대해, RF 생성기 (104) 는 웨이퍼 (W) 를 프로세싱하기 위해 하부 전극 (118) 에 제공되는 수정된 신호를 생성하도록 임피던스 매칭 네트워크 (106) 를 통해 지나가는, 무선 주파수 RFoptimum1@Coptimum을 가진 RF 신호를 생성한다. 이 방식으로, 무선 주파수 RF1로부터 바로 무선 주파수 RFoptimum을 인가하는 대신 그리고 결합된 가변 커패시턴스 값 C1로부터 바로 결합된 가변 커패시턴스 값 Coptimum1을 인가하는 대신, 결합된 가변 커패시턴스 값 Cstep1과 무선 주파수 RFoptimum1@C1이 처음 인가되고, 이어서 결합된 가변 커패시턴스 값 Cstep1과 무선 주파수 RFoptimum1@C1을 처음 인가하고, 이어서 결합된 가변 커패시턴스 값 Cstep2와 무선 주파수 RFoptimum1@Cstep1를 두번째로 인가하고, 그리고 이어서 결합된 가변 커패시턴스 값 Coptimum3과 무선 주파수 RFoptimum1@Cstep2를 세번째로 인가하고, 그리고 마지막으로 결합된 가변 커패시턴스 값 Coptimum3과 무선 주파수 RFoptimum1@Coptimum를 인가하는 단계 방식이 제공된다. 예를 들어, 결합된 가변 커패시턴스 값 Coptimum3과 무선 주파수 RFoptimum1@Cstep2의 인가는 결합된 가변 커패시턴스 값 Coptimum3과 무선 주파수 RFoptimum1@Coptimum의 인가에 선행한다. 게다가, 결합된 가변 커패시턴스 값 Cstep2와 무선 주파수 RFoptimum1@Cstep1의 인가는 결합된 가변 커패시턴스 값 Coptimum3과 무선 주파수 RFoptimum1@Cstep2의 인가에 선행한다. 또한, 결합된 가변 커패시턴스 값 Cstep1과 무선 주파수 RFoptimum1@C1의 인가는 결합된 가변 커패시턴스 값 Cstep2와 무선 주파수 RFoptimum1@Cstep1의 인가에 선행한다.The RF generator 104 is coupled to the impedance matching network 106 to pass through the impedance matching network 106 to generate a modified signal that is provided to the lower electrode 118 for processing the wafer W. For the radio frequency RFoptimum1 @ Coptimum and the variable capacitance Coptimum3, Generates RF signal with radio frequency RFoptimum1 @ Coptimum. In this way, instead of applying the radio frequency RFoptimum directly from the radio frequency RF1 and instead of applying the combined variable capacitance value Coptimum1 directly from the combined variable capacitance value C1, the combined variable capacitance value Cstep1 and the radio frequency RFoptimum1 @ And then applies the combined variable capacitance value Cstep1 and the radio frequency RFoptimum1 @ C1 for the first time and then the combined variable capacitance value Cstep2 and the radio frequency RFoptimum1 @ Cstep1 for the second time, and then the combined variable capacitance value Coptimum3 and wireless A step approach is provided in which the frequency RFoptimum1 @ Cstep2 is applied for the third time, and finally the combined variable capacitance value Coptimum3 and the radio frequency RFoptimum1 @ Coptimum are applied. For example, the application of the combined variable capacitance value Coptimum3 and the radio frequency RFoptimum1 @ Cstep2 precedes the application of the combined variable capacitance value Coptimum3 and radio frequency RFoptimum1 @ Coptimum. In addition, the application of the combined variable capacitance value Cstep2 and the radio frequency RFoptimum1 @ Cstep1 precedes the application of the combined variable capacitance value Coptimum3 and radio frequency RFoptimum1 @ Cstep2. Also, the application of the combined variable capacitance value Cstep1 and the radio frequency RFoptimum1 @ C1 precedes the application of the combined variable capacitance value Cstep2 and the radio frequency RFoptimum1 @ Cstep1.

일부 실시예들에서, 무선 주파수 RF1로부터 바로 무선 주파수 RFoptimum을 인가하는 대신 그리고 결합된 가변 커패시턴스 값 C1로부터 바로 결합된 가변 커패시턴스 값 Coptimum1을 인가하는 대신, 결합된 가변 커패시턴스 값 Cstep1이 무선 주파수 RFoptimum1@C1과 처음 인가되고 (도 3 참조), 이어서 결합된 가변 커패시턴스 값 Cstep2와 무선 주파수 RFoptimum1@Cstep1을 두번째로 인가하고 (도 5 참조), 이어서 결합된 가변 커패시턴스 값 Coptimum3과 무선 주파수 RFoptimum1@Cstep2를 세번째로 인가하고 (도 7 참조), 그리고 이어서 마지막으로 결합된 가변 커패시턴스 값 Coptimum3과 무선 주파수 RFoptimum1@Coptimum을 인가하는 (도 9 참조) 단계 방식이 제공된다.In some embodiments, instead of applying the radio frequency RFoptimum directly from the radio frequency RF1 and instead of applying the combined variable capacitance value Coptimum1 directly from the combined variable capacitance value Cl, the combined variable capacitance value Cstep1 is applied to the radio frequency RFoptimum1 @ C1 (See FIG. 3), and then the combined variable capacitance value Cstep2 and the radio frequency RFoptimum1 @ Cstep1 are applied for a second time (see FIG. 5), and then the combined variable capacitance value Coptimum3 and radio frequency RFoptimum1 @ Cstep2 are applied for the third time (See FIG. 7), and then applying the finally combined variable capacitance value Coptimum3 and the radio frequency RFoptimum1 @ Coptimum (see FIG. 9).

일부 실시예들에서, 센서 (124) 로부터 수신된 전압 반사 계수, 예를 들어, Γmi1, Γmi2, Γmi3, Γmi4, 등으로부터 임피던스, 예를 들어, 임피던스 Zmi1, 등을 생성하는 대신, 프로세서 (134) 는 매칭 네트워크 모델 (102) 의 출력부 (144) 에서 대응하는 부하 전압 반사 계수 임피던스, 예를 들어, ΓL1, ΓL2, ΓL3, ΓL4, 등을 생성하도록 전압 반사 계수를 수신한다. 전압 반사 계수로부터 임피던스로 변환할 필요가 없고 그 역도 그러하다.In some embodiments, instead of generating an impedance, e.g., impedance Zmi1, from the voltage reflection coefficients received from sensor 124, e.g., Γmi1, Γmi2, Γmi3, Γmi4, Such as ΓL1, ΓL2, ΓL3, ΓL4, etc., at the output 144 of the matching network model 102. There is no need to convert from voltage reflection coefficient to impedance and vice versa.

다양한 실시예들에서, 매칭 네트워크 모델 (102) 대신에, 매칭 네트워크 모델 (102) 과 RF 전송 모델의 조합이 본 명세서에 기술된 바와 같이, 단계적 방식으로 임피던스 매칭 네트워크 (106) 의 커패시턴스를 변화시키도록 사용된다. 예를 들어, 부하 임피던스들 ZL1, ZL2, ZL3, 및 ZL4는 매칭 네트워크 모델 (102) 의 출력부 (144) 대신에 RF 전송 모델의 출력부에서 계산된다. 또 다른 예로서, 도 2, 도 4, 도 6, 및 도 8의 매칭 네트워크 모델 (102) 을 사용하는 대신, 매칭 네트워크 모델 (102) 및 RF 전송 모델 양자가 사용된다. RF 전송 모델은 매칭 네트워크 모델 (102) 의 출력부 (144) 에 직렬로 연결되고 그리고 매칭 네트워크 모델 (102) 이 임피던스 매칭 네트워크 (106) 로부터 유도되는 유사한 방식으로 RF 전송선 (132) 으로부터 유도된다. 예를 들어, RF 전송 모델은 RF 전송선 (132) 의 인덕턴스들, 커패시턴스들, 및/또는 레지스턴스들로부터 유도되는 인덕턴스들, 커패시턴스들, 및/또는 레지스턴스들을 갖는다. 또 다른 예로서, RF 전송 모델의 커패시턴스는 RF 전송선 (132) 의 커패시턴스와 매칭하고, RF 전송 모델의 인덕턴스는 RF 전송선 (132) 의 인덕턴스와 매칭하고, 그리고 RF 전송 모델의 레지스턴스는 RF 전송선 (132) 의 레지스턴스와 매칭한다.In various embodiments, instead of the matching network model 102, the combination of the matching network model 102 and the RF transmission model may be used to change the capacitance of the impedance matching network 106 in a stepped manner, Lt; / RTI > For example, the load impedances ZL1, ZL2, ZL3, and ZL4 are calculated at the output of the RF transmission model instead of the output 144 of the matching network model 102. As another example, instead of using the matching network model 102 of FIGS. 2, 4, 6, and 8, both the matching network model 102 and the RF transmission model are used. The RF transmission model is coupled in series to the output 144 of the matching network model 102 and the matching network model 102 is derived from the RF transmission line 132 in a similar manner derived from the impedance matching network 106. For example, the RF transmission model has inductances, capacitances, and / or resistances derived from the inductances, capacitances, and / or resistances of the RF transmission line 132. As another example, the capacitance of the RF transmission model may match the capacitance of the RF transmission line 132, the inductance of the RF transmission model may match the inductance of the RF transmission line 132, and the resistance of the RF transmission model may correspond to the RF transmission line 132 ) ≪ / RTI >

일부 실시예들에서, 매칭 네트워크 모델 (102) 대신, RF 케이블 모델, 매칭 네트워크 모델 (102), 및 RF 전송 모델의 조합이 본 명세서에 기술된 바와 같이, 단계적 방식으로 임피던스 매칭 네트워크 (106) 의 커패시턴스를 변화시키도록 사용된다. 예를 들어, 부하 임피던스들 ZL1, ZL2, ZL3, 및 ZL4는 매칭 네트워크 모델 (102) 의 출력부 (144) 대신 RF 전송 모델의 출력부에서 계산된다. 또 다른 예로서, 도 2, 도 4, 도 6, 및 도 8의 매칭 네트워크 모델 (102) 을 사용하는 대신, RF 케이블 모델, 매칭 네트워크 모델 (102), 및 RF 전송 모델이 사용된다. RF 케이블 모델은 매칭 네트워크 모델 (102) 의 입력부 (142) 에 직렬로 연결되고 그리고 매칭 네트워크 모델 (102) 이 임피던스 매칭 네트워크 (106) 로부터 유도되는 유사한 방식으로 RF 케이블 (130) 로부터 유도된다. 예를 들어, RF 케이블 모델은 RF 케이블 (130) 의 인덕턴스들, 커패시턴스들, 및/또는 레지스턴스들로부터 유도되는 인덕턴스들, 커패시턴스들, 및/또는 레지스턴스들을 갖는다. 또 다른 예로서, RF 케이블 모델의 커패시턴스는 RF 케이블 (130) 의 커패시턴스와 매칭하고, RF 케이블 모델의 인덕턴스는 RF 케이블 (130) 의 인덕턴스와 매칭하고, RF 케이블 모델의 레지스턴스는 RF 케이블 (130) 의 레지스턴스와 매칭한다.In some embodiments, instead of the matching network model 102, a combination of the RF cable model, the matching network model 102, and the RF transmission model may be implemented in a step-wise manner, as described herein, It is used to change the capacitance. For example, the load impedances ZL1, ZL2, ZL3, and ZL4 are calculated at the output of the RF transmission model instead of the output 144 of the matching network model 102. As another example, instead of using the matching network model 102 of FIGS. 2, 4, 6, and 8, an RF cable model, a matching network model 102, and an RF transmission model are used. The RF cable model is coupled in series to the input 142 of the matching network model 102 and the matching network model 102 is derived from the RF cable 130 in a similar manner derived from the impedance matching network 106. For example, the RF cable model has inductances, capacitances, and / or resistances derived from the inductances, capacitances, and / or resistances of the RF cable 130. The capacitance of the RF cable model is matched with the capacitance of the RF cable 130 and the inductance of the RF cable model is matched with the inductance of the RF cable 130, Matching with the resistance of.

도 10은 임피던스 매칭 네트워크 (106) 의 단계적 튜닝 및 RF 생성기 (104) 의 단계적 튜닝을 예시하기 위한 그래프 1000의 실시예이다. 그래프 1000은 임피던스 매칭 네트워크 (106) 의 결합된 가변 커패시턴스에 대해 RF 생성기 (104) 에 의해 생성된 RF 신호의 주파수를 플롯팅한다. 그래프 1000은 RF 생성기 (104) 에 의해 생성된 RF 신호의 주파수와 임피던스 매칭 네트워크 (106) 의 결합된 가변 커패시턴스의 함수로서 전압 반사 계수 Γ의 대표적인 윤곽들을 플롯팅한다. 전압 반사 계수의 크기가 대략 0.5와 같은 점 B에서 시작해서, 매칭 네트워크 모델 (102) 은 Γ의 크기가 대략 0과 같고 그리고 입력부 (126) (도 1) 에서의 레지스턴스의 값이 50 Ω인 A가 최적의 튜닝 점임을 나타낸다. RF 생성기 (104) 에 의해 생성된 RF 신호의 주파수와 임피던스 매칭 네트워크 (106) 의 결합된 가변 커패시턴스가 최대 달성 가능한 레이트들로 변화된다면, 주파수는, 임피던스 매칭 네트워크 (106) 의 보다 느린 가변 커패시턴스가 이동하려고 변화하기 전에, 전압 반사 계수 Γ의 크기가 훨씬 낮은 점 C로 매우 신속하게 하락한다. 단계적 튜닝에서, 임피던스 매칭 네트워크 (106) 의 결합된 가변 커패시턴스는 점 B로부터 점 A로 변화되지만 점들 D, E, 및 F를 통하고, 그리고 RF 신호의 주파수는 점들 D, E, 및 F에서의 가변 커패시턴스들 각각에 대해 튜닝된다. 점들 D, E, 및 F 각각에서, 전압 반사 계수 Γ의 최소 크기에 대한 RF 신호의 국부적 최적의 주파수가 결정된다.10 is an embodiment of a graph 1000 for illustrating step-by-step tuning of the impedance matching network 106 and step-by-step tuning of the RF generator 104. FIG. The graph 1000 plots the frequency of the RF signal generated by the RF generator 104 for the combined variable capacitance of the impedance matching network 106. The graph 1000 plots exemplary contours of the voltage reflection coefficient Γ as a function of the frequency of the RF signal generated by the RF generator 104 and the combined variable capacitance of the impedance matching network 106. Beginning at point B where the magnitude of the voltage reflection coefficient is approximately equal to 0.5, the matching network model 102 determines that the magnitude of Γ is approximately equal to zero and the value of the resistance at input 126 (FIG. 1) is 50 Ω Is an optimal tuning point. If the frequency of the RF signal generated by the RF generator 104 and the combined variable capacitance of the impedance matching network 106 are changed to the maximum achievable rates then the frequency will be lower than the variable tuning capacitance of the impedance matching network 106 Before changing to move, the magnitude of the voltage reflection coefficient Γ drops very quickly to a point C which is much lower. In stepped tuning, the combined variable capacitance of the impedance matching network 106 is changed from point B to point A, but through points D, E, and F, and the frequency of the RF signal is at points D, E, Tuned for each of the variable capacitances. At each of the points D, E, and F, the local optimal frequency of the RF signal relative to the minimum magnitude of the voltage reflection coefficient Γ is determined.

상기에 기술된 실시예들 중 일부에서, RF 신호가 척 (118) 의 하부 전극에 공급되고 그리고 상부 전극 (116) 이 접지된다는 것이 주의되어야 한다. 다양한 실시예들에서, RF 신호가 상부 전극 (116) 에 인가되고 그리고 척 (118) 의 하부 전극이 접지된다.It should be noted that in some of the embodiments described above, an RF signal is applied to the lower electrode of the chuck 118 and the upper electrode 116 is grounded. In various embodiments, an RF signal is applied to the upper electrode 116 and a lower electrode of the chuck 118 is grounded.

본 명세서에 기술된 실시예들은 휴대형 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램가능 가전제품들, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 본 명세서에 기술된 실시예들은 또한 컴퓨터 네트워크를 통해 링크된 리모트 프로세싱 하드웨어 유닛들에 의해 태스크들이 수행되는 분산 컴퓨팅 환경들에서 실시될 수 있다.The embodiments described herein may be practiced with a variety of computer system configurations, including portable hardware units, microprocessor systems, microprocessor-based or programmable consumer electronics, minicomputers, mainframe computers, have. The embodiments described herein may also be practiced in distributed computing environments where tasks are performed by remote processing hardware units linked through a computer network.

일부 실시예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 시스템은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함한다. 시스템은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그 동작을 제어하기 위한 전자장치에 통합된다. 전자장치는 시스템의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세스 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 전달 툴들 및/또는 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 임의의 프로세스를 제어하도록 프로그램된다.In some embodiments, the controller is part of a system that may be part of the above described examples. The system includes semiconductor processing equipment, including processing tools or tools, chambers or chambers, processing platforms or platforms, and / or specific processing components (wafer pedestal, gas flow system, etc.). The system is incorporated into an electronic device for controlling its operation prior to, during, and after the processing of a semiconductor wafer or substrate. An electronic device is referred to as a "controller" that may control various components or sub-components of the system. The controller may control the delivery of process gases, temperature settings (e.g., heating and / or cooling), pressure settings, vacuum settings, power settings, etc., depending on the processing requirements and / , RF generator setups, RF matching circuit setups, frequency setups, flow rate setups, fluid delivery setups, location and operation setups, tools and other delivery tools and / or systems Such as wafer transfers into and out of interfaced load locks.

일반적으로 말하면, 다양한 실시예들에서, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정된다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들, PLD들, 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 전달되는 인스트럭션들이다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부이다.Generally speaking, in various embodiments, the controller may be implemented with various integrated circuits, logic, memory, memory, etc. that receive instructions and issue instructions, control operations, enable cleaning operations, enable end point measurements, And / or software. The integrated circuits may be implemented as chips in the form of firmware that stores program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), PLDs, program instructions (e.g., One or more microprocessors, or microcontrollers. Program instructions are instructions that are passed to the controller in the form of various individual settings (or program files) that define operating parameters for executing a process on a semiconductor wafer or a semiconductor wafer. In some embodiments, the operating parameters may be varied to achieve one or more processing steps during fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and / It is part of the recipe specified by the engineer.

제어기는, 일부 실시예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부이다. 예를 들어, 제어기는 웨이퍼 프로세싱의 리모트 액세스를 가능하게 하는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부 또는 "클라우드" 내에 있다. 제어기는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 리모트 액세스를 인에이블한다.The controller is, in some embodiments, coupled to or part of a computer that is integrated into the system, coupled to the system, or otherwise networked to the system, or a combination thereof. For example, the controller is in all or part of a factory host computer system or "cloud" that enables remote access of wafer processing. The controller monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from a plurality of manufacturing operations, changes the parameters of the current processing, Enable remote access to the system to set up or start a new process.

일부 실시예들에서, 리모트 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함하는 컴퓨터 네트워크를 통해서 프로세스 레시피들을 시스템에 제공한다. 리모트 컴퓨터는 차후에 리모트 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함한다. 일부 예들에서, 제어기는 웨이퍼를 프로세싱하기 위한 설정사항들의 형태의 인스트럭션들을 수신한다. 이 설정사항들은 제어기가 제어하거나 인터페이싱하는 툴의 타입 및 웨이퍼 상에서 수행될 프로세스의 타입에 특정적임이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어, 함께 네트워킹되어서 함께 공통 목적을 위해서 작용하는, 예컨대, 본 명세서에 기술된 프로세스들을 실시하는 것과 같은 하나 이상의 개별 제어기들을 포함함으로써 분산된다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버에서 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 리모트 컴퓨터의 일부로서) 리모트로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.In some embodiments, a remote computer (e.g., a server) provides process recipes to the system via a local network or a computer network including the Internet. The remote computer includes a user interface that allows input or programming of parameters and / or settings to be communicated from the remote computer to the system at a later time. In some instances, the controller receives instructions in the form of settings for processing wafers. It should be understood that these settings are specific to the type of tool that the controller will control or interfere with and the type of process to be performed on the wafer. Thus, as discussed above, the controller is distributed, for example, by including one or more individual controllers, such as those that are networked together and function together for a common purpose, e.g., to implement the processes described herein. Examples of decentralized controllers for these purposes include one or more integrations on a chamber communicating with one or more integrated circuits remotely located (e.g., at the platform level or as part of a remote computer) Circuits.

비한정적으로, 다양한 실시예들에서, 시스템은 플라즈마 에칭 챔버, 증착 챔버, 스핀-린스 챔버, 금속 도금 챔버, 세정 챔버, 베벨 에지 에칭 챔버, PVD (physical vapor deposition) 챔버, CVD (chemical vapor deposition) 챔버, ALD (atomic layer deposition) 챔버, ALE (atomic layer etch) 챔버, 이온 주입 챔버, 트랙 (track) 챔버, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관되는 임의의 다른 반도체 프로세싱 챔버를 포함한다.In a non-limiting embodiment, the system includes a plasma etch chamber, a deposition chamber, a spin-rinse chamber, a metal plating chamber, a cleaning chamber, a bevel edge etch chamber, a physical vapor deposition (PVD) chamber, a chemical vapor deposition (CVD) A chamber, an atomic layer deposition (ALD) chamber, an atomic layer etch (ALE) chamber, an ion implantation chamber, a track chamber, and any other semiconductor processing chamber used or associated with the manufacture and / .

상기 기술된 동작들이 평행한 플레이트 플라즈마 챔버, 예를 들어, 용량 결합된 플라즈마 챔버, 등에 대해 기술될지라도, 일부 실시예들에서, 상기 기술된 동작들은 다른 타입들의 플라즈마 챔버들, 예를 들어, 유도 결합된 플라즈마 (ICP) 반응기, TCP (transformer coupled plasma) 반응기, 컨덕터 툴들, 유전체 툴들을 포함한 플라즈마 챔버, ECR (electron cyclotron resonance) 반응기를 포함한 플라즈마 챔버, 등에 적용된다는 것이 더 주의된다. 예를 들어, x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기는 ICP 플라즈마 챔버 내에서 인덕터에 커플링된다.Although the above described operations are described with respect to parallel plate plasma chambers, e.g., capacitively coupled plasma chambers, etc., in some embodiments, the operations described above may be applied to other types of plasma chambers, It is further noted that the present invention is applied to a plasma chamber including a combined plasma (ICP) reactor, a transformer coupled plasma (TCP) reactor, conductor tools, dielectric tools, an electron cyclotron resonance (ECR) For example, the x ㎒ RF generator, the y ㎒ RF generator, and the z ㎒ RF generator are coupled to the inductor in the ICP plasma chamber.

상술한 바와 같이, 툴에 의해서 수행될 프로세스 동작에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신한다.As described above, in accordance with the process operations to be performed by the tool, the controller may be used in other tool circuits, such as those used in material transfer to move containers of wafers to / from tool positions and / Or tools, tools, modules or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located all over the plant, main computer, other controller or tools.

상기 실시예들을 고려하여, 실시예들 중 일부가 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용한다는 것이 이해되어야 한다. 이들 컴퓨터-구현된 동작들은 물리량들을 조작하는 동작들이다. In view of the above embodiments, it should be understood that some of the embodiments employ various computer-implemented operations involving data stored in computer systems. These computer-implemented operations are operations that manipulate physical quantities.

실시예들 중 일부는 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터로 특별히 구성된다. 특수 목적 컴퓨터로서 규정될 때, 컴퓨터는 또한 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행하지만, 여전히 특수 목적을 위해 동작할 수 있다.Some of the embodiments also relate to a hardware unit or device for performing these operations. The device is specially configured as a special purpose computer. When specified as a special purpose computer, the computer also performs other processing, program executions or routines that are not part of a particular purpose, but may still operate for a special purpose.

일부 실시예들에서, 본 명세서에 기술된 동작들은 선택적으로 활성화된 컴퓨터에 의해 수행되거나, 컴퓨터 메모리에 저장된 하나 이상의 컴퓨터 프로그램들에 의해 구성되거나, 네트워크를 통해 획득된다. 데이터가 컴퓨터 네트워크를 통해 획득될 때, 데이터는 컴퓨터 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.In some embodiments, the operations described herein may be performed by an optionally activated computer, or by one or more computer programs stored in a computer memory, or obtained over a network. When data is acquired over a computer network, the data may be processed by a cloud of other computers, e.g., computing resources, on the computer network.

본 명세서에 기술된 하나 이상의 실시예들은 또한 비일시적인 컴퓨터-판독가능 매체 상의 컴퓨터 판독가능 코드로서 제작될 수 있다. 비일시적인 컴퓨터-판독가능 매체는 이후에 컴퓨터 시스템에 의해 판독되는 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스, 등이다. 비일시적인 컴퓨터-판독가능 매체의 예들은 하드 드라이브들, NAS (network attached storage), ROM, RAM, CD-ROMs (compact disc-ROMs), CD-Rs (CD-recordables), CD-RWs (CD-rewritables), 자기 테이프들, 및 다른 광학 및 비광학 데이터 저장 하드웨어 유닛들을 포함한다. 일부 실시예들에서, 비일시적인 컴퓨터-판독가능 매체는 컴퓨터 판독가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크 커플링된 컴퓨터 시스템들을 통해 분산된 컴퓨터-판독가능 유형의 매체를 포함한다.One or more embodiments described herein may also be made as computer readable code on non-volatile computer-readable media. The non-volatile computer-readable medium is any data storage hardware unit, such as a memory device, that stores data that is thereafter read by a computer system. Examples of non-transitory computer-readable media include hard drives, network attached storage (NAS), read-only memory (ROM), random access memory (RAM), compact disc-ROMs, CD- rewritables, magnetic tapes, and other optical and non-optical data storage hardware units. In some embodiments, the non-transitory computer-readable medium includes a computer-readable type of medium distributed over network coupled computer systems in which the computer readable code is stored and executed in a distributed manner.

상기에 기술된 일부 방법 동작들이 특정한 순서로 제시되었지만, 다양한 실시예들에서, 다른 하우스키핑 (housekeeping) 동작들이 방법 동작들 사이에 수행되거나, 방법 동작들이 약간 상이한 시간들에서 발생하도록 방법 동작들이 조정되거나, 다양한 간격들로 방법 동작들의 발생을 허용하는 시스템 내에서 분산되거나, 상기 기술된 것과 상이한 순서로 수행된다는 것이 이해되어야 한다.Although some of the method operations described above have been presented in a particular order, in various embodiments, other housekeeping operations may be performed between method operations, or method operations may be tuned such that method operations occur at slightly different times Or distributed in a system that allows the generation of method operations at various intervals, or in a different order than that described above.

일 실시예에서, 본 개시에 기술된 다양한 실시예들에 기술된 범위로부터 벗어나지 않고 임의의 다른 실시예의 하나 이상의 특징들과 상기 기술된 임의의 실시예로부터의 하나 이상의 특징들이 결합된다는 것이 더 주의되어야 한다.It should be noted that in one embodiment, one or more features of any other embodiment are combined with one or more features from any of the embodiments described above without departing from the scope of the various embodiments described in this disclosure do.

전술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세히 기술될지라도, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실행될 수 있다는 것이 분명할 것이다. 따라서, 본 실시예들은 제한적인 것이 아닌 예시적인 것으로서 고려되고, 본 실시예들은 본 명세서에 주어진 상세사항들로 제한되지 않지만, 첨부된 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the embodiments are to be considered as illustrative rather than restrictive, and the embodiments are not intended to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.

Claims (21)

단계적 (step-wise) 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 방법에 있어서,
RF (radio frequency) 생성기가 제 1 파라미터 값으로 동작하고 그리고 임피던스 매칭 네트워크가 제 1 가변 측정 가능 인자를 가질 때, 상기 RF 생성기의 출력부와 상기 임피던스 매칭 네트워크의 입력부 사이에서 센싱된 제 1 측정된 입력 파라미터 값을 수신하는 단계;
상기 제 1 가변 측정 가능 인자 및 상기 제 1 파라미터 값을 갖도록 하나 이상의 모델들을 초기화하는 단계로서, 상기 하나 이상의 모델들은 상기 임피던스 매칭 네트워크의 매칭 네트워크 모델을 포함하는, 상기 하나 이상의 모델들을 초기화하는 단계;
상기 하나 이상의 모델들이 상기 제 1 가변 측정 가능 인자 및 상기 제 1 파라미터 값을 가질 때, 상기 제 1 측정된 입력 파라미터 값으로부터 상기 하나 이상의 모델들을 사용하여 제 1 출력 파라미터 값을 계산하는 단계;
상기 제 1 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 입력부에서의 반사 계수가 0인 최적의 가변 측정 가능 인자 및 최적의 파라미터 값을 산출하는 단계;
상기 제 1 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 상기 입력부에서의 상기 반사 계수가 최소 값에 있는 제 1 적합한 파라미터 값을 계산하는 단계;
상기 제 1 적합한 파라미터 값으로 상기 RF 생성기를 동작시키는 단계; 및
제 1 스텝 가변 측정 가능 인자를 갖도록 상기 임피던스 매칭 네트워크를 설정하는 단계로서, 상기 임피던스 매칭 네트워크가 단계적 방식으로 튜닝되도록 상기 제 1 스텝 가변 측정 가능 인자는 상기 제 1 가변 측정 가능 인자와 비교하여 상기 최적의 가변 측정 가능 인자에 보다 가까운, 상기 임피던스 매칭 네트워크를 설정하는 단계를 포함하는, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 방법.
A method for tuning an impedance matching network in a step-wise manner,
The method of claim 1, further comprising: when a radio frequency (RF) generator operates with a first parameter value and the impedance matching network has a first variable measurable factor, Receiving an input parameter value;
Initializing one or more models with the first variable measurable factor and the first parameter value, the one or more models including a matching network model of the impedance matching network;
Calculating a first output parameter value using the one or more models from the first measured input parameter value when the one or more models have the first variable measurable factor and the first parameter value;
Using the first output parameter value and the one or more models to calculate an optimal variable measurable parameter and an optimal parameter value with a reflection coefficient of zero at the input of the one or more models;
Using the first output parameter value and the one or more models to calculate a first suitable parameter value at which the reflection coefficient at the input of the one or more models is at a minimum value;
Operating the RF generator with the first suitable parameter value; And
Establishing the impedance matching network to have a first step variable measurable factor such that the first step variable measurable factor is tuned to the optimum Wherein the impedance matching network is closer to the variable measurable factor of the impedance matching network.
제 1 항에 있어서,
상기 RF 생성기가 상기 제 1 적합한 파라미터 값으로 동작되고 그리고 상기 임피던스 매칭 네트워크가 상기 제 1 스텝 가변 측정 가능 인자를 갖도록 설정될 때, 상기 RF 생성기의 상기 출력부와 상기 임피던스 매칭 네트워크의 상기 입력부 사이에서 센싱된 제 2 측정된 입력 파라미터 값을 수신하는 단계;
상기 제 1 스텝 가변 측정 가능 인자 및 상기 제 1 적합한 파라미터 값을 갖도록 상기 하나 이상의 모델들을 설정하는 단계;
상기 하나 이상의 모델들이 상기 제 1 스텝 가변 측정 가능 인자 및 상기 제 1 적합한 파라미터 값을 가질 때, 상기 제 2 측정된 입력 파라미터 값으로부터 상기 하나 이상의 모델들을 사용하여 제 2 출력 파라미터 값을 산출하는 단계;
상기 제 2 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 상기 입력부에서의 상기 반사 계수가 최소 값에 있는 제 2 적합한 파라미터 값을 산출하는 단계;
상기 제 2 적합한 파라미터 값으로 상기 RF 생성기를 동작시키는 단계; 및
제 2 스텝 가변 측정 가능 인자를 갖도록 상기 임피던스 매칭 네트워크를 설정하는 단계를 더 포함하는, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 방법.
The method according to claim 1,
When the RF generator is operated with the first suitable parameter value and the impedance matching network is set to have the first step variable measurable factor, between the output of the RF generator and the input of the impedance matching network Receiving the sensed second measured input parameter value;
Setting the one or more models to have the first step variable measurable factor and the first suitable parameter value;
Calculating the second output parameter value using the one or more models from the second measured input parameter value when the one or more models have the first step variable measurable factor and the first suitable parameter value;
Using the second output parameter value and the one or more models to calculate a second suitable parameter value at which the reflection coefficient at the input of the one or more models is at a minimum value;
Operating the RF generator with the second suitable parameter value; And
And setting the impedance matching network to have a second step variable measurable factor. ≪ Desc / Clms Page number 21 >
제 2 항에 있어서,
상기 제 2 적합한 파라미터 값은 상기 최적의 파라미터 값인, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 방법.
3. The method of claim 2,
Wherein the second suitable parameter value is the optimal parameter value. ≪ Desc / Clms Page number 21 >
제 2 항에 있어서,
상기 RF 생성기가 상기 제 2 적합한 파라미터 값으로 동작되고 그리고 상기 임피던스 매칭 네트워크가 상기 제 2 스텝 가변 측정 가능 인자를 갖도록 설정될 때, 상기 RF 생성기의 상기 출력부와 상기 임피던스 매칭 네트워크의 상기 입력부 사이에서 센싱된 제 3 측정된 입력 파라미터 값을 수신하는 단계;
상기 제 2 스텝 가변 측정 가능 인자 및 상기 제 2 적합한 파라미터 값을 갖도록 상기 하나 이상의 모델들을 설정하는 단계;
상기 제 3 측정된 입력 파라미터 값으로부터 상기 하나 이상의 모델들을 사용하여 제 3 출력 파라미터 값을 산출하는 단계;
상기 제 3 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 상기 입력부에서의 상기 반사 계수가 최소 값에 있는 제 3 적합한 파라미터 값을 산출하는 단계; 및
상기 제 3 적합한 파라미터 값으로 상기 RF 생성기를 동작시키는 단계를 더 포함하는, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 방법.
3. The method of claim 2,
When the RF generator is operated with the second suitable parameter value and the impedance matching network is set to have the second step variable measurable factor, the output of the RF generator and the input of the impedance matching network Receiving a sensed third measured input parameter value;
Setting the one or more models to have the second step variable measurable factor and the second suitable parameter value;
Calculating a third output parameter value using the one or more models from the third measured input parameter value;
Using the third output parameter value and the one or more models to calculate a third suitable parameter value at which the reflection coefficient at the input of the one or more models is at a minimum value; And
And operating the RF generator with the third suitable parameter value. ≪ Desc / Clms Page number 21 >
제 4 항에 있어서,
상기 제 3 적합한 파라미터 값은 상기 최적의 파라미터 값인, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 방법.
5. The method of claim 4,
Wherein the third suitable parameter value is the optimal parameter value. ≪ Desc / Clms Page number 21 >
제 4 항에 있어서,
상기 제 3 적합한 파라미터 값은 상기 최적의 파라미터 값과 상이한, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 방법.
5. The method of claim 4,
Wherein the third suitable parameter value is different from the optimal parameter value. ≪ Desc / Clms Page number 19 >
제 1 항에 있어서,
상기 제 1 측정된 파라미터 값은 상기 RF 생성기의 상기 출력부에 커플링되는 센서에 의해 센싱되고, 상기 제 1 측정된 파라미터 값은 임피던스 또는 반사 계수인, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 방법.
The method according to claim 1,
Wherein the first measured parameter value is sensed by a sensor coupled to the output of the RF generator and wherein the first measured parameter value is an impedance or a reflection coefficient, a method for tuning the impedance matching network in a step- .
제 1 항에 있어서,
상기 제 1 출력 파라미터 값은 상기 하나 이상의 모델들의 회로 엘리먼트들 (elements) 을 통해 상기 제 1 측정된 입력 파라미터 값을 순방향으로 전파함으로써 계산되는, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 방법.
The method according to claim 1,
Wherein the first output parameter value is calculated by propagating the first measured input parameter value in a forward direction through circuit elements of the one or more models. ≪ Desc / Clms Page number 21 >
제 1 항에 있어서,
상기 최적의 파라미터 값 및 상기 최적의 가변 측정 가능 인자는 0인 상기 반사 계수를 달성하도록 상기 하나 이상의 모델들의 회로 엘리먼트들을 통해 상기 제 1 출력 파라미터 값을 역방향으로 전파함으로써 산출되는, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 방법.
The method according to claim 1,
Wherein the optimal parameter value and the optimal variable measurable factor are calculated by propagating the first output parameter value in the reverse direction through circuit elements of the one or more models to achieve the reflection coefficient of zero, A method for tuning a network.
단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템에 있어서,
RF 생성기가 제 1 파라미터 값으로 동작하고 그리고 임피던스 매칭 네트워크가 제 1 가변 측정 가능 인자를 가질 때, 상기 RF 생성기의 출력부와 상기 임피던스 매칭 네트워크의 입력부 사이에서 센싱된 제 1 측정된 입력 파라미터 값을 수신하도록 구성된 프로세서로서,
상기 프로세서는 상기 제 1 가변 측정 가능 인자 및 상기 제 1 파라미터 값을 갖게 하나 이상의 모델들을 초기화하도록 구성되고, 상기 하나 이상의 모델들은 상기 임피던스 매칭 네트워크의 모델을 포함하는, 상기 프로세서; 및
상기 프로세서에 연결된 메모리 디바이스로서, 상기 하나 이상의 모델들을 저장하도록 구성되는, 상기 메모리 디바이스를 포함하고,
상기 하나 이상의 모델들이 상기 제 1 가변 측정 가능 인자 및 상기 제 1 파라미터 값을 가질 때, 상기 프로세서는 상기 제 1 측정된 입력 파라미터 값으로부터 상기 하나 이상의 모델들을 사용하여 제 1 출력 파라미터 값을 계산하도록 구성되고,
상기 프로세서는, 상기 제 1 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 입력부에서의 반사 계수가 0인 최적의 가변 측정 가능 인자 및 최적의 파라미터 값을 산출하도록 구성되고,
상기 프로세서는, 상기 제 1 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 상기 입력부에서의 상기 반사 계수가 최소 값에 있는 제 1 적합한 파라미터 값을 계산하도록 구성되고,
상기 프로세서는 상기 제 1 적합한 파라미터 값으로 상기 RF 생성기를 동작시키도록 구성되고,
상기 프로세서는 제 1 스텝 가변 측정 가능 인자를 갖게 상기 임피던스 매칭 네트워크를 설정하도록 구성되고, 상기 제 1 스텝 가변 측정 가능 인자는 상기 임피던스 매칭 네트워크가 단계적 방식으로 튜닝되도록 상기 제 1 가변 측정 가능 인자와 비교하여 상기 최적의 가변 측정 가능 인자에 보다 가까운, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
A system for tuning an impedance matching network in a stepwise manner,
A first measured input parameter value sensed between an output of the RF generator and an input of the impedance matching network when the RF generator operates with a first parameter value and the impedance matching network has a first variable measurable factor, A processor configured to receive,
Wherein the processor is configured to initialize one or more models with the first variable measurable factor and the first parameter value, wherein the one or more models comprise a model of the impedance matching network; And
A memory device coupled to the processor, the memory device configured to store the one or more models,
When the one or more models have the first variable measurable factor and the first parameter value, the processor is configured to calculate a first output parameter value using the one or more models from the first measured input parameter value And,
Wherein the processor is configured to use the first output parameter value and the one or more models to calculate an optimal variable measurable factor and an optimal parameter value with a reflection coefficient of zero at an input of the one or more models,
Wherein the processor is configured to calculate a first suitable parameter value at which the reflection coefficient at the input of the one or more models is at a minimum value, using the first output parameter value and the one or more models,
Wherein the processor is configured to operate the RF generator with the first suitable parameter value,
Wherein the processor is configured to set the impedance matching network to have a first step variable measurable factor, wherein the first step variable measurable factor is determined by comparing the first variable measurable factor such that the impedance matching network is tuned in a step- Wherein the system is tuned to the optimum variable measurable factor to provide a tunable impedance matching network.
제 10 항에 있어서,
상기 RF 생성기가 상기 제 1 적합한 파라미터 값으로 동작되고 그리고 상기 임피던스 매칭 네트워크가 상기 제 1 스텝 가변 측정 가능 인자를 갖도록 설정될 때, 상기 프로세서는 상기 RF 생성기의 상기 출력부와 상기 임피던스 매칭 네트워크의 상기 입력부 사이에서 센싱된 제 2 측정된 입력 파라미터 값을 수신하도록 구성되고,
상기 프로세서는 상기 제 1 스텝 가변 측정 가능 인자 및 상기 제 1 적합한 파라미터 값을 갖게 상기 하나 이상의 모델들을 설정하도록 구성되고,
상기 하나 이상의 모델들이 상기 제 1 스텝 가변 측정 가능 인자 및 상기 제 1 적합한 파라미터 값을 가질 때, 상기 프로세서는 상기 제 2 측정된 입력 파라미터 값으로부터 상기 하나 이상의 모델들을 사용하여 제 2 출력 파라미터 값을 산출하도록 구성되고,
상기 프로세서는 상기 제 2 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 상기 입력부에서의 상기 반사 계수가 최소 값에 있는 제 2 적합한 파라미터 값을 산출하도록 구성되고,
상기 프로세서는 상기 제 2 적합한 파라미터 값으로 상기 RF 생성기를 동작시키도록 구성되고, 그리고
상기 프로세서는 제 2 스텝 가변 측정 가능 인자를 갖게 상기 임피던스 매칭 네트워크를 설정하도록 구성되는, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
11. The method of claim 10,
When the RF generator is operated with the first suitable parameter value and the impedance matching network is set to have the first step variable measurable factor, And to receive a second measured input parameter value sensed between inputs,
Wherein the processor is configured to set the one or more models to have the first step variable measurable factor and the first suitable parameter value,
When the one or more models have the first step variable measurable factor and the first suitable parameter value, the processor calculates the second output parameter value using the one or more models from the second measured input parameter value Lt; / RTI >
Wherein the processor is configured to use the second output parameter value and the one or more models to calculate a second suitable parameter value at which the reflection coefficient at the input of the one or more models is at a minimum value,
Wherein the processor is configured to operate the RF generator with the second suitable parameter value, and
Wherein the processor is configured to set the impedance matching network to have a second step variable measurable factor.
제 11 항에 있어서,
상기 RF 생성기가 상기 제 2 적합한 파라미터 값으로 동작되고 그리고 상기 임피던스 매칭 네트워크가 상기 제 2 스텝 가변 측정 가능 인자를 갖도록 설정될 때, 상기 프로세서는 상기 RF 생성기의 상기 출력부와 상기 임피던스 매칭 네트워크의 상기 입력부 사이에서 센싱된 제 3 측정된 입력 파라미터 값을 수신하도록 구성되고,
상기 프로세서는 상기 제 2 스텝 가변 측정 가능 인자 및 상기 제 2 적합한 파라미터 값을 갖게 상기 하나 이상의 모델들을 설정하도록 구성되고,
상기 프로세서는 상기 제 3 측정된 입력 파라미터 값으로부터 상기 하나 이상의 모델들을 사용하여 제 3 출력 파라미터 값을 산출하도록 구성되고,
상기 프로세서는 상기 제 3 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 상기 입력부에서의 상기 반사 계수가 최소 값에 있는 제 3 적합한 파라미터 값을 산출하도록 구성되고, 그리고
상기 프로세서는 상기 제 3 적합한 파라미터 값으로 상기 RF 생성기를 동작시키도록 구성되는, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
12. The method of claim 11,
When the RF generator is operated with the second suitable parameter value and the impedance matching network is set to have the second step variable measurable factor, the processor is further operable to determine, based on the output of the RF generator, And to receive a third measured input parameter value sensed between inputs,
Wherein the processor is configured to set the one or more models to have the second step variable measurable factor and the second suitable parameter value,
Wherein the processor is configured to calculate a third output parameter value using the one or more models from the third measured input parameter value,
Wherein the processor is configured to calculate a third suitable parameter value at which the reflection coefficient at the input of the one or more models is at a minimum value using the third output parameter value and the one or more models,
And wherein the processor is configured to operate the RF generator with the third suitable parameter value.
제 12 항에 있어서,
상기 제 3 적합한 파라미터 값은 상기 최적의 파라미터 값인, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
13. The method of claim 12,
And wherein the third suitable parameter value is the optimal parameter value.
제 12 항에 있어서,
상기 제 3 적합한 파라미터 값은 상기 최적의 파라미터 값과 상이한, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
13. The method of claim 12,
Wherein the third suitable parameter value is different from the optimal parameter value. ≪ Desc / Clms Page number 13 >
제 10 항에 있어서,
상기 제 1 측정된 파라미터 값은 상기 RF 생성기의 상기 출력부에 커플링되는 센서에 의해 센싱되고, 상기 제 1 측정된 파라미터 값은 임피던스 또는 반사 계수인, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
11. The method of claim 10,
Wherein the first measured parameter value is sensed by a sensor coupled to the output of the RF generator and wherein the first measured parameter value is an impedance or a reflection coefficient, .
단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템에 있어서,
출력부를 가진 RF 생성기;
상기 RF 생성기의 상기 출력부에 연결된 임피던스 매칭 네트워크;
RF 전송선을 통해 상기 임피던스 매칭 네트워크에 연결된 플라즈마 챔버; 및
상기 RF 생성기에 커플링된 프로세서를 포함하고,
상기 RF 생성기가 제 1 파라미터 값으로 동작하고 그리고 상기 임피던스 매칭 네트워크가 제 1 가변 측정 가능 인자를 가질 때, 상기 프로세서는 상기 RF 생성기의 상기 출력부와 상기 임피던스 매칭 네트워크의 입력부 사이에서 센싱된 제 1 측정된 입력 파라미터 값을 수신하도록 구성되고,
상기 프로세서는 상기 제 1 가변 측정 가능 인자 및 상기 제 1 파라미터 값을 갖게 하나 이상의 모델들을 초기화하도록 구성되고, 상기 하나 이상의 모델들은 상기 임피던스 매칭 네트워크의 매칭 네트워크 모델을 포함하고,
상기 하나 이상의 모델들이 상기 제 1 가변 측정 가능 인자 및 상기 제 1 파라미터 값을 가질 때, 상기 프로세서는 상기 제 1 측정된 입력 파라미터 값으로부터 상기 하나 이상의 모델들을 사용하여 제 1 출력 파라미터 값을 계산하도록 구성되고,
상기 프로세서는, 상기 제 1 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 입력부에서의 반사 계수가 0인 최적의 가변 측정 가능 인자 및 최적의 파라미터 값을 산출하도록 구성되고,
상기 프로세서는, 상기 제 1 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 상기 입력부에서의 상기 반사 계수가 최소 값에 있는 제 1 적합한 파라미터 값을 계산하도록 구성되고,
상기 프로세서는 상기 제 1 적합한 파라미터 값으로 상기 RF 생성기를 동작시키도록 구성되고,
상기 프로세서는 제 1 스텝 가변 측정 가능 인자를 갖게 상기 임피던스 매칭 네트워크를 설정하도록 구성되고, 상기 제 1 스텝 가변 측정 가능 인자는 상기 임피던스 매칭 네트워크가 단계적 방식으로 튜닝되도록 상기 제 1 가변 측정 가능 인자와 비교하여 상기 최적의 가변 측정 가능 인자에 보다 가까운, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
A system for tuning an impedance matching network in a stepwise manner,
An RF generator having an output;
An impedance matching network coupled to the output of the RF generator;
A plasma chamber connected to the impedance matching network via an RF transmission line; And
A processor coupled to the RF generator,
Wherein when the RF generator is operating with a first parameter value and the impedance matching network has a first variable measurable factor, the processor is operable to detect a first variable sensible parameter between the output of the RF generator and an input of the impedance matching network, And to receive the measured input parameter value,
Wherein the processor is configured to initialize one or more models with the first variable measurable factor and the first parameter value, wherein the one or more models comprise a matching network model of the impedance matching network,
When the one or more models have the first variable measurable factor and the first parameter value, the processor is configured to calculate a first output parameter value using the one or more models from the first measured input parameter value And,
Wherein the processor is configured to use the first output parameter value and the one or more models to calculate an optimal variable measurable factor and an optimal parameter value with a reflection coefficient of zero at an input of the one or more models,
Wherein the processor is configured to calculate a first suitable parameter value at which the reflection coefficient at the input of the one or more models is at a minimum value, using the first output parameter value and the one or more models,
Wherein the processor is configured to operate the RF generator with the first suitable parameter value,
Wherein the processor is configured to set the impedance matching network to have a first step variable measurable factor, wherein the first step variable measurable factor is determined by comparing the first variable measurable factor such that the impedance matching network is tuned in a step- Wherein the system is tuned to the optimum variable measurable factor to provide a tunable impedance matching network.
제 16 항에 있어서,
상기 RF 생성기가 상기 제 1 적합한 파라미터 값으로 동작되고 그리고 상기 임피던스 매칭 네트워크가 상기 제 1 스텝 가변 측정 가능 인자를 갖도록 설정될 때, 상기 프로세서는 상기 RF 생성기의 상기 출력부와 상기 임피던스 매칭 네트워크의 상기 입력부 사이에서 센싱된 제 2 측정된 입력 파라미터 값을 수신하도록 구성되고,
상기 프로세서는 상기 제 1 스텝 가변 측정 가능 인자 및 상기 제 1 적합한 파라미터 값을 갖게 상기 하나 이상의 모델들을 설정하도록 구성되고,
상기 하나 이상의 모델들이 상기 제 1 스텝 가변 측정 가능 인자 및 상기 제 1 적합한 파라미터 값을 가질 때, 상기 프로세서는 상기 제 2 측정된 입력 파라미터 값으로부터 상기 하나 이상의 모델들을 사용하여 제 2 출력 파라미터 값을 산출하도록 구성되고,
상기 프로세서는 상기 제 2 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 상기 입력부에서의 상기 반사 계수가 최소 값에 있는 제 2 적합한 파라미터 값을 산출하도록 구성되고,
상기 프로세서는 상기 제 2 적합한 파라미터 값으로 상기 RF 생성기를 동작시키도록 구성되고, 그리고
상기 프로세서는 제 2 스텝 가변 측정 가능 인자를 갖게 상기 임피던스 매칭 네트워크를 설정하도록 구성되는, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
17. The method of claim 16,
When the RF generator is operated with the first suitable parameter value and the impedance matching network is set to have the first step variable measurable factor, And to receive a second measured input parameter value sensed between inputs,
Wherein the processor is configured to set the one or more models to have the first step variable measurable factor and the first suitable parameter value,
When the one or more models have the first step variable measurable factor and the first suitable parameter value, the processor calculates the second output parameter value using the one or more models from the second measured input parameter value Lt; / RTI >
Wherein the processor is configured to use the second output parameter value and the one or more models to calculate a second suitable parameter value at which the reflection coefficient at the input of the one or more models is at a minimum value,
Wherein the processor is configured to operate the RF generator with the second suitable parameter value, and
Wherein the processor is configured to set the impedance matching network to have a second step variable measurable factor.
제 17 항에 있어서,
상기 RF 생성기가 상기 제 2 적합한 파라미터 값으로 동작되고 그리고 상기 임피던스 매칭 네트워크가 상기 제 2 스텝 가변 측정 가능 인자를 갖도록 설정될 때, 상기 프로세서는 상기 RF 생성기의 상기 출력부와 상기 임피던스 매칭 네트워크의 상기 입력부 사이에서 센싱된 제 3 측정된 입력 파라미터 값을 수신하도록 구성되고,
상기 프로세서는 상기 제 2 스텝 가변 측정 가능 인자 및 상기 제 2 적합한 파라미터 값을 갖게 상기 하나 이상의 모델들을 설정하도록 구성되고,
상기 프로세서는 상기 제 3 측정된 입력 파라미터 값으로부터 상기 하나 이상의 모델들을 사용하여 제 3 출력 파라미터 값을 산출하도록 구성되고,
상기 프로세서는, 상기 제 3 출력 파라미터 값 및 상기 하나 이상의 모델들을 사용하여, 상기 하나 이상의 모델들의 상기 입력부에서의 상기 반사 계수가 최소 값에 있는 제 3 적합한 파라미터 값을 산출하도록 구성되고, 그리고
상기 프로세서는 상기 제 3 적합한 파라미터 값으로 상기 RF 생성기를 동작시키도록 구성되는, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
18. The method of claim 17,
When the RF generator is operated with the second suitable parameter value and the impedance matching network is set to have the second step variable measurable factor, the processor is further operable to determine, based on the output of the RF generator, And to receive a third measured input parameter value sensed between inputs,
Wherein the processor is configured to set the one or more models to have the second step variable measurable factor and the second suitable parameter value,
Wherein the processor is configured to calculate a third output parameter value using the one or more models from the third measured input parameter value,
Wherein the processor is configured to calculate a third suitable parameter value using the third output parameter value and the one or more models, wherein the reflection coefficient at the input of the one or more models is at a minimum value, and
And wherein the processor is configured to operate the RF generator with the third suitable parameter value.
제 18 항에 있어서,
상기 제 3 적합한 파라미터 값은 최적의 파라미터 값인, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
19. The method of claim 18,
And wherein the third suitable parameter value is an optimal parameter value.
제 18 항에 있어서,
상기 제 3 적합한 파라미터 값은 최적의 파라미터 값과 상이한, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
19. The method of claim 18,
And wherein the third suitable parameter value is different from the optimal parameter value.
제 17 항에 있어서,
상기 제 1 측정된 파라미터 값은 상기 RF 생성기의 상기 출력부에 커플링되는 센서에 의해 센싱되고, 상기 제 1 측정된 파라미터 값은 임피던스 또는 반사 계수인, 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템.
18. The method of claim 17,
Wherein the first measured parameter value is sensed by a sensor coupled to the output of the RF generator and wherein the first measured parameter value is an impedance or a reflection coefficient, .
KR1020170025504A 2016-03-04 2017-02-27 Systems and methods for tuning an impedance matching network in a step-wise fashion KR102460246B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/061,705 2016-03-04
US15/061,705 US10296676B2 (en) 2013-05-09 2016-03-04 Systems and methods for tuning an impedance matching network in a step-wise fashion

Publications (2)

Publication Number Publication Date
KR20170103660A true KR20170103660A (en) 2017-09-13
KR102460246B1 KR102460246B1 (en) 2022-10-27

Family

ID=59791740

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170025504A KR102460246B1 (en) 2016-03-04 2017-02-27 Systems and methods for tuning an impedance matching network in a step-wise fashion

Country Status (3)

Country Link
KR (1) KR102460246B1 (en)
CN (1) CN107154787B (en)
TW (3) TWI804067B (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10679825B2 (en) * 2017-11-15 2020-06-09 Lam Research Corporation Systems and methods for applying frequency and match tuning in a non-overlapping manner for processing substrate
CN108668395B (en) * 2017-12-29 2022-03-04 恩智浦美国有限公司 Planar inductor for RF heating system
CN110534392B (en) * 2018-05-25 2022-04-22 北京北方华创微电子装备有限公司 Radio frequency impedance matching method and device and semiconductor processing equipment
CN110311646A (en) * 2019-06-28 2019-10-08 高斯贝尔数码科技股份有限公司 A kind of adaptive matching method and system of microwave power source and reaction chamber
CN111328175B (en) * 2020-04-14 2022-03-22 深圳市恒运昌真空技术有限公司 Impedance adjusting method, system and device of matching box and radio frequency power supply system
CN112272031A (en) * 2020-08-26 2021-01-26 华南理工大学 Antenna impedance automatic matching method and system
CN116190190B (en) * 2023-04-25 2023-07-25 季华实验室 Automatic impedance matching method, device, system, electronic equipment and storage medium
CN117538616B (en) * 2024-01-09 2024-04-23 深圳市瀚强科技股份有限公司 Impedance detection method, impedance detection circuit and impedance detection device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0611528U (en) * 1992-07-15 1994-02-15 サンスター株式会社 Interdental cleaning brush
JP2000049216A (en) * 1998-07-28 2000-02-18 Mitsubishi Electric Corp Plasma processing apparatus and method of adsorption by electrostatic chucking used in apparatus thereof
JP2007208084A (en) * 2006-02-03 2007-08-16 Hitachi High-Technologies Corp Plasma processor
JP2008147673A (en) * 2006-12-11 2008-06-26 Applied Materials Inc Plasma reactor control by translating desired values of m plasma parameters to values of n chamber parameters
JP2010532083A (en) * 2007-06-28 2010-09-30 ラム リサーチ コーポレーション Method and apparatus for voltage and current probe test apparatus
JP2012138581A (en) * 2012-01-10 2012-07-19 Hitachi High-Technologies Corp Plasma processing apparatus and plasma processing method

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
US7326872B2 (en) * 2004-04-28 2008-02-05 Applied Materials, Inc. Multi-frequency dynamic dummy load and method for testing plasma reactor multi-frequency impedance match networks
CN101437353B (en) * 2007-11-15 2012-01-11 北京北方微电子基地设备工艺研究中心有限责任公司 Matcher and matching method thereof
JP5319150B2 (en) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, and computer-readable storage medium
CN103687267B (en) * 2012-09-17 2017-03-22 北京北方微电子基地设备工艺研究中心有限责任公司 Impedance matching device, impedance matching method and substrate processing equipment
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
CN104349567A (en) * 2013-07-29 2015-02-11 北京北方微电子基地设备工艺研究中心有限责任公司 Radio frequency power supply system and a method for performing impedance matching by utilizing radio frequency power supply system
TWI668725B (en) * 2013-10-01 2019-08-11 美商蘭姆研究公司 Control of etch rate using modeling, feedback and impedance match
JP6312405B2 (en) * 2013-11-05 2018-04-18 東京エレクトロン株式会社 Plasma processing equipment
CN103632927B (en) * 2013-12-19 2016-03-16 中微半导体设备(上海)有限公司 The impedance matching methods of plasma etching system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0611528U (en) * 1992-07-15 1994-02-15 サンスター株式会社 Interdental cleaning brush
JP2000049216A (en) * 1998-07-28 2000-02-18 Mitsubishi Electric Corp Plasma processing apparatus and method of adsorption by electrostatic chucking used in apparatus thereof
JP2007208084A (en) * 2006-02-03 2007-08-16 Hitachi High-Technologies Corp Plasma processor
JP2008147673A (en) * 2006-12-11 2008-06-26 Applied Materials Inc Plasma reactor control by translating desired values of m plasma parameters to values of n chamber parameters
JP2010532083A (en) * 2007-06-28 2010-09-30 ラム リサーチ コーポレーション Method and apparatus for voltage and current probe test apparatus
JP2012138581A (en) * 2012-01-10 2012-07-19 Hitachi High-Technologies Corp Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
CN107154787A (en) 2017-09-12
TWI750154B (en) 2021-12-21
CN107154787B (en) 2020-11-06
KR102460246B1 (en) 2022-10-27
TW202211732A (en) 2022-03-16
TW202333541A (en) 2023-08-16
TW201742514A (en) 2017-12-01
TWI804067B (en) 2023-06-01

Similar Documents

Publication Publication Date Title
US10403482B2 (en) Systems and methods for tuning an impedance matching network in a step-wise fashion for multiple states of an RF generator
US10621265B2 (en) Systems and methods for tuning an impedance matching network in a step-wise fashion
US10296676B2 (en) Systems and methods for tuning an impedance matching network in a step-wise fashion
US10911081B2 (en) Systems and methods for reducing power reflected towards a higher frequency RF generator during a period of a lower RF generator and for using a relationship to reduce reflected power
KR102460246B1 (en) Systems and methods for tuning an impedance matching network in a step-wise fashion
US10651013B2 (en) Systems and methods for tuning to reduce reflected power in multiple states
US10276350B2 (en) Systems and methods for using computer-generated models to reduce reflected power towards an RF generator during state transitions of the RF generator by controlling RF values of the RF generator
US9984859B2 (en) Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9720022B2 (en) Systems and methods for providing characteristics of an impedance matching model for use with matching networks
JP6909590B2 (en) Plasma systems, controllers and methods that use relationships to reduce the power reflected towards higher RF generators in lower frequency RF generator cycles and reduce reflected power.
US10009028B2 (en) Frequency and match tuning in one state and frequency tuning in the other state
US10020168B1 (en) Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
TWI751138B (en) Systems and methods for reducing reflected power during state transitions by using radio frequency values
CN107294510B (en) System and method for tuning an impedance matching network in a step-wise manner for multiple states
US11335539B2 (en) Systems and methods for optimizing power delivery to an electrode of a plasma chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant