KR20170089288A - Apparatus for processing substrate - Google Patents

Apparatus for processing substrate Download PDF

Info

Publication number
KR20170089288A
KR20170089288A KR1020160009527A KR20160009527A KR20170089288A KR 20170089288 A KR20170089288 A KR 20170089288A KR 1020160009527 A KR1020160009527 A KR 1020160009527A KR 20160009527 A KR20160009527 A KR 20160009527A KR 20170089288 A KR20170089288 A KR 20170089288A
Authority
KR
South Korea
Prior art keywords
gas
exhaust
substrate
region
process chamber
Prior art date
Application number
KR1020160009527A
Other languages
Korean (ko)
Other versions
KR102567720B1 (en
Inventor
유진혁
천민호
황철주
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020160009527A priority Critical patent/KR102567720B1/en
Priority to CN201780015704.8A priority patent/CN108780736B/en
Priority to JP2018539103A priority patent/JP7008629B2/en
Priority to US16/073,318 priority patent/US20190035607A1/en
Priority to PCT/KR2017/000796 priority patent/WO2017131404A1/en
Priority to TW106103028A priority patent/TWI723125B/en
Publication of KR20170089288A publication Critical patent/KR20170089288A/en
Application granted granted Critical
Publication of KR102567720B1 publication Critical patent/KR102567720B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Abstract

The present invention relates to a substrate processing apparatus to which a source gas and a reaction gas are injected comprises: a first exhaust line exhausting a first exhaust gas containing a source gas more than a reaction gas; a second exhaust line exhausting a second exhaust gas containing the reaction gas more than the source gas; a capturing device installed in the first exhaust line; and a third exhaust line connected to an exhaust pump to exhaust the first exhaust gas passing through the capturing device and the second exhaust gas passing through the second exhaust line. Therefore, difficulties in controlling the uneven characteristics and quality of a thin film can be solved.

Description

기판 처리 장치{APPARATUS FOR PROCESSING SUBSTRATE}[0001] APPARATUS FOR PROCESSING SUBSTRATE [0002]

본 발명은 기판 상에 박막을 증착하는 기판 처리 장치에 관한 것이다.The present invention relates to a substrate processing apparatus for depositing a thin film on a substrate.

일반적으로, 태양전지(Solar Cell), 반도체 소자, 평판 디스플레이 등을 제조하기 위해서는 기판 표면에 소정의 박막층, 박막 회로 패턴, 또는 광학적 패턴을 형성하여야 하며, 이를 위해서는 기판에 특정 물질의 박막을 증착하는 박막 증착 공정, 감광성 물질을 사용하여 박막을 선택적으로 노출시키는 포토 공정, 선택적으로 노출된 부분의 박막을 제거하여 패턴을 형성하는 식각 공정 등의 반도체 제조 공정을 수행하게 된다.Generally, in order to manufacture a solar cell, a semiconductor device, a flat panel display, etc., a predetermined thin film layer, a thin film circuit pattern, or an optical pattern must be formed on the surface of the substrate. For this purpose, A semiconductor manufacturing process such as a thin film deposition process, a photolithography process for selectively exposing a thin film using a photosensitive material, and an etching process for forming a pattern by selectively removing a thin film of an exposed portion are performed.

이러한 반도체 제조 공정은 해당 공정을 위해 최적의 환경으로 설계된 기판 처리 장치의 내부에서 진행되며, 최근에는 플라즈마를 이용하여 증착 또는 식각 공정을 수행하는 기판 처리 장치가 많이 사용되고 있다.Such a semiconductor manufacturing process is performed inside a substrate processing apparatus designed for an optimum environment for the process, and recently, a substrate processing apparatus for performing a deposition or etching process using plasma is widely used.

플라즈마를 이용한 기판 처리 장치에는 플라즈마를 이용하여 박막을 형성하는 PECVD(Plasma Enhanced Chemical Vapor Deposition) 장치, 및 박막을 식각하여 패터닝하는 플라즈마 식각 장치 등이 있다.A plasma processing apparatus using a plasma includes a plasma enhanced chemical vapor deposition (PECVD) apparatus for forming a thin film using plasma, and a plasma etching apparatus for patterning a thin film by etching.

도 1은 종래 기술에 따른 기판 처리 장치에 대한 개략적인 측단면도이다.1 is a schematic side cross-sectional view of a substrate processing apparatus according to the prior art.

도 1을 참조하면, 종래 기술에 따른 기판 처리 장치는 챔버(10), 플라즈마 전극(20), 서셉터(30), 및 가스 분사 수단(40)을 구비한다.Referring to FIG. 1, a substrate processing apparatus according to the prior art includes a chamber 10, a plasma electrode 20, a susceptor 30, and a gas injection means 40.

챔버(10)는 기판 처리 공정을 위한 공정 공간을 제공한다. 이때, 챔버(10)의 양측 바닥면은 공정 공간을 배기시키기 위한 펌핑 포트(12)에 연통된다.The chamber 10 provides a processing space for the substrate processing process. At this time, both side bottom surfaces of the chamber 10 communicate with the pumping port 12 for exhausting the process space.

플라즈마 전극(20)은 공정 공간을 밀폐하도록 챔버(10)의 상부에 설치된다.Plasma electrode 20 is installed on top of chamber 10 to seal process space.

플라즈마 전극(20)의 일측은 정합 부재(22)를 통해 RF(Radio Frequency) 전원(24)에 전기적으로 접속된다. 이때, RF 전원(24)은 RF 전력을 생성하여 플라즈마 전극(20)에 공급한다.One side of the plasma electrode 20 is electrically connected to an RF (Radio Frequency) power source 24 through a matching member 22. At this time, the RF power supply 24 generates and supplies RF power to the plasma electrode 20.

또한, 플라즈마 전극(20)의 중앙 부분은 기판 처리 공정을 위한 소스 가스 및 반응 가스를 공급하는 가스 공급 관(26)에 연통된다.Further, the central portion of the plasma electrode 20 is connected to the gas supply pipe 26 for supplying the source gas and the reactive gas for the substrate processing process.

정합 부재(22)는 플라즈마 전극(20)과 RF 전원(24) 간에 접속되어 RF 전원(24)으로부터 플라즈마 전극(20)에 공급되는 RF 전력의 부하 임피던스와 소스 임피던스를 정합시킨다.The matching member 22 is connected between the plasma electrode 20 and the RF power supply 24 to match the load impedance and the source impedance of the RF power supplied from the RF power supply 24 to the plasma electrode 20. [

서셉터(30)는 챔버(10)의 내부에 설치되어 외부로부터 로딩되는 복수의 기판(W)을 지지한다. 이러한 서셉터(30)는 플라즈마 전극(20)에 대향되는 대향 전극으로써, 서셉터(30)를 승강시키는 승강축(32)을 통해 전기적으로 접지된다.The susceptor 30 is installed inside the chamber 10 to support a plurality of substrates W to be loaded from the outside. The susceptor 30 is an opposing electrode facing the plasma electrode 20 and is electrically grounded through an elevation shaft 32 for elevating and lowering the susceptor 30.

상기 서셉터(30)의 내부에는 지지된 기판(W)을 가열하기 위한 기판 가열 수단(미도시)이 내장되어 있으며, 상기 기판 가열 수단을 서셉터(30)를 가열함으로써 서셉터(30)에 지지된 기판(W)의 하면을 가열하게 된다.A substrate heating means (not shown) for heating the supported substrate W is built in the susceptor 30 and the substrate heating means is heated by the susceptor 30 to heat the susceptor 30 The lower surface of the supported substrate W is heated.

승강축(32)은 승강 장치(미도시)에 의해 상하 방향으로 승강된다. 이때, 승강축(32)은 승강축(32)과 챔버(10)의 바닥면을 밀봉하는 벨로우즈(34)에 의해 감싸여진다.The elevating shaft 32 is vertically elevated and lowered by an elevating device (not shown). At this time, the lifting shaft 32 is surrounded by the bellows 34 that seals the lifting shaft 32 and the bottom surface of the chamber 10.

가스 분사 수단(40)은 서셉터(30)에 대향되도록 플라즈마 전극(20)의 하부에 설치된다. 이때, 가스 분사 수단(40)과 플라즈마 전극(20) 사이에는 플라즈마 전극(20)을 관통하는 가스 공급 관(26)으로부터 공급되는 소스 가스 및 반응 가스가 확산되는 가스 확산 공간(42)이 형성된다. 이러한, 가스 분사 수단(40)은 가스 확산 공간(42)에 연통된 복수의 가스 분사 홀(44)을 통해 소스 가스 및 반응 가스를 공정 공간의 전 부분에 분사한다.The gas injection means 40 is installed below the plasma electrode 20 so as to face the susceptor 30. A gas diffusion space 42 through which the source gas and the reactive gas supplied from the gas supply pipe 26 passing through the plasma electrode 20 is diffused is formed between the gas injection means 40 and the plasma electrode 20 . The gas injection means 40 injects the source gas and the reactive gas to all the parts of the process space through the plurality of gas injection holes 44 communicated with the gas diffusion space 42.

이와 같은, 종래의 기판 처리 장치는 기판(W)을 서셉터(30)에 로딩시킨 다음, 서셉터(30)에 로딩된 기판(W)을 가열하고, 챔버(10)의 공정 공간에 소스 가스 및 반응 가스를 분사하면서 플라즈마 전극(20)에 RF 전력을 공급하여 플라즈마를 형성함으로써 기판(W) 상에 소정의 박막을 형성하게 된다. 그리고, 박막 증착 공정 동안 공정 공간으로 분사되는 소스 가스 및 공정 가스는 서셉터(30)의 가장자리 쪽으로 흘러 공정 챔버(10)의 양측 바닥면에 형성된 펌핑 포트(12)를 통해 공정 챔버(10)의 외부로 배기된다.Such a conventional substrate processing apparatus loads the substrate W onto the susceptor 30 and then heats the substrate W loaded on the susceptor 30 and supplies the source gas And RF power is supplied to the plasma electrode 20 while spraying the reaction gas to form a predetermined thin film on the substrate W. The source gas and the process gas that are injected into the process space during the thin film deposition process flow toward the edge of the susceptor 30 and pass through the pumping port 12 formed on both sides of the process chamber 10, And exhausted to the outside.

이와 같은, 종래 기술에 따른 기판 처리 장치는 다음과 같은 문제점이 있다.Such a conventional substrate processing apparatus has the following problems.

첫째, 종래 기술에 따른 기판 처리 장치는 소스 가스와 반응 가스가 공정 공간에서 서로 혼합되어 기판에 증착되는 CVD(Chemical Vapor Deposition) 증착 공정에 의해 기판(W)에 소정의 박막을 형성함으로써 박막의 특성이 불균일하고, 박막의 막질 제어에 어려움이 있다.First, the substrate processing apparatus according to the related art forms a predetermined thin film on the substrate W by CVD (Chemical Vapor Deposition) deposition process in which the source gas and the reactive gas are mixed with each other in the process space and deposited on the substrate, And it is difficult to control the film quality of the thin film.

둘째, 종래 기술에 따른 기판 처리 장치는 박막 증착 공정에 사용된 소스 가스와 반응 가스가 혼합된 상태로 펌핑 포트(12)를 통해 외부로 배출된다. 따라서, 종래 기술에 따른 기판 처리장치는 소스 가스와 반응 가스가 혼합된 혼합가스가 배출되는 과정에서 혼합가스로부터 입자상태의 파티클이 생성됨으로써, 생성된 파티클이 배기의 원활한 배출을 방해하는 요소로 작용하여 배기효율을 저하시키는 문제가 있다. 또한, 종래 기술에 따른 기판 처리 장치는 배기효율 저하로 인해 배기에 걸리는 시간이 증대됨에 따라 박막 증착 공정에 대한 공정 시간을 지연시키는 문제가 있다.Second, the substrate processing apparatus according to the related art is discharged to the outside through the pumping port 12 in a mixed state of the source gas and the reactive gas used in the thin film deposition process. Therefore, in the substrate processing apparatus according to the related art, particles in the form of particles are generated from the mixed gas in the process of discharging the mixed gas in which the source gas and the reactive gas are mixed, so that the generated particles obstruct smooth discharge of the exhaust gas So that the exhaust efficiency is lowered. Further, the substrate processing apparatus according to the related art has a problem of delaying the process time for the thin film deposition process as time taken for exhausting due to lowering of exhaust efficiency is increased.

본 발명은 상술한 바와 같은 문제점을 해결하고자 안출된 것으로, 공정 공간에서 소스 가스와 반응 가스가 혼합됨에 따라 박막의 특성 불균일 및 박막의 막질 제어에 대한 어려움을 해소할 수 있는 기판 처리 장치를 제공하기 위한 것이다.Disclosure of the Invention The present invention has been devised to solve the above-described problems, and it is an object of the present invention to provide a substrate processing apparatus capable of solving the difficulty in control of the film quality of the thin film and the non- uniformity of the thin film as the source gas and the reactive gas are mixed in the process space .

본 발명은 소스 가스와 반응 가스가 혼합된 상태로 배출됨에 따른 파티클 생성으로 인해 배기 효율이 저하되는 것을 방지할 수 있고, 박막 증착 공정에 대한 공정 시간 지연을 방지할 수 있는 기판 처리 장치를 제공하기 위한 것이다.The present invention provides a substrate processing apparatus capable of preventing the exhaust efficiency from being lowered due to the generation of particles due to the discharge of the source gas and the reactive gas in a mixed state and preventing the process time delay for the thin film deposition process .

상술한 바와 같은 과제를 해결하기 위해, 본 발명에 따른 기판 처리 장치는 소스 가스와 반응 가스가 분사되는 기판 처리 장치에 있어서, 상기 반응 가스에 비해 상기 소스 가스가 더 많이 포함된 제 1 배기가스를 배기하는 제 1 배기라인; 상기 소스 가스에 비해 상기 반응 가스가 더 많이 포함된 제 2 배기가스를 배기하는 제 2 배기라인; 상기 제 1 배기라인에 설치된 포획장치; 및 상기 포획장치를 통과한 제 1 배기가스와 상기 제 2 배기라인을 통과한 제 2 배기가스를 배기하도록 배기펌프에 연결되는 제 3 배기라인을 포함하고, 상기 포획장치는 상기 제 1 배기라인에 유입된 소스 가스를 포획하는 것을 특징으로 한다.In order to solve the above problems, a substrate processing apparatus according to the present invention is a substrate processing apparatus in which a source gas and a reactive gas are injected, wherein a first exhaust gas containing a larger amount of the source gas than the reactive gas A first exhaust line for exhausting the exhaust gas; A second exhaust line for exhausting a second exhaust gas containing the reactive gas more than the source gas; A trapping device installed in the first exhaust line; And a third exhaust line connected to an exhaust pump for exhausting a first exhaust gas that has passed through the trapping device and a second exhaust gas that has passed through the second exhaust line, and the trapping device is connected to the first exhaust line And capturing the introduced source gas.

본 발명에 따른 기판 처리 장치에 있어서, 상기 포획장치는 파티클 생성을 방지하기 위한 플라즈마 트랩을 포함할 수 있다.In the substrate processing apparatus according to the present invention, the trapping device may include a plasma trap for preventing particle generation.

본 발명에 따른 기판 처리 장치에 있어서, 상기 반응 가스는 수소(H2), 질소(N2), 산소(O2), 이산화질소(NO2), 암모니아(NH3), 물(H2O), 오존(O3) 중 적어도 하나일 수 있다.In the apparatus according to the present invention, the reaction gas is hydrogen (H 2), nitrogen (N 2), oxygen (O 2), nitrogen dioxide (NO 2), ammonia (NH 3), water (H 2 O) , And ozone (O 3 ).

본 발명에 따른 기판 처리 장치에 있어서, 공간적으로 분리된 소스 가스 분사 영역 및 반응 가스 분사 영역 각각에 상기 소스 가스 및 상기 반응 가스를 분사하여 기판에 박막을 증착시키는 박막 증착 공정을 수행하는 기판 처리부를 포함할 수 있다.In the substrate processing apparatus according to the present invention, a substrate processing unit for performing a thin film deposition process for depositing a thin film on a substrate by spraying the source gas and the reactive gas onto the spatially separated source gas injection region and the reactive gas injection region, respectively .

본 발명에 따른 기판 처리 장치에 있어서, 상기 기판 처리부는 공정 공간을 마련하는 공정 챔버, 상기 공정 챔버 내부에 설치되어 적어도 하나의 기판을 지지하는 기판 지지부, 및 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역이 공간적으로 분리되도록 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역의 사이에 퍼지 가스를 분사하는 퍼지 가스 분사부를 포함하고, 상기 퍼지 가스 분사부는 상기 공정 챔버의 내주면 및 상기 기판 지지부의 외주면 사이의 가스 배출 영역에 퍼지 가스를 추가로 분사하여 상기 가스 배출 영역을 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리하며, 상기 제 1 배기라인은 상기 제 1 가스 배출 영역에 연결되게 상기 공정 챔버에 결합되고, 상기 제 2 배기라인은 상기 제 2 가스 배출 영역에 연결되게 상기 공정 챔버에 결합될 수 있다.In the substrate processing apparatus according to the present invention, the substrate processing section may include a process chamber for providing a process space, a substrate supporting section provided in the process chamber for supporting at least one substrate, And a purge gas injection unit for injecting a purge gas between the source gas injection region and the reactive gas injection region so that the region is spatially separated from the reaction gas injection region, wherein the purge gas injection unit is disposed between the inner peripheral surface of the process chamber and the outer peripheral surface of the substrate support Further comprising the step of spatially separating said gas discharge region into a first gas discharge region and a second gas discharge region by further injecting a purge gas into said gas discharge region, said first discharge line being connected to said first gas discharge region And the second exhaust line is connected to the second gas discharge area It may be coupled to the process chamber.

본 발명에 따른 기판 처리 장치에 있어서, 상기 공정 챔버는 상기 제 1 가스 배출 영역에 위치하도록 형성되는 제 1 배기구, 및 상기 제 2 가스 배출 영역에 위치하도록 형성되는 제 2 배기구를 포함하고, 상기 제 1 배기라인은 상기 제 1 배기구를 통해 상기 제 1 가스배출영역에 연결되고, 상기 제 2 배기라인은 상기 제 2 배기구를 통해 상기 제 2 가스 배출 영역에 연결될 수 있다.In the substrate processing apparatus according to the present invention, the process chamber may include a first exhaust port formed to be positioned in the first gas exhaust region, and a second exhaust port configured to be positioned in the second gas exhaust region, 1 exhaust line may be connected to the first gas exhausting region through the first exhaust port and the second exhaust line may be connected to the second gas exhausting region through the second exhaust port.

본 발명에 따른 기판 처리 장치에 있어서, 상기 기판 처리부는 상기 가스 배출 영역에 위치하도록 상기 공정 챔버의 내주면으로부터 상기 기판 지지부의 외주면 쪽으로 돌출되어 형성된 구획부재를 포함하고, 상기 퍼지 가스 분사부는 상기 기판 지지부의 외주면 및 상기 구획부재 사이에 퍼지 가스를 분사하여 상기 제 1 가스 배출 영역 및 상기 제 2 가스 배출 영역을 공간적으로 분리하는 것을 특징으로 한다.In the substrate processing apparatus according to the present invention, the substrate processing section may include a partition member protruding from the inner circumferential surface of the process chamber toward the outer circumferential surface of the substrate support so as to be positioned in the gas discharge region, And separating the first gas discharge region and the second gas discharge region spatially by spraying a purge gas between the outer circumferential surface of the partition wall and the partition member.

본 발명에 따른 기판 처리 장치에 있어서, 상기 퍼지 가스 분사부는 상기 소스 가스와 상기 반응 가스의 분사 압력에 비해 더 높은 분사 압력으로 퍼지 가스를 분사하는 것이 바람직하다.In the substrate processing apparatus according to the present invention, it is preferable that the purge gas injection unit injects the purge gas at a higher injection pressure than the injection pressure of the source gas and the reactive gas.

본 발명에 따른 기판 처리 장치에 있어서, 상기 기판 처리부는 공정 공간을 마련하는 공정 챔버, 상기 공정 챔버 내부에 설치되어 적어도 하나의 기판을 지지하는 기판 지지부, 및 상기 공정 챔버의 내주면 및 상기 기판 지지부의 외주면 사이의 가스배출영역에 위치하는 구획부재를 포함하고, 상기 구획부재는 상기 가스 배출 영역이 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리되도록 상기 공정 챔버의 내주면으로부터 상기 기판 지지부의 외주면 쪽으로 돌출되어 형성될 수 있다.In the substrate processing apparatus according to the present invention, the substrate processing section may include a process chamber for providing a process space, a substrate supporting section provided inside the process chamber for supporting at least one of the substrates, and an inner circumferential surface of the process chamber, And a partition member located in a gas discharge area between the outer circumferential surface of the substrate support part and the gas discharge area, wherein the partition member separates the gas discharge area from the inner circumferential surface of the process chamber so as to spatially separate the gas discharge area into the first gas discharge area and the second gas discharge area And may protrude toward the outer circumferential surface.

본 발명에 따른 기판 처리 장치에 있어서, 상기 공정 챔버는 상기 제 1 가스 배출 영역에 위치하도록 형성되는 제 1 배기구, 및 상기 제 2 가스 배출 영역에 위치하도록 형성되는 제 2 배기구를 포함하고, 상기 제 1 배기라인은 상기 제 1 배기구를 통해 상기 제 1 가스 배출 영역으로부터 소스 가스를 배출시키고, 상기 제 2 배기라인은 상기 제 2 배기구를 통해 상기 제 2 가스 배출 영역으로부터 반응 가스를 배출시키는 것을 특징으로 한다.In the substrate processing apparatus according to the present invention, the process chamber may include a first exhaust port formed to be positioned in the first gas exhaust region, and a second exhaust port configured to be positioned in the second gas exhaust region, The first exhaust line discharges the source gas from the first gas discharge region through the first discharge port and the second discharge line discharges the reaction gas from the second gas discharge region through the second discharge port do.

본 발명에 따른 기판 처리 장치에 있어서, 상기 기판 처리부는, 공정 공간을 마련하는 공정 챔버; 상기 공정 챔버의 상부를 덮는 챔버 리드; 상기 공정 챔버 내부에 설치되어 적어도 하나의 기판을 지지하는 기판 지지부; 상기 챔버 리드에 마련되고, 상기 소스 가스 분사 영역에 소스 가스를 분사하는 소스 가스 분사부; 상기 챔버 리드에 마련되고, 상기 반응 가스 분사 영역에 반응 가스를 분사하는 반응 가스 분사부; 및 상기 챔버 리드에 마련되고, 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역 사이에 위치한 퍼지 가스 분사 영역에 퍼지 가스를 분사하여 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역을 공간적으로 분리하는 퍼지 가스 분사부를 포함할 수 있다.In the substrate processing apparatus according to the present invention, the substrate processing section may include: a process chamber for providing a process space; A chamber lid covering an upper portion of the process chamber; A substrate support disposed within the process chamber to support at least one substrate; A source gas injecting portion provided in the chamber lid and injecting a source gas into the source gas injecting region; A reactive gas spraying unit provided in the chamber lid and spraying a reactive gas to the reactive gas spraying area; And a purge gas supply unit that is provided in the chamber lid and injects a purge gas into a purge gas injection region located between the source gas injection region and the reactive gas injection region to spatially separate the source gas injection region and the reactive gas injection region, Jetting portion.

본 발명에 따르면, 다음과 같은 효과를 도모할 수 있다.According to the present invention, the following effects can be achieved.

본 발명은 소스 가스와 반응 가스가 분사되는 도중에 서로 혼합되는 정도를 감소시키도록 구현됨으로써, 박막의 막질 특성에 대한 균일성을 향상시킬 수 있을 뿐만 아니라, 박막의 막질 제어에 대한 용이성을 향상시킬 수 있다.The present invention is implemented to reduce the degree of mixing of the source gas and the reactive gas while they are being injected, thereby improving not only the uniformity of the film quality of the thin film but also the ease of controlling the film quality of the thin film have.

본 발명은 소스 가스와 반응 가스가 배출되는 도중에 서로 혼합되는 정도를 감소시키도록 구현됨으로써, 소스 가스로부터 파티클이 발생하는 것을 방지하여 배기효율을 향상시킬 수 있고, 나아가 배기에 걸리는 시간을 줄여서 박막 증착 공정에 대한 공정 시간을 줄이는데 기여할 수 있다.The present invention is embodied to reduce the degree of mixing of the source gas and the reactive gas while they are being discharged, thereby preventing the generation of particles from the source gas, thereby improving the exhaust efficiency and further reducing the time required for exhausting, Which can contribute to reducing the process time for the process.

도 1은 종래 기술에 따른 기판 처리 장치에 대한 개략적인 측단면도
도 2는 본 발명에 따른 기판 처리 장치를 개략적으로 나타낸 블록도
도 3은 본 발명에 따른 기판 처리 장치에 대한 개략적인 사시도
도 4는 본 발명에 따른 기판 처리 장치에 대한 개략적인 평면도
도 5는 본 발명에 따른 기판 처리 장치에 대한 개략적인 분해 사시도
도 6은 본 발명에 따른 기판 처리 장치에 있어서 퍼지 가스를 이용하여 소스 가스와 반응 가스를 독립적으로 배출시키는 실시예를 설명하기 위한 개략적인 평면도
도 7은 본 발명의 변형된 실시예에 따른 기판 처리 장치에 있어서 구획부재를 이용하여 소스 가스와 반응 가스를 독립적으로 배출시키는 실시예를 설명하기 위한 개략적인 평면도
도 8은 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치에 대한 개략적인 분해 사시도
도 9는 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치에 있어서 퍼지 가스 및 구획부재를 이용하여 소스 가스와 반응 가스를 독립적으로 배출시키는 실시예를 설명하기 위한 개략적인 평면도
1 is a schematic side cross-sectional view of a conventional substrate processing apparatus;
2 is a block diagram schematically showing a substrate processing apparatus according to the present invention.
3 is a schematic perspective view of a substrate processing apparatus according to the present invention.
4 is a schematic plan view of a substrate processing apparatus according to the present invention.
5 is a schematic exploded perspective view of a substrate processing apparatus according to the present invention.
6 is a schematic plan view for explaining an embodiment in which a source gas and a reactive gas are independently discharged using a purge gas in the substrate processing apparatus according to the present invention
7 is a schematic plan view for explaining an embodiment in which a source gas and a reactive gas are independently discharged using a partition member in a substrate processing apparatus according to a modified embodiment of the present invention
8 is a schematic exploded perspective view of a substrate processing apparatus according to another modified embodiment of the present invention
9 is a schematic plan view for explaining an embodiment in which a source gas and a reactive gas are independently discharged using a purge gas and a partition member in a substrate processing apparatus according to another modified embodiment of the present invention

이하에서는 본 발명에 따른 기판 처리 장치의 실시예를 첨부된 도면을 참조하여 상세히 설명한다.Hereinafter, embodiments of a substrate processing apparatus according to the present invention will be described in detail with reference to the accompanying drawings.

도 2 내지 도 4를 참조하면, 본 발명에 따른 기판 처리 장치는 기판 처리부(100)에서 발생하는 배기가스를 처리하기 위한 가스 처리부(200)를 포함할 수 있다. 상기 가스 처리부(200)를 설명하기에 앞서, 상기 기판 처리부(100)를 첨부된 도면을 참조하여 구체적으로 설명하면 다음과 같다.2 to 4, the substrate processing apparatus according to the present invention may include a gas processing unit 200 for processing the exhaust gas generated in the substrate processing unit 100. Before describing the gas processing unit 200, the substrate processing unit 100 will be described in detail with reference to the accompanying drawings.

상기 기판 처리부(100)는 기판(W)에 박막을 증착하기 위한 박막 증착 공정을 수행하는 것이다. 예컨대, 본 발명에 따른 기판 처리장치는 플라즈마를 이용하여 박막을 형성하는 PECVD(Plasma Enhanced Chemical Vapor Deposition) 장치에 적용될 수 있다.The substrate processing unit 100 performs a thin film deposition process for depositing a thin film on the substrate W. For example, the substrate processing apparatus according to the present invention can be applied to a PECVD (Plasma Enhanced Chemical Vapor Deposition) apparatus for forming a thin film using plasma.

상기 기판 처리부(100)는 플라즈마를 이용하여 소스 가스(Source Gas) 및 반응 가스(Reactant Gas)를 활성화시켜 기판(W)을 향해 분사함으로써, 상기 기판(W)에 대한 박막 증착 공정을 수행한다. 상기 기판 처리부(100)는 공간적으로 분리된 소스 가스 분사 영역(120a) 및 반응 가스 분사 영역(120b) 각각에 소스 가스 및 반응 가스를 분사하여 상기 기판(W)에 대한 박막 증착 공정을 수행한다. 이에 따라, 본 발명에 따른 기판 처리 장치는 소스 가스와 반응 가스가 분사되는 도중에 서로 혼합되는 것을 방지함으로써, 박막의 막질 특성에 대한 균일성을 향상시킬 수 있고, 박막의 박질 제어에 대한 용이성을 향상시킬 수 있다. 상기 기판 처리부(100)는 상기 소스 가스 분사 영역(120a)에 소스 가스를 분사하고, 상기 반응 가스 분사 영역(120b)에 반응 가스를 분사한다.The substrate processing unit 100 performs a thin film deposition process on the substrate W by activating a source gas and a reactant gas using plasma and spraying the substrate W toward the substrate W. [ The substrate processing unit 100 performs a thin film deposition process on the substrate W by spraying a source gas and a reactive gas onto the spatially separated source gas injection region 120a and the reaction gas injection region 120b, respectively. Accordingly, the substrate processing apparatus according to the present invention can prevent the source gas and the reactive gas from being mixed with each other while being sprayed, thereby improving the uniformity of the film quality of the thin film and improving the easiness in controlling the thin film . The substrate processing unit 100 injects the source gas into the source gas injection region 120a and injects the reaction gas into the reaction gas injection region 120b.

상기 기판 처리부(100)는 공정 챔버(110), 기판 지지부(120), 챔버 리드(Chamber Lid; 130), 소스 가스 분사부(140), 반응 가스 분사부(150), 및 퍼지 가스 분사부(160)를 포함할 수 있다.The substrate processing unit 100 includes a process chamber 110, a substrate supporting unit 120, a chamber lid 130, a source gas injecting unit 140, a reaction gas injecting unit 150, and a purge gas injecting unit 160 < / RTI >

상기 공정 챔버(110)는 기판 처리 공정(예를 들어, 박막 증착 공정)을 위한 공정 공간을 제공한다. 이를 위해, 상기 공정 챔버(110)는 바닥면과 바닥면으로부터 수직하게 형성되어 공정 공간을 정의하는 챔버 측벽을 포함하여 이루어진다.The process chamber 110 provides a process space for a substrate processing process (e.g., a thin film deposition process). To this end, the process chamber 110 comprises a chamber side wall formed vertically from a bottom surface and a bottom surface to define a process space.

상기 공정 챔버(110)의 바닥면에는 바닥 프레임(112)이 설치될 수 있다. 상기 바닥 프레임(112)은 기판 지지부(120)의 회전을 가이드하는 가이드 레일(미도시), 및 공정 공간에 있는 배기가스를 외부로 펌핑하기 위한 제 1 배기구(114), 제 2 배기구(114') 등을 포함하여 이루어진다.A bottom frame 112 may be installed on the bottom surface of the process chamber 110. The bottom frame 112 includes a guide rail (not shown) for guiding the rotation of the substrate support 120 and a first exhaust port 114 for pumping the exhaust gas in the process space to the outside, a second exhaust port 114 ' ) And the like.

상기 제 1 배기구(114) 및 상기 제 2 배기구(114')는 챔버 측벽에 인접하도록 바닥 프레임(112)의 내부에 원형 띠 형태로 배치된 펌핑관(미도시)에 일정한 간격으로 설치되어 공정 공간에 연통될 수 있다.The first exhaust port 114 and the second exhaust port 114 'are arranged at regular intervals in a pumping pipe (not shown) arranged in the form of a ring-shaped band inside the bottom frame 112 so as to be adjacent to the chamber side wall, As shown in FIG.

상기 공정 챔버(110)의 적어도 일측 챔버 측벽에는 기판(W)이 반입되거나 반출되는 기판 출입구(미도시)가 설치되어 있다. 상기 기판 출입구(미도시)는 상기 공정 공간의 내부를 밀폐시키는 챔버 밀폐 수단(미도시)을 포함하여 이루어진다.At least one side wall of the chamber of the process chamber 110 is provided with a substrate entrance (not shown) through which the substrate W is carried in or out. The substrate inlet (not shown) includes chamber sealing means (not shown) for sealing the inside of the process space.

상기 기판 지지부(120)는 상기 공정 챔버(110)의 내부 바닥면, 즉 상기 바닥 프레임(112)에 설치되어 외부의 기판 로딩 장치(미도시)로부터 기판 출입구를 통해 공정 공간으로 반입되는 적어도 하나의 기판(W)을 지지한다. 이때, 기판 지지부(120)는 원판(Disk) 형태로 형성되어 전기적으로 접지 또는 플로팅(Floating) 상태로 유지된다.The substrate support 120 may include at least one substrate support member 120 mounted on the inner bottom surface of the process chamber 110, that is, the bottom frame 112, to be introduced into the process space through an external substrate loading device (not shown) Thereby supporting the substrate W. At this time, the substrate supporting part 120 is formed in the form of a disk, and is held in a grounded or floating state electrically.

상기 기판(W)은 반도체 기판 또는 웨이퍼가 될 수 있다. 이 경우, 기판 처리 공정의 생산성 향상을 위해, 상기 기판 지지부(120)에는 복수의 기판(W)이 원 형태를 가지도록 일정한 간격으로 배치되는 것이 바람직하다.The substrate W may be a semiconductor substrate or a wafer. In this case, in order to improve the productivity of the substrate processing process, it is preferable that a plurality of the substrates W are arranged at regular intervals on the substrate support 120 so as to have a circular shape.

상기 기판 지지부(120)의 상면에는 기판(W)이 안착되는 복수의 기판 안착 영역(미도시)이 마련될 수 있다. 상기 복수의 기판 안착 영역(미도시) 각각은 상기 기판 지지부(120)의 상면에 표시된 복수의 얼라인 마크(미도시)로 이루어지거나, 상기 기판 지지부(120)의 상면으로부터 소정 깊이를 가지도록 오목하게 형성된 포켓 형태로 이루어질 수 있다. 이러한 상기 기판 안착 영역(미도시)에는 기판 로딩 장치에 의해 기판(W)이 로딩되어 안착되는데, 기판(W)의 일측에는 기판(W)의 하부를 가리키는 식별 부재(미도시)가 형성되어 있다.A plurality of substrate seating areas (not shown) on which the substrate W is placed may be provided on the upper surface of the substrate supporting part 120. Each of the plurality of substrate seating areas (not shown) may be formed of a plurality of alignment marks (not shown) displayed on the upper surface of the substrate supporting part 120, As shown in FIG. The substrate W is loaded onto the substrate mounting area (not shown) by a substrate loading device, and an identification member (not shown) is formed on one side of the substrate W to indicate a lower portion of the substrate W .

이에 따라, 기판 로딩 장치는 기판(W)의 일측에 마련된 식별 부재를 검출하여 로딩 위치를 정렬하고, 정렬된 기판을 기판 안착 영역(미도시)에 로딩시킨다.Accordingly, the substrate loading apparatus detects the identification member provided on one side of the substrate W, aligns the loading position, and loads the aligned substrate into the substrate seating area (not shown).

따라서, 상기 기판 지지부(120) 상에 안착된 각 기판(W)의 하부는 상기 기판 지지부(120)의 가장자리 부분에 위치하게 되고, 각 기판(W)의 상부는 상기 기판 지지부(120)의 중심 부분에 위치하게 된다. 상기 식별 부재는 기판 처리 공정이 완료된 기판에 대한 각종 검사 공정에서 검사 기준 위치로 활용되기도 한다.The lower part of each substrate W placed on the substrate supporting part 120 is located at an edge part of the substrate supporting part 120 and the upper part of each substrate W is supported by the center of the substrate supporting part 120 . The identification member may be used as an inspection reference position in various inspection processes for the substrate on which the substrate processing process is completed.

상기 기판 지지부(120)는 상기 바닥 프레임(112)에 고정되거나 이동 가능하게 설치될 수 있다. 이때, 상기 기판 지지부(120)가 상기 바닥 프레임(112)에 이동 가능하게 설치될 경우, 상기 기판 지지부(120)은 상기 바닥 프레임(112)의 중심부를 기준으로 소정 방향(예를 들어, 반시계 방향)으로 이동, 즉 회전(Rotation)할 수 있다. 이 경우, 상기 기판 지지부(120)의 가장자리 영역은 상기 바닥 프레임(112)에 형성된 상기 가이드 레일에 의해 가이드 된다. 이를 위해, 상기 기판 지지부(120)의 하면 가장자리 영역에는 상기 가이드 레일이 삽입되는 가이드 홈이 형성되어 있다.The substrate support 120 may be fixed to the bottom frame 112 or be movable. When the substrate supporting part 120 is movably installed in the bottom frame 112, the substrate supporting part 120 is moved in a predetermined direction (for example, counterclockwise) with respect to the center of the bottom frame 112 Direction), that is, to rotate. In this case, the edge region of the substrate support 120 is guided by the guide rails formed on the bottom frame 112. To this end, a guide groove into which the guide rail is inserted is formed in a bottom edge region of the substrate support 120.

상기 챔버 리드(130)는 상기 공정 챔버(110)의 상부에 설치되어 공정 공간을 밀폐시킨다. 그리고, 상기 챔버 리드(130)는 상기 소스 가스 분사부(140)와 상기 반응 가스 분사부(150) 및 상기 퍼지 가스 분사부(160) 각각을 분리 가능하게 지지한다. 이를 위해, 상기 챔버 리드(130)는 리드 프레임(Lid Frame; 131), 제 1 내지 제 3 모듈 장착부(133, 135, 137)를 포함하여 구성된다.The chamber lid 130 is installed at an upper portion of the process chamber 110 to seal the process space. The chamber lid 130 detachably supports the source gas injecting unit 140, the reaction gas injecting unit 150, and the purge gas injecting unit 160, respectively. The chamber lid 130 includes a lid frame 131 and first, second, and third module mounting portions 133, 135, and 137.

상기 리드 프레임(131)은 원판 형태로 형성되어 상기 공정 챔버(110)의 상부를 덮음으로써 상기 공정 챔버(110)에 의해 마련되는 공정 공간을 밀폐시킨다.The lead frame 131 is formed in a disc shape to cover the upper portion of the process chamber 110 to seal the process space provided by the process chamber 110.

상기 제 1 모듈 장착부(133)는 상기 리드 프레임(131)의 일측부에 형성되어 상기 소스 가스 분사부(140)를 분리 가능하게 지지한다. 이를 위해, 상기 제 1 모듈 장착부(133)는 상기 리드 프레임(131)의 중심점을 기준으로 상기 리드 프레임(131)의 일측부에 일정한 간격을 가지도록 방사 형태로 배치된 복수의 제 1 모듈 장착 홀(133a)을 포함하여 이루어진다. 상기 복수의 제 1 모듈 장착 홀(133a) 각각은 평면적으로 직사각 형태를 가지도록 상기 리드 프레임(131)을 관통하여 형성된다.The first module mounting part 133 is formed on one side of the lead frame 131 to detachably support the source gas injecting part 140. The first module mounting portion 133 includes a plurality of first module mounting holes 133 disposed radially at one side of the lead frame 131 with a predetermined distance from the center of the lead frame 131, (133a). Each of the plurality of first module mounting holes 133a is formed through the lead frame 131 so as to have a rectangular shape in a plan view.

상기 제 2 모듈 장착부(135)는 상기 리드 프레임(131)의 타측부에 형성되어 상기 반응 가스 분사부(150)를 분리 가능하게 지지한다. 이를 위해, 상기 제 2 모듈 장착부(135)는 상기 리드 프레임(131)의 중심점을 기준으로 상기 리드 프레임(131)의 타측부에 일정한 간격을 가지도록 방사 형태로 배치된 복수의 제 2 모듈 장착 홀(135a)을 포함하여 이루어진다. 상기 복수의 제 2 모듈 장착 홀(135a) 각각은 평면적으로 직사각 형태를 가지도록 상기 리드 프레임(131)을 관통하여 형성된다.The second module mounting part 135 is formed on the other side of the lead frame 131 to detachably support the reactive gas injecting part 150. The second module mounting portion 135 includes a plurality of second module mounting holes 135 formed at a predetermined interval on the other side of the lead frame 131 with respect to a center point of the lead frame 131, (135a). Each of the plurality of second module mounting holes 135a is formed through the lead frame 131 so as to have a rectangular shape in a plan view.

전술한 상기 복수의 제 1 모듈 장착 홀(133a)과 상기 복수의 제 2 모듈 장착 홀(135a)은 상기 제 3 모듈 장착부(137)를 사이에 두고 서로 대칭되도록 상기 리드 프레임(131)에 형성될 수 있다.The plurality of first module mounting holes 133a and the plurality of second module mounting holes 135a may be formed in the lead frame 131 so as to be symmetrical with each other with the third module mounting portion 137 interposed therebetween .

상기 제 3 모듈 장착부(137)는 상기 제 1 및 제 2 모듈 장착부(133, 135) 사이에 배치되도록 상기 리드 프레임(131)의 중앙부에 형성되어 상기 퍼지 가스 분사부(160)를 분리 가능하게 지지한다. 이를 위해, 상기 제 3 모듈 장착부(137)는 상기 리드 프레임(131)의 중앙부에 직사각 형태로 형성된 제 3 모듈 장착 홀(137a)을 포함하여 구성된다.The third module mounting portion 137 is formed at a central portion of the lead frame 131 so as to be disposed between the first and second module mounting portions 133 and 135 to detachably support the purge gas injecting portion 160 do. To this end, the third module mounting portion 137 includes a third module mounting hole 137a formed at a central portion of the lead frame 131 in a rectangular shape.

상기 제 3 모듈 장착 홀(137a)은 상기 제 1 및 제 2 모듈 장착부(133, 135) 사이를 가로지르도록 상기 리드 프레임(131)의 중앙부를 관통하여 평면적으로 직사각 형태로 형성된다.The third module mounting hole 137a extends through the center of the lead frame 131 so as to cross the first and second module mounting portions 133 and 135 and is formed into a rectangular shape in a plan view.

도 3에서, 상기 챔버 리드(130)는 3개의 제 1 모듈 장착 홀(133a)과 3개의 제 2 모듈 장착 홀(135a)을 구비하는 것으로 도시되었지만, 이에 한정되지 않고, 상기 챔버 리드(130)는 2개 이상의 제 1 모듈 장착 홀(133a)과 2개 이상의 제 2 모듈 장착 홀(135a)을 구비할 수 있다.3, the chamber lid 130 is shown to include three first module mounting holes 133a and three second module mounting holes 135a. However, the chamber lid 130 is not limited thereto, May have at least two first module mounting holes 133a and at least two second module mounting holes 135a.

이하의 본 발명에 따른 기판 처리 장치에 대한 설명에서는, 상기 챔버 리드(130)가 3개의 제 1 모듈 장착 홀(133a)과 3개의 제 2 모듈 장착 홀(135a)을 구비하는 것으로 가정하여 설명하기로 한다.In the description of the substrate processing apparatus according to the present invention, it is assumed that the chamber lid 130 includes three first module mounting holes 133a and three second module mounting holes 135a .

상기 공정 챔버(110) 및 상기 챔버 리드(130)는 도 3에 도시된 바와 같이, 원형 구조로 형성될 수도 있지만, 6각형과 같은 다각형 구조 또는 타원형 구조로 형성될 수도 있다. 이때, 6각형과 같은 다각형 구조일 경우 상기 공정 챔버(110)는 복수로 분할 결합되는 구조를 가질 수 있다.The process chamber 110 and the chamber lid 130 may have a circular structure as shown in FIG. 3, but may have a polygonal structure such as a hexagonal structure or an elliptical structure. In this case, in the case of a polygonal structure such as a hexagonal shape, the process chamber 110 may be divided into a plurality of structures.

상기 소스 가스 분사부(140)는 상기 챔버 리드(130)의 제 1 모듈 장착부(133)에 분리 가능하게 설치되어 상기 기판 지지부(120)에 의해 순차적으로 이동되는 기판(W)에 소스 가스를 분사한다. 즉, 상기 소스 가스 분사부(140)는 상기 챔버 리드(130)와 상기 기판 지지부(120) 사이의 공간에 정의된 복수의 소스 가스 분사 영역(120a) 각각에 소스 가스를 국부적으로 하향 분사함으로써 상기 기판 지지부(120)의 구동에 따라 복수의 소스 가스 분사 영역(120a) 각각의 하부를 통과하는 기판(W)에 소스 가스를 분사한다. 이를 위해, 상기 소스 가스 분사부(140)는 전술한 복수의 제 1 모듈 장착 홀(133a) 각각에 분리 가능하게 장착되어 소스 가스를 하향 분사하는 제 1 내지 제 3 소스 가스 분사 모듈(140a, 140b, 140c)을 포함할 수 있다.The source gas injecting unit 140 is provided to be removably installed in the first module mounting part 133 of the chamber lid 130 and injects a source gas to the substrate W sequentially moved by the substrate supporting part 120 do. That is, the source gas injecting unit 140 locally injects the source gas downward into each of the plurality of source gas injection regions 120a defined in the space between the chamber lid 130 and the substrate supporting unit 120, The source gas is sprayed onto the substrate W passing through the lower portion of each of the plurality of source gas injection regions 120a in accordance with the driving of the substrate supporting unit 120. [ The source gas injecting unit 140 includes first to third source gas injection modules 140a and 140b which are detachably mounted to the plurality of first module mounting holes 133a to downward inject the source gas, , 140c.

상기 제 1 내지 제 3 소스 가스 분사 모듈(140a, 140b, 140c) 각각은 가스 분사 프레임, 복수의 가스 공급 홀, 및 밀봉 부재를 포함할 수 있다.Each of the first to third source gas injection modules 140a, 140b, and 140c may include a gas injection frame, a plurality of gas supply holes, and a sealing member.

상기 가스 분사 프레임은 하면 개구부를 가지도록 상자 형태로 형성되어 상기 제 1 모듈 장착 홀(133a)에 분리 가능하게 삽입된다. 상기 가스 분사 프레임은 볼트에 의해 상기 제 1 모듈 장착 홀(133a) 주변의 리드 프레임(131)에 분리 가능하게 장착되는 접지 플레이트, 및 가스 분사 공간을 마련하도록 상기 접지 플레이트의 하면 가장자리 부분으로부터 수직하게 돌출되어 상기 제 1 모듈 장착 홀(133a)에 삽입되는 접지 측벽을 포함한다. 상기 가스 분사 프레임은 상기 챔버 리드(130)의 리드 프레임(131)을 통해 전기적으로 접지된다.The gas injection frame is formed in a box shape having a bottom opening and is detachably inserted into the first module mounting hole 133a. The gas injection frame includes a ground plate detachably mounted to the lead frame 131 around the first module mounting hole 133a by bolts and a ground plate vertically extending from a bottom edge portion of the ground plate to provide a gas injection space And a ground side wall protruded to be inserted into the first module mounting hole 133a. The gas injection frame is electrically grounded through the lead frame 131 of the chamber lead 130.

상기 가스 분사 프레임의 하면, 즉 상기 접지 측벽의 하면은 챔버 리드(130)의 하면과 동일 선상에 위치하여 상기 기판 지지부(120)에 지지된 기판(W)의 상면으로부터 소정 거리만큼 이격된다. 한편, 상기 접지 측벽의 하면은 박막 증착 특성에 따라 챔버 리드(130)의 하면으로부터 소정 높이를 가지도록 기판 지지부(120) 쪽으로 돌출되어 상기 기판(W)의 상면으로부터 소정 거리만큼 이격될 수 있다.The lower surface of the gas injection frame, that is, the lower surface of the ground side wall, is located on the same line as the lower surface of the chamber lid 130 and is spaced from the upper surface of the substrate W supported by the substrate support 120 by a predetermined distance. The lower surface of the ground sidewall may protrude toward the substrate support 120 to have a predetermined height from the lower surface of the chamber lid 130 and may be spaced apart from the upper surface of the substrate W by a predetermined distance.

상기 복수의 가스 공급 홀은 상기 가스 분사 프레임의 상면, 즉 상기 접지 플레이트를 관통하도록 형성되어 상기 가스 분사 프레임의 내부에 마련되는 가스 분사 공간에 연통된다. 상기 복수의 가스 공급 홀은 외부의 가스 공급 장치(미도시)로부터 공급되는 소스 가스를 가스 분사 공간에 공급함으로써 소스 가스가 가스 분사 공간을 통해 상기 소스 가스 분사 영역(120a)에 하향 분사되도록 한다. 한편, 상기 소스 가스 분사부(140)로부터 상기 소스 가스 분사 영역(120a)에 하향 분사되는 소스 가스는 상기 기판 지지부(120)의 중심부로부터 상기 기판 지지부(120)의 측부에 마련된 상기 제 1 배기구(114) 쪽으로 흐르게 된다.The plurality of gas supply holes communicate with the upper surface of the gas injection frame, that is, the gas injection space provided inside the gas injection frame, so as to pass through the ground plate. The plurality of gas supply holes supply a source gas supplied from an external gas supply device (not shown) to the gas injection space so that the source gas is injected downward into the source gas injection region 120a through the gas injection space. The source gas injected from the source gas injecting section 140 to the source gas injecting region 120a is supplied to the first exhaust port 120a provided at the side of the substrate supporter 120 from the center of the substrate supporter 120 114).

이러한 소스 가스는 기판(W) 상에 증착될 박막의 주요 재질을 포함하여 이루어지는 것으로, 실리콘(Si), 티탄족 원소(Ti, Zr, Hf 등), 또는 알루미늄(Al) 등의 가스로 이루어질 수 있다. 예를 들어, 실리콘(Si) 물질을 포함하는 소스 가스는 실란(Silane; SiH4), 디실란(Disilane; Si2H6), 트리실란(Trisilane; Si3H8), TEOS(Tetraethylorthosilicate), DCS(Dichlorosilane), HCD(Hexachlorosilane), TriDMAS(Tri-dimethylaminosilane) 및 TSA(Trisilylamine) 등이 될 수 있다. 이러한 상기 소스 가스는 기판(W)에 증착될 박막의 증착 특성에 따라 질소(N2), 아르곤(Ar), 제논(Ze), 또는 헬륨(He) 등의 비반응성 가스를 더 포함하여 이루어질 수도 있다.The source gas includes a main material of a thin film to be deposited on the substrate W and may be formed of a gas such as silicon (Si), a titanium group element (Ti, Zr, Hf, etc.) have. For example, a source gas containing silicon (Si) material is a silane (Silane; SiH 4), disilane (Disilane; Si 2 H 6) , trisilane (Trisilane; Si 3 H 8) , TEOS (Tetraethylorthosilicate), DCS (Dichlorosilane), HCD (Hexachlorosilane), TriDMAS (Tri-dimethylaminosilane) and TSA (Trisilylamine). The source gas may further include a non-reactive gas such as nitrogen (N 2 ), argon (Ar), xenon (Ze), or helium (He) depending on the deposition characteristics of the thin film to be deposited on the substrate have.

상기 반응 가스 분사부(150)는 전술한 챔버 리드(130)의 제 2 모듈 장착부(135)에 분리 가능하게 설치되어 상기 기판 지지부(120)에 의해 순차적으로 이동되는 기판(W)에 반응 가스를 분사한다. 즉, 반응 가스 분사부(150)는 전술한 소스 가스 분사 영역(120a)과 공간적으로 분리되도록 상기 챔버 리드(130)와 상기 기판 지지부(120) 사이의 공간에 정의된 복수의 반응 가스 분사 영역(120b) 각각에 반응 가스를 국부적으로 하향 분사함으로써 상기 기판 지지부(120)의 구동에 따라 복수의 반응 가스 분사 영역(120b) 각각의 하부를 통과하는 기판(W)에 반응 가스를 분사한다. 이를 위해, 상기 반응 가스 분사부(150)는 전술한 복수의 제 2 모듈 장착 홀(135a) 각각에 분리 가능하게 장착되어 반응 가스를 하향 분사하는 제 1 내지 제 3 반응 가스 분사 모듈(150a, 150b, 150c)을 포함하여 구성된다.The reactive gas spraying unit 150 separably installed in the second module mounting part 135 of the chamber lid 130 and reacting with the substrate W sequentially moved by the substrate supporting part 120 Spray. That is, the reactive gas spraying unit 150 includes a plurality of reactive gas spraying areas (not shown) defined in the space between the chamber lid 130 and the substrate supporting part 120 so as to be spatially separated from the source gas spraying area 120a. The reaction gas is injected to the substrate W passing under each of the plurality of reaction gas injection regions 120b in accordance with the driving of the substrate support unit 120. [ The reaction gas spraying unit 150 includes first to third reaction gas injection modules 150a and 150b which are detachably mounted on the plurality of second module mounting holes 135a and spray the reaction gas downward, And 150c.

상기 제 1 내지 제 3 반응 가스 분사 모듈(150a, 150b, 150c) 각각은 상기 챔버 리드(130)의 제 2 모듈 장착 홀(135a)에 분리 가능하게 장착되어 외부의 가스 공급 장치(미도시)로부터 공급되는 반응 가스를 상기 반응 가스 분사 영역(120b)에 하향 분사하는 것을 제외하고는, 전술한 제 1 내지 제 3 소스 가스 분사 모듈(140a, 140b, 140c) 각각과 동일하게 구성된다. 이에 따라, 상기 제 1 내지 제 3 반응 가스 분사 모듈(150a, 150b, 150c) 각각의 구성 요소들에 대한 설명은 전술한 소스 가스 분사 모듈(140a, 140b, 140c)에 대한 설명으로 대신하기로 한다.Each of the first to third reaction gas injection modules 150a, 150b and 150c is detachably mounted on the second module mounting hole 135a of the chamber lead 130 and is connected to an external gas supply device (not shown) The first to third source gas injection modules 140a, 140b, and 140c are configured in the same manner as the first to third source gas injection modules 140a to 140c, except that the supplied reaction gas is injected downward into the reaction gas injection region 120b. Accordingly, the components of the first to third reaction gas injection modules 150a, 150b and 150c will be described instead of the source gas injection modules 140a, 140b and 140c described above .

한편, 상기 반응 가스 분사부(150)로부터 상기 반응 가스 분사 영역(120b)에 하향 분사되는 반응 가스는 기판 지지부(120)의 중심부로부터 기판 지지부(120)의 측부에 마련된 상기 제 2 배기구(114') 쪽으로 흐르게 된다.The reaction gas injected from the reaction gas injection unit 150 to the reaction gas injection region 120b is injected from the central portion of the substrate support unit 120 to the second exhaust port 114 ' ).

이러한 상기 반응 가스는 기판(W) 상에 증착될 박막의 일부 재질을 포함하도록 이루어져 최종적인 박막을 형성하는 가스로서, 수소(H2), 질소(N2), 산소(O2), 이산화질소(NO2), 암모니아(NH3), 물(H2O), 또는 오존(O3) 등으로 이루어질 수 있다. 이러한 반응 가스는 기판(W)에 증착될 박막의 증착 특성에 따라 질소(N2), 아르곤(Ar), 제논(Ze), 또는 헬륨(He) 등의 비반응성 가스를 더 포함하여 이루어질 수도 있다.The reaction gas includes a part of the thin film to be deposited on the substrate W and forms a final thin film. The reaction gas includes hydrogen (H 2 ), nitrogen (N 2 ), oxygen (O 2 ), nitrogen dioxide NO 2 ), ammonia (NH 3 ), water (H 2 O), or ozone (O 3 ). The reactive gas may further include a non-reactive gas such as nitrogen (N 2), argon (Ar), xenon (Ze), or helium (He) depending on the deposition characteristics of the thin film to be deposited on the substrate (W).

한편, 상기 제 1 배기구(114)로는 소스 가스, 또는 소스 가스와 반응 가스가 혼합된 제 1 배기가스가 배출될 수 있다. 이 경우, 상기 제1배기가스에서 소스 가스와 반응 가스의 혼합비는 소스 가스가 반응 가스에 비해 더 많은 양을 차지한 상태일 수 있다. 상기 제 2 배기구(114')로는 반응가스, 또는 반응 가스와 소스 가스가 혼합된 제 2 배기가스가 배출될 수 있다. 이 경우, 상기 제2배기가스에서 반응 가스와 소스 가스의 혼합비는 반응 가스가 소스 가스에 비해 더 많은 양을 차지한 상태일 수 있다.The first exhaust port 114 may discharge a source gas or a first exhaust gas containing a mixture of a source gas and a reactive gas. In this case, the mixture ratio of the source gas and the reactive gas in the first exhaust gas may be such that the source gas occupies a larger amount than the reactive gas. As the second exhaust port 114 ', a reactive gas or a second exhaust gas in which a reaction gas and a source gas are mixed may be discharged. In this case, the mixing ratio of the reaction gas and the source gas in the second exhaust gas may be such that the reactive gas occupies a larger amount than the source gas.

전술한 소스 가스 분사부(140)로부터 분사되는 소스 가스의 분사량과 상기 반응 가스 분사부(150)로부터 분사되는 반응 가스의 분사량은 상이하게 설정될 수 있으며, 이를 통해 기판(W)에서 이루어지는 소스 가스와 반응 가스의 반응 속도를 조절할 수 있다. 이 경우, 전술한 소스 가스 분사부(140)와 반응 가스 분사부(150)는 서로 다른 면적을 가지는 가스 분사 모듈로 이루어지거나, 서로 다른 개수의 가스 분사 모듈로 이루어질 수 있다.The injection amount of the source gas injected from the source gas injecting unit 140 and the injection amount of the reaction gas injected from the reaction gas injecting unit 150 may be set differently, And the reaction rate of the reaction gas can be controlled. In this case, the source gas injection unit 140 and the reaction gas injection unit 150 may be formed of gas injection modules having different areas, or may be formed of different numbers of gas injection modules.

상기 퍼지 가스 분사부(160)는 상기 챔버 리드(130)의 제 3 모듈 장착부(137)에 분리 가능하게 설치되어 상기 소스 가스 분사부(140)와 상기 반응 가스 분사부(150) 사이에 대응되는 공정 챔버(110)의 공정 공간에 퍼지 가스를 하향 분사함으로써 소스 가스와 반응 가스를 공간적으로 분리하기 위한 가스 장벽을 형성한다. 즉, 퍼지 가스 분사부(160)는 상기 소스 가스 분사 영역(120a)과 상기 반응 가스 분사 영역(120b) 사이에 대응되도록 챔버 리드(130)와 기판 지지부(120) 사이의 공간에 정의된 퍼지 가스 분사 영역(120c)에 퍼지 가스를 하향 분사하여 가스 장벽을 형성함으로써 상기 소스 가스와 반응 가스가 기판(W)으로 하향 분사되는 도중에 서로 혼합되는 정도를 감소시킬 수 있다. 이에 따라, 상기 기판 처리부(100)는 상기 소스 가스 분사 영역(120a) 및 상기 반응 가스 분사 영역(120b)을 공간적으로 분리할 수 있다. 상기 퍼지 가스는 질소(N2), 아르곤(Ar), 제논(Ze), 또는 헬륨(He) 등의 비반응성 가스로 이루어질 수 있다.The purge gas spraying unit 160 is detachably installed in the third module mounting part 137 of the chamber lid 130 and is disposed between the source gas spraying part 140 and the reactive gas spraying part 150 A purge gas is injected downward into the process space of the process chamber 110 to form a gas barrier for spatially separating the source gas and the reactive gas. That is, the purge gas injecting unit 160 injects the purge gas defined in the space between the chamber lid 130 and the substrate supporter 120 so as to correspond to the space between the source gas injection area 120a and the reactive gas injection area 120b The degree of mixing of the source gas and the reactive gas with each other during the downward injection into the substrate W can be reduced by forming the gas barrier by injecting the purge gas downward into the injection region 120c. Accordingly, the substrate processing unit 100 can spatially separate the source gas injection region 120a and the reaction gas injection region 120b. The purge gas may be a non-reactive gas such as nitrogen (N 2), argon (Ar), xenon (Ze), or helium (He).

상기 퍼지 가스 분사부(160)에는 퍼지 가스 공급장치(미도시)로부터 퍼지 가스가 공급되어 수용되는 퍼지 가스 분사 공간이 마련된다. 상기 퍼지 가스 분사부(160)는 외부의 퍼지 가스 공급 장치(미도시)로부터 공급되는 퍼지 가스를 퍼지 가스 분사 공간에 공급함으로써 퍼지 가스가 퍼지 가스 분사 공간을 통해 상기 퍼지 가스 분사 영역(120c)에 하향 분사되어 상기 소스 가스 분사 영역(120a)과 상기 반응 가스 분사 영역(120b) 사이에 가스 장벽을 형성함과 아울러 상기 소스 가스 분사 영역(120a)과 상기 반응 가스 분사 영역(120b) 각각에 분사되는 소스 가스와 반응 가스 각각이 기판 지지부(120)의 측부에 마련된 상기 제 1 배기구(114) 또는 제 2 배기구(114') 쪽으로 흐르도록 한다.The purge gas spraying unit 160 is provided with a purge gas spraying space in which a purge gas is supplied from a purge gas supply unit (not shown) The purge gas spraying unit 160 supplies a purge gas supplied from an external purge gas supply device (not shown) to the purge gas injection space, thereby purge gas is supplied to the purge gas injection area 120c through the purge gas injection space A gas barrier is formed between the source gas injection region 120a and the reaction gas injection region 120b and is injected into the source gas injection region 120a and the reaction gas injection region 120b, Each of the source gas and the reactive gas flows toward the first exhaust port 114 or the second exhaust port 114 'provided on the side of the substrate supporting portion 120.

상기 퍼지 가스 분사부(160)는 상기 소스 가스 분사부(140)와 상기 반응 가스 분사부(150) 각각보다 상대적으로 기판 지지부(120)에 가깝게 설치되어 기판(W)에 대한 소스 가스와 반응 가스 각각의 분사 거리보다 상대적으로 가까운 분사 거리(예를 들어, 소스 가스의 분사 거리의 절반 이하)에서 상기 퍼지 가스 분사 영역(120c)에 퍼지 가스를 분사함으로써 상기 소스 가스와 반응 가스가 기판(W)으로 분사되는 도중에 서로 혼합되는 정도를 감소시킬 수 있다.The purge gas spraying unit 160 is disposed closer to the substrate supporting unit 120 than the source gas spraying unit 140 and the reactive gas spraying unit 150, The source gas and the reactive gas are injected into the purge gas injection region 120c at a relatively short injection distance (for example, a half or less of the injection distance of the source gas) The degree of mixing with each other can be reduced.

상기 퍼지 가스 분사부(160)는 상기 소스 가스와 상기 반응 가스의 분사 압력에 비해 더 높은 분사 압력으로 퍼지 가스를 분사할 수 있다. 즉, 퍼지 가스의 분사 압력은 상기 소스 가스와 상기 반응 가스의 분사 압력보다 높을 수 있다. 이 경우, 퍼지 가스의 높은 분사 압력에 의해 상기 소스 가스와 반응 가스 사이의 공간 분할이 더욱 용이할 수 있다.The purge gas spraying unit 160 may spray the purge gas at a higher injection pressure than the injection pressure of the source gas and the reactive gas. That is, the injection pressure of the purge gas may be higher than the injection pressure of the source gas and the reactive gas. In this case, the space partitioning between the source gas and the reaction gas can be facilitated by the high injection pressure of the purge gas.

상기 퍼지 가스 분사부(160)로부터 분사되는 퍼지 가스는 상기 소스 가스와 상기 반응 가스 각각을 전술한 제 1 배기구, 제 2 배기구(114, 114'; 도 3 참조)로 흐르게 하여 상기 소스 가스와 상기 반응 가스가 기판(W)으로 분사되는 도중에 서로 혼합되는 정도를 감소시킨다. 따라서, 상기 기판 지지부(120)의 구동에 따라 이동되는 복수의 기판(W) 각각은 퍼지 가스에 의해 분리되는 상기 소스 가스와 상기 반응 가스 각각에 순차적으로 노출됨으로써 각 기판(W)에는 소스 가스와 반응 가스의 상호 반응에 따른 ALD(Atomic Layer Deposition) 증착 공정에 의해 단층 또는 복층의 박막이 증착된다. 여기서, 상기 박막은 고유전막, 절연막, 금속막 등이 될 수 있다.The purge gas injected from the purge gas injecting section 160 flows the source gas and the reactive gas into the first and second exhaust ports 114 and 114 '(see FIG. 3) Thereby reducing the degree to which the reactive gas is mixed with each other while being sprayed onto the substrate W. Therefore, each of the plurality of substrates W, which are moved in accordance with the driving of the substrate supporting unit 120, is sequentially exposed to the source gas and the reactive gas separated by the purge gas, A single layer or a multilayer thin film is deposited by an ALD (Atomic Layer Deposition) deposition process according to the mutual reaction of the reaction gases. Here, the thin film may be a high-k film, an insulating film, a metal film, or the like.

한편, 상기 소스 가스와 반응 가스가 상호 반응하는 경우 플라즈마를 이용하여 소스 가스와 반응 가스를 활성화시켜 분사시킬 수 있다.Meanwhile, when the source gas and the reactive gas interact with each other, the source gas and the reactive gas may be activated and injected using plasma.

이러한 플라즈마를 이용하는 방법은 가스를 활성화시켜 이들을 활성화된 상태로 만들어서 가스가 증대된 화학적 반응성을 갖도록 사용되는 일반적인 방법으로, 가스는 이온, 자유 래디컬, 원자 및 분자를 함유하는 해리 가스를 생성하도록 활성화된다. 해리 가스는 반도체 웨이퍼, 파우더와 같은 고형 물질 및 기타 가스를 처리하는 것을 포함하는 다양한 산업 및 과학 분야에서 사용되며, 활성 가스의 특성 및 물질이 가스에 노출되는 조건은 분야에 따라 폭넓게 변화하고 있다.The method of using such a plasma is activated to generate a dissociation gas containing ions, free radicals, atoms and molecules, with a general method in which the gas is activated and brought into an activated state so that the gas is used to have increased chemical reactivity . The dissociation gas is used in a variety of industrial and scientific fields, including treating solid materials such as semiconductor wafers, powders, and other gases, and the nature of the active gas and the conditions under which the material is exposed to the gas vary widely depending on the field.

플라즈마 소스는, 예컨대, 충분한 크기의 전위를 플라즈마 가스 (예를 들면, O2, N2, Ar, NF3, H2 and He), 또는 가스의 혼합물에 인가하여 가스의 적어도 일부를 이온화함으로써 플라즈마를 생성한다. 플라즈마는 DC 방전, 고주파(RF) 방전, 및 마이크로웨이브 방전을 포함하는 다양한 방식으로 생성될 수 있다. DC 방전 플라즈마는 플라즈마 가스 내의 두 개의 전극 사이에 전위를 인가함으로써 달성된다. RF 방전 플라즈마는 전원으로부터 플라즈마 내로 에너지를 용량 또는 유도 결합함으로써 달성된다. 마이크로웨이브 방전 플라즈마는 마이크로웨이브-통과 창을 통해 플라즈마 가스를 수용하는 방전 챔버 내에 마이크로웨이브 에너지를 직접 결합함으로써 달성된다.The plasma source generates a plasma by, for example, applying a sufficient magnitude of potential to a plasma gas (e.g., O2, N2, Ar, NF3, H2 and He) or a mixture of gases to ionize at least a portion of the gas. Plasma can be generated in a variety of ways including DC discharge, high frequency (RF) discharge, and microwave discharge. A DC discharge plasma is achieved by applying a potential between two electrodes in a plasma gas. RF discharge plasma is achieved by capacitively or inductively coupling energy into the plasma from a power source. Microwave discharge plasma is achieved by directly coupling microwave energy into a discharge chamber that receives a plasma gas through a microwave-through window.

본 발명에 따른 기판 처리 장치는 전술한 실시예의 소스 가스 분사 모듈에 플라즈마 전극(미도시)이 추가로 형성될 수 있다.The substrate processing apparatus according to the present invention may further include a plasma electrode (not shown) in the source gas injection module of the above-described embodiment.

먼저, 기판 상에 증착하고자 하는 박막의 재질에 따라 소스 가스를 활성화시켜 기판 상에 분사된다. 이에 따라, 본 발명에 따른 소스 가스 분사 모듈 각각은 플라즈마를 이용하여 소스 가스를 활성화시켜 기판 상에 분사한다.First, the source gas is activated according to the material of the thin film to be deposited on the substrate, and is sprayed onto the substrate. Accordingly, each of the source gas injection modules according to the present invention activates the source gas by using plasma and injects it onto the substrate.

구체적으로, 본 발명에 따른 소스 가스 분사 모듈 각각은 가스 분사 공간에 삽입 배치된 플라즈마 전극을 더 포함하여 구성될 수 있다.Specifically, each of the source gas injection modules according to the present invention may further include a plasma electrode inserted in the gas injection space.

상기 플라즈마 전극은 가스 분사 공간에 삽입되며, 상기 플라즈마 전극은 플라즈마 전원 공급부(미도시)로부터 공급되는 플라즈마 전원에 따라 가스 분사 공간에 공급되는 소스 가스로부터 플라즈마를 형성한다. 이때, 상기 플라즈마는 플라즈마 전원에 따라 플라즈마 전극과 접지 전극 간에 걸리는 전기장에 의해 플라즈마 전극과 접지 전극 사이에 형성된다. 이에 따라, 가스 분사 공간에 공급되는 소스 가스는 상기 플라즈마에 의해 활성화되어 기판(W) 상에 하향 분사된다. 이때, 기판(W) 및/또는 기판(W)에 증착되는 박막이 상기 플라즈마에 의해 손상되는 것을 방지하기 위해, 플라즈마 전극과 접지 전극 사이의 간격(또는 갭)은 플라즈마 전극과 기판(W) 사이의 간격보다 좁게 설정된다. 이에 따라, 본 발명은 기판(W)과 플라즈마 전극 사이에 상기 플라즈마를 형성시키지 않고, 기판(W)으로부터 이격되도록 나란하게 배치된 플라즈마 전극과 접지 전극 사이에 상기 플라즈마를 형성시킴으로써 상기 플라즈마에 의한 기판(W) 및/또는 박막이 손상되는 것을 방지할 수 있다.The plasma electrode is inserted into a gas injection space, and the plasma electrode forms a plasma from a source gas supplied to the gas injection space according to a plasma power source supplied from a plasma power supply (not shown). At this time, the plasma is formed between the plasma electrode and the ground electrode by an electric field applied between the plasma electrode and the ground electrode according to the plasma power source. As a result, the source gas supplied to the gas injection space is activated by the plasma and is sprayed downward on the substrate W. In order to prevent the thin film deposited on the substrate W and / or the substrate W from being damaged by the plasma, an interval (or a gap) between the plasma electrode and the ground electrode is set between the plasma electrode and the substrate W As shown in FIG. Accordingly, the plasma is formed between the substrate W and the plasma electrode, and the plasma is formed between the plasma electrode and the ground electrode arranged so as to be spaced apart from the substrate W without forming the plasma between the substrate W and the plasma electrode, It is possible to prevent the wafer W and / or the thin film from being damaged.

상기 플라즈마 전원은 고주파 전력 또는 RF(Radio Frequency) 전력, 예를 들어, LF(Low Frequency) 전력, MF(Middle Frequency), HF(High Frequency) 전력, 또는 VHF(Very High Frequency) 전력이 될 수 있다. 이때, LF 전력은 3㎑ ~ 300㎑ 범위의 주파수를 가지고, MF 전력은 300㎑ ~ 3㎒ 범위의 주파수를 가지고, HF 전력은 3㎒ ~ 30㎒ 범위의 주파수를 가지며, VHF 전력은 30㎒ ~ 300㎒ 범위의 주파수를 가질 수 있다.The plasma power source may be high frequency power or radio frequency (RF) power, for example, LF (Low Frequency) power, MF (Middle Frequency), HF (High Frequency) power, or VHF . At this time, the LF power has a frequency in the range of 3 kHz to 300 kHz, the MF power has a frequency in the range of 300 kHz to 3 MHz, the HF power has a frequency in the range of 3 MHz to 30 MHz, And may have a frequency in the range of 300 MHz.

상기 플라즈마 전극과 플라즈마 전원 공급부를 연결하는 급전 케이블에는 임피던스 매칭 회로(미도시)가 접속될 수 있다. 상기 임피던스 매칭 회로는 플라즈마 전원 공급부로부터 플라즈마 전극에 공급되는 플라즈마 전원의 부하 임피던스와 소스 임피던스를 정합시킨다. 이러한 임피던스 매칭 회로는 가변 커패시터 및 가변 인덕터 중 적어도 하나로 구성되는 적어도 2개의 임피던스 소자(미도시)로 이루어질 수 있다.An impedance matching circuit (not shown) may be connected to the feed cable connecting the plasma electrode and the plasma power supply unit. The impedance matching circuit matches the load impedance and the source impedance of the plasma power supplied from the plasma power supply to the plasma electrode. The impedance matching circuit may be composed of at least two impedance elements (not shown) constituted by at least one of a variable capacitor and a variable inductor.

전술한 플라즈마 전극은 반응 가스 분사 모듈 각각의 가스 분사 공간에 설치되어 플라즈마를 이용해 반응 가스를 활성화시켜 기판 상에 하향 분사할 수도 있다. 나아가, 전술한 플라즈마 전극은 퍼지 가스 분사부(160)에 설치되어 플라즈마를 이용해 퍼지 가스를 기판 상에 하향 분사할 수도 있다. 결과적으로, 기판 상에 증착하고자 하는 박막의 재질에 따라 소스 가스와 반응 가스 및 퍼지 가스 각각은 활성화되지 않은 상태로 분사되거나 플라즈마에 의해 활성화되어 분사될 수 있다.The above-mentioned plasma electrode may be installed in the gas injection space of each of the reaction gas injection modules, and may activate the reaction gas using the plasma to be sprayed downward on the substrate. Further, the above-described plasma electrode may be provided in the purge gas spraying unit 160, and the purge gas may be sprayed downward on the substrate using plasma. As a result, depending on the material of the thin film to be deposited on the substrate, each of the source gas, the reactive gas, and the purge gas may be injected in an inactive state or may be activated by a plasma to be injected.

도 2 내지 도 4를 참고하면, 상기 가스 처리부(200)는 상기 기판 처리부(100)로부터 소스 가스와 반응 가스를 외부로 배출시키기 위한 것이다. 상기 가스 처리부(200)는 상기 기판 처리부(100)에 결합되어서, 상기 공정 챔버(110)의 내부에 존재하는 소스 가스와 반응 가스를 외부로 배출시킬 수 있다. 상기 가스 처리부(200)는 상기 박막 증착 공정이 완료된 후에 상기 공정 챔버(110)로부터 소스 가스와 반응 가스를 배출시킬 수 있다.2 to 4, the gas processing unit 200 is for discharging the source gas and the reactive gas from the substrate processing unit 100 to the outside. The gas processing unit 200 may be coupled to the substrate processing unit 100 to discharge a source gas and a reactive gas present in the process chamber 110 to the outside. The gas processing unit 200 may discharge the source gas and the reactive gas from the process chamber 110 after the thin film deposition process is completed.

상기 가스 처리부(200)는 상기 소스 가스 분사 영역(120a) 및 상기 반응 가스 분사 영역(120b) 각각으로부터 소스 가스 및 반응 가스를 서로 독립적으로 배출시킬 수 있다. 이에 따라, 본 발명에 따른 기판 처리 장치는 상기 기판 처리부(100)로부터 소스 가스와 반응 가스가 혼합된 상태로 배출되는 정도를 감소시킴으로써, 소스 가스와 반응 가스가 혼합된 상태로 배출됨에 따른 파티클 생성을 줄일 수 있다.The gas processing unit 200 may independently discharge the source gas and the reactive gas from the source gas injection region 120a and the reaction gas injection region 120b, respectively. Accordingly, the substrate processing apparatus according to the present invention reduces the degree of the discharge of the source gas and the reactive gas from the substrate processing unit 100, so that the generation of particles due to the discharge of the source gas and the reactive gas in a mixed state .

상기 가스 처리부(200)는 제 1 배기라인(210), 제 2 배기라인(220) 및 제 3 배기라인(240)을 포함할 수 있다.The gas processing unit 200 may include a first exhaust line 210, a second exhaust line 220, and a third exhaust line 240.

상기 제 1 배기라인(210)은 상기 소스 가스 분사 영역(120a)으로부터 제 1 배기가스를 배출시키기 위한 것이다. 상기 제 1 배기가스는 상기 반응 가스에 비해 상기 소스 가스가 더 많이 포함된 것이다. 상기 제 1 배기가스는 상기 반응 가스 없이 상기 소스 가스만으로 이루어질 수도 있다. 상기 제 1 배기라인(210)은 상기 공정 챔버(110)의 내부에 연결되게 상기 공정 챔버(110)에 결합될 수 있다. 상기 제 1 배기라인(210)은 상기 공정 챔버(110)의 바닥 프레임(112)에 결합될 수 있다.The first exhaust line 210 is for discharging the first exhaust gas from the source gas injection region 120a. The first exhaust gas contains more of the source gas than the reactive gas. The first exhaust gas may be composed of only the source gas without the reactive gas. The first exhaust line 210 may be coupled to the process chamber 110 to be connected to the inside of the process chamber 110. The first exhaust line 210 may be coupled to the bottom frame 112 of the process chamber 110.

상기 제 1 배기라인(210)은 상기 제 1 배기구(114)에 연결되게 상기 공정 챔버(110)에 결합될 수 있다. 상기 소스 가스 분사 영역(120a)에 위치한 제 1 배기가스는, 상기 제 1 배기구(114)를 통해 상기 공정 챔버(110)로부터 배출되고, 상기 제 1 배기라인(210)을 따라 이동하여 외부로 배출될 수 있다.The first exhaust line 210 may be coupled to the process chamber 110 to be connected to the first exhaust port 114. The first exhaust gas located in the source gas injection region 120a is discharged from the process chamber 110 through the first exhaust hole 114 and moves along the first exhaust line 210 to be discharged to the outside .

상기 제 1 배기라인(210)은 상기 소스 가스 분사 영역(120a)으로부터 상기 제 1 배기가스를 배출시키기 위한 흡입력 및 배출력을 발생시키는 제 1 펌핑 수단(미도시), 및 상기 제 1 배기가스가 이동하기 위한 통로를 제공하는 제 1 배출배관(미도시)를 포함할 수 있다.The first exhaust line 210 includes a first pumping means (not shown) for generating a suction force and a discharge power for discharging the first exhaust gas from the source gas injection region 120a, (Not shown) that provides a passage for movement.

상기 제 2 배기라인(220)은 상기 반응 가스 분사 영역(120b)으로부터 제 2 배기가스를 배출시키기 위한 것이다. 상기 제 2 배기가스는 상기 소스 가스에 비해 상기 반응 가스가 더 많이 포함된 것이다. 상기 제 2 배기가스는 상기 소스 가스 없이 상기 반응 가스만으로 이루어질 수도 있다. 상기 제 2 배기라인(220)은 상기 공정 챔버(110)의 내부에 연결되게 상기 공정 챔버(110)에 결합될 수 있다. 상기 제 2 배기라인(220)은 상기 공정 챔버(110)의 바닥 프레임(112)에 결합될 수 있다. 상기 제 2 배기라인(220) 및 상기 제 1 배기라인(210)은, 상기 공정 챔버(110)의 바닥 프레임(112)에서 서로 이격된 위치에 위치하도록 상기 바닥 프레임(112)에 결합될 수 있다.The second exhaust line 220 is for discharging the second exhaust gas from the reactive gas injection region 120b. And the second exhaust gas contains more of the reactive gas than the source gas. The second exhaust gas may be composed of only the reactive gas without the source gas. The second exhaust line 220 may be coupled to the process chamber 110 to be connected to the inside of the process chamber 110. The second exhaust line 220 may be coupled to the bottom frame 112 of the process chamber 110. The second exhaust line 220 and the first exhaust line 210 may be coupled to the bottom frame 112 to be spaced apart from each other in the bottom frame 112 of the process chamber 110 .

상기 제 2 배기라인(220)은 상기 제 2 배기구(114')에 연결되게 상기 공정 챔버(110)에 결합될 수 있다. 상기 반응 가스 분사 영역(120b)에 위치한 제 2 배기가스는, 상기 제 2 배기구(114')를 통해 상기 공정 챔버(110)로부터 배출되고, 상기 제 2 배기라인(220)을 따라 이동하여 외부로 배출될 수 있다.The second exhaust line 220 may be coupled to the process chamber 110 to be connected to the second exhaust port 114 '. The second exhaust gas located in the reactive gas injection region 120b is discharged from the process chamber 110 through the second exhaust port 114 'and moves along the second exhaust line 220 to the outside Can be discharged.

상기 제 2 배기라인(220)은 상기 반응 가스 분사 영역(120b)으로부터 제 2 배기가스를 배출시키기 위한 흡입력 및 배출력을 발생시키는 제 2 펌핑 수단(미도시), 및 상기 제 2 배기가스가 이동하기 위한 통로를 제공하는 제 2 배출배관(미도시)를 포함할 수 있다. 상기 제 2 배출배관 및 상기 제 1 배출배관은, 각각 일측이 별도의 배관으로 분기되어 상기 공정 챔버(110)의 서로 다른 위치에 결합되고, 타측이 하나의 배관으로 합쳐지도록 구현될 수 있다. 상기 제 2 배출배관 및 상기 제 1 배출배관이 합쳐진 부분에는, 스크러버(Scrubber)가 설치될 수 있다.The second exhaust line 220 includes a second pumping means (not shown) for generating a suction force and a discharge power for discharging the second exhaust gas from the reactive gas injection region 120b, (Not shown) that provides a passageway for the < / RTI > The second discharge piping and the first discharge piping may be implemented such that one side of the first discharge piping is branched into a separate piping and coupled to different positions of the process chamber 110, and the other side of the second discharge piping and the first discharge piping are combined into one piping. A scrubber may be installed at a portion where the second discharge pipe and the first discharge pipe are combined.

상기 가스 처리부(200)는 포획장치(230)를 포함할 수 있다.The gas processing unit 200 may include a capturing device 230.

상기 포획장치(230)는 상기 제 1 배기라인(210)으로 유입된 제 1 배기가스 중에서 상기 소스 가스를 포획하여 처리하기 위한 것이다. 상기 포획장치(230)는 상기 제 1 배기가스 중에서 상기 소스 가스를 분해함으로써 상기 제 1 배기가스 중에서 상기 소스 가스를 포획할 수 있다. 이 과정에서, 상기 포획장치(230)는 상기 소스 가스를 미립자상태로 분해하여 상기 제 1 배기라인(210)을 통과하는 소스 가스로 인해 제 1 배기라인(210) 내에 파티클이 생성되는 것을 방지할 수 있다. 이에 따라, 본 발명에 따른 기판 처리 장치는 상기 기판 처리부(100)로부터 배출되는 소스 가스로부터 파티클이 발생하는 것을 방지함으로써, 배기효율을 향상시킬 수 있다. 따라서, 본 발명에 따른 기판 처리 장치는 배기효율 향상을 통해 배기에 걸리는 시간을 단축할 수 있으므로, 박막 증착 공정에 대한 공정 시간을 줄이는 데 기여할 수 있다.The trapping device 230 is for trapping and processing the source gas in the first exhaust gas flowing into the first exhaust line 210. The trapping device 230 can capture the source gas in the first exhaust gas by decomposing the source gas in the first exhaust gas. In this process, the trapping device 230 decomposes the source gas into a particulate state to prevent particles from being generated in the first exhaust line 210 due to the source gas passing through the first exhaust line 210 . Accordingly, the substrate processing apparatus according to the present invention can prevent the generation of particles from the source gas discharged from the substrate processing unit 100, thereby improving the exhaust efficiency. Therefore, the substrate processing apparatus according to the present invention can contribute to the reduction of the process time for the thin film deposition process, because it can shorten the time taken to exhaust through the improvement of the exhaust efficiency.

상기 포획장치(230)는 상기 제 1 배기라인(210) 및 상기 제 2 배기라인(220) 중에서 상기 제 1 배기라인(210)에만 설치될 수 있다. 이에 따라, 상기 포획장치(230)는 상기 기판 처리부(100)로부터 배출되는 제 1 배기가스 및 제 2 배기가스 중에서 상기 제 1 배기가스에 대해서만 상기 소스 가스를 포획하는 공정을 수행하도록 구현될 수 있다. 이에 따라, 본 발명에 따른 기판 처리 장치는 다음과 같은 작용 효과를 도모할 수 있다.The capturing device 230 may be installed only in the first exhaust line 210 among the first exhaust line 210 and the second exhaust line 220. [ Accordingly, the trapping device 230 can be implemented to perform the process of capturing the source gas only for the first exhaust gas out of the first exhaust gas and the second exhaust gas discharged from the substrate processing section 100 . Accordingly, the substrate processing apparatus according to the present invention can achieve the following operational effects.

첫째, 본 발명에 따른 기판 처리 장치는 소스 가스와 반응 가스가 서로 독립적으로 배출되도록 구현되므로, 파티클 발생의 주원인 되는 제 1 배기가스에 대해서만 소스 가스의 포획 처리가 이루어지도록 구현될 수 있다. 따라서, 본 발명에 따른 기판 처리 장치는 파티클 발생 방지를 위해 상기 포획장치(230)를 가동시키는 가동비용 및 운영비용을 절감할 수 있다.First, since the source gas and the reactive gas are independently discharged from the substrate processing apparatus according to the present invention, the source gas can be trapped only in the first exhaust gas, which is a main cause of particle generation. Therefore, the substrate processing apparatus according to the present invention can reduce the operation cost and operating cost of operating the trapping device 230 to prevent particles from being generated.

둘째, 본 발명에 따른 기판 처리 장치는 상기 포획장치(230)가 상기 제 1 배기가스에 대해서만 소스 가스의 포획 처리를 수행하므로, 상기 포획장치(230)가 제 1 배기가스와 제 2 배기가스가 혼합된 상태의 배기가스에 대해 소스 가스의 포획 처리를 수행하는 것과 비교할 때, 상기 포획장치(230)의 가스 처리량을 줄일 수 있다. 이에 따라, 본 발명에 따른 기판 처리 장치는 상기 포획장치(230)의 용량을 줄일 수 있으므로, 상기 포획장치(230)에 대한 구축비용을 줄일 수 있을 뿐만 아니라 상기 포획장치(230)를 소형화할 수 있는 장점이 있다.Second, in the substrate processing apparatus according to the present invention, the trapping device 230 performs trapping processing of the source gas only for the first exhaust gas, so that the trapping device 230 can trap the first exhaust gas and the second exhaust gas It is possible to reduce the gas throughput of the trapping device 230, as compared to performing the trapping treatment of the source gas with respect to the mixed state exhaust gas. Accordingly, the substrate processing apparatus according to the present invention can reduce the capacity of the capturing device 230, thereby reducing the construction cost of the capturing device 230 and reducing the size of the capturing device 230 There is an advantage.

상기 포획장치(230)는 플라즈마 트랩(Plasma Trap)을 포함할 수 있다.The trapping device 230 may include a plasma trap.

상기 플라즈마 트랩은 플라즈마를 이용하여 상기 기판 처리부(100)로부터 배출되는 소스 가스로부터 파티클이 발생하는 것을 방지할 수 있다. 상기 플라즈마 트랩은 플라즈마를 이용하여 상기 기판 처리부(100)로부터 배출되는 소스 가스를 분해함으로써, 파티클 발생을 방지할 수 있다. 예컨대, 상기 플라즈마 트랩은 소스 가스가 육염화이규소(Si2Cl6)일 경우, 플라즈마를 이용하여 육염화이규소를 규소(Si)와 염소(Cl)로 분해함으로써, 파티클 발생을 방지할 수 있다.The plasma trap can prevent the generation of particles from the source gas discharged from the substrate processing unit 100 by using the plasma. The plasma trap decomposes the source gas discharged from the substrate processing unit 100 using plasma, thereby preventing the generation of particles. For example, in the plasma trap, when the source gas is silicon hexafluoride (Si 2 Cl 6 ), generation of particles can be prevented by decomposing silicon hexafluoride into silicon (Si) and chlorine (Cl) using plasma.

여기서, 상기 기판 처리부(100)는 배출 과정에서 파티클이 생성되지 않는 반응 가스를 이용하여 박막 증착 공정을 수행할 수 있다. 예컨대, 반응 가스는 수소(H2), 질소(N2), 산소(O2), 이산화질소(NO2), 암모니아(NH3), 물(H2O), 오존(O3) 중 적어도 하나일 수 있다. 이에 따라, 본 발명에 따른 기판 처리 장치는 제 2 배기라인(220)에 상기 포획장치(230)를 설치하지 않고도, 상기 반응 가스로부터 파티클이 생성되는 것을 방지할 수 있다. 한편, 상기 제 2 배기라인(220)을 통과하는 제 2 배기가스에도 상기 소스 가스가 포함되어 있을 수 있으나, 상기 소스 가스의 양이 적으므로, 상기 포획장치(230) 없이도 상기 제 2 배기라인(220)을 통한 원활한 배기를 구현할 수 있다.Here, the substrate processing unit 100 may perform a thin film deposition process using a reactive gas that does not generate particles during the discharge process. For example, the reaction gas is hydrogen (H 2), nitrogen (N 2), oxygen (O 2), nitrogen dioxide (NO 2), ammonia (NH 3), at least one of water (H 2 O), ozone (O 3) Lt; / RTI > Accordingly, the substrate processing apparatus according to the present invention can prevent the generation of particles from the reaction gas without providing the trapping device 230 in the second exhaust line 220. Meanwhile, the second exhaust gas passing through the second exhaust line 220 may include the source gas. However, since the amount of the source gas is small, the second exhaust line 220).

상기 제 3 배기라인(240)은 상기 제 1 배기라인(210)을 거쳐 상기 포획장치(230)를 통과한 제 1 배기가스와 상기 제 2 배기라인(220)을 통과한 제 2 배기가스를 배기하도록 배기펌프(300)에 연결된다. 따라서, 상기 제 1 배기라인(210)으로 유입된 제 1 배기가스는 상기 포획장치(230)를 통과하여 소스 가스가 포획된 후에 상기 제 2 배기라인(220)으로 유입된 제 2 배기가스와 합류된 상태로 상기 제 3 배기라인(240)을 통과하여 상기 배기펌프(300)로 보내지게 된다. The third exhaust line 240 separates the first exhaust gas that has passed through the trapping device 230 and the second exhaust gas that has passed through the second exhaust line 220 through the first exhaust line 210, To the exhaust pump 300. Accordingly, the first exhaust gas flowing into the first exhaust line 210 is mixed with the second exhaust gas flowing into the second exhaust line 220 after the source gas is captured through the trapping device 230 The exhaust gas passes through the third exhaust line 240 and is sent to the exhaust pump 300.

상기 제 3 배기라인(240)은 일측이 상기 제 1 배기라인(210)과 제 2 배기라인(220)을 하나의 배관으로 연결하고, 타측이 상기 배기펌프(300)에 연결되도록 설치될 수 있다.The third exhaust line 240 may be installed such that one side connects the first exhaust line 210 and the second exhaust line 220 with one pipe and the other side connects to the exhaust pump 300 .

도 2 내지 도 6을 참고하면, 본 발명에 따른 기판 처리 장치는 퍼지 가스를 이용하여 가스 배출 영역을 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리하도록 구현될 수 있다.2 to 6, the substrate processing apparatus according to the present invention can be implemented so as to spatially separate the gas discharge region into the first gas discharge region and the second gas discharge region using the purge gas.

이를 위해, 상기 퍼지 가스 분사부(160)는 상기 가스 배출 영역(GE, 도 6에 도시됨)에 퍼지 가스를 추가로 분사할 수 있다. 상기 가스 배출 영역(GE)은 상기 공정 챔버(110)의 내주면(110a) 및 상기 기판 지지부(120)의 외주면(120d) 사이에 위치한다. 상기 퍼지 가스 분사부(160)는 상기 가스 배출 영역(GE)에 퍼지 가스를 추가로 분사함으로써, 상기 가스 배출 영역(GE)을 제 1 가스 배출 영역(GE1) 및 제 2 가스 배출 영역(GE2)으로 공간적으로 분리할 수 있다. 상기 제 1 가스 배출 영역(GE1)에는 상기 제 1 배기라인(210)이 연결된다. 상기 제 2 가스 배출 영역(GE2)에는 상기 제 2 배기라인(220)이 연결된다.To this end, the purge gas injector 160 may further inject purge gas into the gas discharge area GE (shown in FIG. 6). The gas discharge region GE is located between the inner circumferential surface 110a of the process chamber 110 and the outer circumferential surface 120d of the substrate support 120. [ The purge gas injecting unit 160 injects the purge gas into the gas discharging area GE so that the gas discharging area GE is divided into the first gas discharging area GE1 and the second gas discharging area GE2, As shown in Fig. The first exhaust line 210 is connected to the first gas exhaust area GE1. And the second exhaust line 220 is connected to the second gas exhaust area GE2.

이에 따라, 상기 제 1 배기가스는 상기 제 1 가스 배출 영역(GE1)을 거쳐 상기 제 1 배기라인(210)을 통해 상기 공정 챔버(110)의 외부로 배출된다. 상기 제 2 배기가스는, 상기 제 2 가스 배출 영역(GE2)을 거쳐 상기 제 2 배기라인(220)을 통해 상기 공정 챔버(110)의 외부로 배출된다.Accordingly, the first exhaust gas is discharged to the outside of the process chamber 110 through the first exhaust line GE1, the first exhaust line 210, and the like. The second exhaust gas is discharged to the outside of the process chamber 110 through the second exhaust line 220 via the second gas exhaust area GE2.

따라서, 본 발명에 따른 기판 처리 장치는 상기 제 1 배기가스 및 상기 제 2 배기가스가 배출되는 과정에서 서로 혼합되는 것을 방지함으로써, 상기 소스 가스로부터 파티클이 발생하는 것을 감소시키기 위한 차단력을 증대시킬 수 있다.Therefore, the substrate processing apparatus according to the present invention prevents the first exhaust gas and the second exhaust gas from being mixed with each other during the discharge process, thereby increasing the blocking force for reducing the generation of particles from the source gas have.

상기 퍼지 가스 분사부(160)는 상기 가스 배출 영역(GE)에 퍼지 가스를 추가로 분사할 수 있도록, 상기 기판 처리부(120)의 직경에 해당하는 영역에 비해 더 큰 퍼지 가스 분사 영역(120c)에 퍼지 가스를 분사하도록 구현될 수 있다. 상기 퍼지 가스 분사부(160)는 상기 공정 챔버(110)의 내경에 해당하는 퍼지 가스 분사 영역(120c)에 퍼지 가스를 분사하도록 구현될 수도 있다.The purge gas injecting unit 160 injects a larger purge gas injection region 120c than a region corresponding to the diameter of the substrate processing unit 120 so as to further inject purge gas into the gas discharge region GE. As shown in FIG. The purge gas injecting unit 160 may be configured to inject the purge gas into the purge gas injection region 120c corresponding to the inner diameter of the process chamber 110. [

상기 제 1 가스 배출 영역(GE1)에는 상기 제 1 배기구(114)가 위치할 수 있다. 상기 제 1 배기구(114)는 상기 제 1 가스 배출 영역(GE1)에 위치하도록 상기 공정 챔버(110)에 형성될 수 있다. 상기 제 1 배기라인(210)은 상기 제 1 배기구(114)를 통해 상기 제 1 가스 배출 영역(GE1)에 연결될 수 있다.The first exhaust port 114 may be located in the first gas exhaust area GE1. The first exhaust port 114 may be formed in the process chamber 110 to be positioned in the first gas exhaust area GE1. The first exhaust line 210 may be connected to the first gas exhaust area GE1 through the first exhaust port 114. [

상기 제 2 가스 배출 영역(GE2)에는 상기 제 2 배기구(114')가 위치할 수 있다. 상기 제 2 배기구(114')는 상기 제 2 가스 배출 영역(GE2)에 위치하도록 상기 공정 챔버(110)에 형성될 수 있다. 상기 제 2 배기라인(220)은 상기 제 2 배기구(114')를 통해 상기 제 2 가스 배출 영역(GE2)에 연결될 수 있다.And the second exhaust port 114 'may be positioned in the second gas exhaust area GE2. The second exhaust port 114 'may be formed in the process chamber 110 to be located in the second gas exhaust area GE2. The second exhaust line 220 may be connected to the second gas exhaust area GE2 through the second exhaust port 114 '.

도 2 내지 도 7을 참고하면, 본 발명의 변형된 실시예에 따른 기판 처리 장치는 구획부재를 이용하여 가스 배출 영역을 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리하도록 구현될 수도 있다.2 to 7, a substrate processing apparatus according to a modified embodiment of the present invention may be implemented to spatially separate a gas discharge region into a first gas discharge region and a second gas discharge region using a partition member have.

이를 위해, 상기 기판 처리부(100)는 상기 가스 배출 영역(GE)에 위치하는 구획부재(116)를 포함할 수 있다. 상기 구획부재(116)는 상기 공정 챔버(110)의 내주면(110a)으로부터 상기 기판 지지부(120)의 외주면(120d) 쪽으로 돌출되어 형성될 수 있다. 이에 따라, 상기 구획부재(116)는 상기 가스 배출 영역(GE)을 상기 제 1 가스 배출 영역(GE1) 및 상기 제 2 가스 배출 영역(GE2)으로 공간적으로 분리할 수 있다.To this end, the substrate processing unit 100 may include a partition member 116 located in the gas discharge area GE. The partition member 116 may protrude from the inner circumferential surface 110a of the process chamber 110 to the outer circumferential surface 120d of the substrate support 120. [ Accordingly, the partition member 116 can spatially separate the gas discharge area GE into the first gas discharge area GE1 and the second gas discharge area GE2.

따라서, 본 발명의 변형된 실시예에 따른 기판 처리 장치는 퍼지 가스 없이 상기 구획부재(116)를 이용하여 상기 제 1 배기가스 및 상기 제 2 배기가스가 배출되는 과정에서 서로 혼합되는 것을 방지할 수 있으므로, 퍼지 가스를 이용하는 것과 대비할 때 운영비용을 줄일 수 있는 장점이 있다.Therefore, the substrate processing apparatus according to the modified embodiment of the present invention can prevent the first exhaust gas and the second exhaust gas from being mixed with each other in the process of discharging the first exhaust gas and the second exhaust gas using the partition member 116 without the purge gas Therefore, there is an advantage that operating cost can be reduced when compared to using purge gas.

상기 구획부재(116)는 일측이 상기 공정 챔버(110)의 내주면(110a)에 결합되고, 타측이 상기 기판 지지부(120)의 외주면(120d)에 접촉되도록 상기 공정 챔버(110)에 결합될 수 있다. 상기 구획부재(116)는 전체적으로 직방체 형태로 형성될 수 있으나, 이에 한정되지 않으며 상기 가스 배출 영역(GE)을 공간적으로 분리할 수 있는 형태이면 다른 형태로 형성될 수도 있다. 상기 기판 처리부(100)는 상기 구획부재(116)를 복수개 포함할 수 있다.The partition member 116 may be coupled to the process chamber 110 such that one side of the partition member 116 is coupled to the inner circumferential surface 110a of the process chamber 110 and the other side thereof contacts the outer circumferential surface 120d of the substrate support 120 have. The partition member 116 may be formed in a rectangular parallelepiped shape. However, the partition member 116 may be formed in any other shape as long as it can spatially separate the gas discharge region GE. The substrate processing unit 100 may include a plurality of the partition members 116.

도 8 및 도 9를 참고하면, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 퍼지 가스 및 구획부재 모두를 이용하여 가스 배출 영역을 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리하도록 구현될 수도 있다.8 and 9, a substrate processing apparatus according to another modified embodiment of the present invention uses both the purge gas and the partition member to spatially position the gas discharge region into the first gas discharge region and the second gas discharge region May be implemented to separate.

이를 위해, 상기 기판 처리부(100)는 상기 공정 챔버(110)의 내주면(110a)으로부터 상기 기판 지지부(120)의 외주면(120d) 쪽으로 돌출되어 형성되는 구획부재(116)를 포함할 수 있다. 상기 퍼지 가스 분사부(160)는 상기 기판 지지부(120)의 외주면(120d) 및 상기 구획부재(116)의 사이에 퍼지 가스를 분사할 수 있다. 이에 따라, 상기 가스 배출 영역(GE)은 상기 구획부재(116) 및 퍼지 가스의 조합을 통해 상기 제 1 가스 배출 영역(GE1) 및 상기 제 2 가스 배출 영역(GE2)으로 공간적으로 분리될 수 있다.The substrate processing unit 100 may include a partitioning member 116 protruding from the inner circumferential surface 110a of the process chamber 110 toward the outer circumferential surface 120d of the substrate supporting unit 120. The purge gas spraying unit 160 may spray purge gas between the outer circumferential surface 120d of the substrate supporting unit 120 and the partition member 116. [ Accordingly, the gas discharge area GE can be spatially separated into the first gas discharge area GE1 and the second gas discharge area GE2 through the combination of the partition member 116 and the purge gas .

따라서, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 다음과 같은 작용 효과를 도모할 수 있다.Therefore, the substrate processing apparatus according to another modified embodiment of the present invention can achieve the following operational effects.

첫째, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 상술한 퍼지 가스만을 이용하는 것과 대비할 때, 상기 퍼지 가스 분사부(160)가 퍼지 가스를 분사하는 영역의 크기를 감소시킬 수 있다. 상기 구획부재(116)가 상기 가스 배출 영역(GE)을 공간적으로 분리하고 있는 부분에는 퍼지 가스를 분사할 필요가 없기 때문이다. 따라서, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 상기 제 1 배기가스 및 상기 제 2 배기가스가 배출되는 과정에서 서로 혼합되는 것을 방지할 수 있으면서도, 이를 위해 요구되는 운영 비용을 줄일 수 있다.First, the substrate processing apparatus according to another modified embodiment of the present invention can reduce the size of the region in which the purge gas spraying unit 160 injects the purge gas, in contrast to the use of only the purge gas described above. This is because it is not necessary to inject the purge gas into the portion where the partition member 116 spatially separates the gas discharge region GE. Therefore, the substrate processing apparatus according to another modified embodiment of the present invention can prevent mixing of the first exhaust gas and the second exhaust gas in the process of discharging, have.

둘째, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 상술한 구획부재만을 이용하는 것과 대비할 때, 상기 구획부재(116)가 상기 기판 지지부(120)의 외주면(120d)에 접촉되지 않도록 구현될 수 있다. 상기 구획부재(116) 및 상기 기판 지지부(120)의 외주면(120d) 사이는 퍼지 가스에 의해 공간적으로 분리되기 때문이다. 따라서, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 상기 구획부재(116)가 상기 기판 지지부(120)의 외주면(120d)에 접촉됨에 따라 마찰에 의해 마모, 손상 등이 발생하는 것을 방지함으로써, 상기 구획부재(116) 및 상기 기판 지지부(120)에 대한 유지 보수 비용을 줄일 수 있다.Second, the substrate processing apparatus according to another modified embodiment of the present invention may be implemented so that the partition member 116 does not contact the outer circumferential surface 120d of the substrate support 120, . This is because the space between the partition member 116 and the outer peripheral surface 120d of the substrate support 120 is spatially separated by the purge gas. Therefore, the substrate processing apparatus according to another modified embodiment of the present invention prevents the abrasion, damage, and the like from occurring due to friction as the partition member 116 comes into contact with the outer peripheral surface 120d of the substrate support 120 The maintenance cost for the partition member 116 and the substrate supporting unit 120 can be reduced.

상기 퍼지 가스 분사부(160)는 상기 가스 배출 영역(GE)에 퍼지 가스를 추가로 분사할 수 있도록, 상기 기판 처리부(120)의 직경에 비해 크고 상기 공정 챔버(110)의 내경에 비해 작은 퍼지 가스 분사 영역(120c)에 퍼지 가스를 분사하도록 구현될 수 있다.The purge gas injecting unit 160 injects a purge gas into the gas discharge region GE and supplies the purge gas to the purge gas injecting unit 160. The purge gas injecting unit 160 injects purge gas, May be implemented to inject purge gas into the gas injection region 120c.

본 발명이 속하는 기술분야의 당업자는 본 발명이 그 기술적 사상이나 필수적 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로, 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적인 것이 아닌 것으로 이해해야만 한다. 본 발명의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위 그리고 그 등가 개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본 발명의 범위에 포함되는 것으로 해석되어야 한다.It will be understood by those skilled in the art that the present invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. It is therefore to be understood that the above-described embodiments are illustrative in all aspects and not restrictive. The scope of the present invention is defined by the appended claims rather than the detailed description and all changes or modifications derived from the meaning and scope of the claims and their equivalents are to be construed as being included within the scope of the present invention do.

100: 기판 처리부 110: 공정 챔버
120: 기판 지지부 130: 챔버 리드
140: 소스 가스 분사부 150: 반응 가스 분사부
160: 퍼지 가스 분사부 200: 가스 처리부
210: 제 1 배기라인 220: 제 2 배기라인
230: 포획장치 240: 제 3 배기라인
300: 배기펌프
100: substrate processing unit 110: process chamber
120: substrate support 130: chamber lead
140: source gas injection part 150: reaction gas injection part
160: purge gas injecting part 200: gas processing part
210: first exhaust line 220: second exhaust line
230: capturing device 240: third exhaust line
300: Exhaust pump

Claims (11)

소스 가스와 반응 가스가 분사되는 기판 처리 장치에 있어서,
상기 반응 가스에 비해 상기 소스 가스가 더 많이 포함된 제 1 배기가스를 배기하는 제 1 배기라인;
상기 소스 가스에 비해 상기 반응 가스가 더 많이 포함된 제 2 배기가스를 배기하는 제 2 배기라인;
상기 제 1 배기라인에 설치된 포획장치; 및
상기 포획장치를 통과한 제 1 배기가스와 상기 제 2 배기라인을 통과한 제 2 배기가스를 배기하도록 배기펌프에 연결되는 제 3 배기라인을 포함하고,
상기 포획장치는 상기 제 1 배기라인에 유입된 소스 가스를 포획하는 것을 특징으로 하는 기판 처리 장치.
A substrate processing apparatus in which a source gas and a reactive gas are injected,
A first exhaust line for exhausting a first exhaust gas containing the source gas more than the reaction gas;
A second exhaust line for exhausting a second exhaust gas containing the reactive gas more than the source gas;
A trapping device installed in the first exhaust line; And
And a third exhaust line connected to an exhaust pump for exhausting a first exhaust gas that has passed through the trapping device and a second exhaust gas that has passed through the second exhaust line,
Wherein the trapping device captures the source gas introduced into the first exhaust line.
제 1 항에 있어서,
상기 포획장치는 파티클 생성을 방지하기 위한 플라즈마 트랩을 포함하는 것을 특징으로 하는 기판 처리 장치.
The method according to claim 1,
Wherein the trapping device comprises a plasma trap for preventing particle generation.
제 1 항 또는 제 2 항에 있어서,
상기 반응 가스는 수소(H2), 질소(N2), 산소(O2), 이산화질소(NO2), 암모니아(NH3), 물(H2O), 오존(O3) 중 적어도 하나인 것을 특징으로 하는 기판 처리 장치.
3. The method according to claim 1 or 2,
The reaction gas is at least one of hydrogen (H 2), nitrogen (N 2), oxygen (O 2), nitrogen dioxide (NO 2), ammonia (NH 3), water (H 2 O), ozone (O 3) And the substrate processing apparatus.
제 1 항에 있어서,
공간적으로 분리된 소스 가스 분사 영역 및 반응 가스 분사 영역 각각에 상기 소스 가스 및 상기 반응 가스를 분사하여 기판에 박막을 증착시키는 박막 증착 공정을 수행하는 기판 처리부를 포함하는 것을 특징으로 하는 기판 처리 장치.
The method according to claim 1,
And a substrate processing unit for performing a thin film deposition process for depositing a thin film on the substrate by injecting the source gas and the reactive gas into the spatially separated source gas injection region and the reaction gas injection region, respectively.
제 4 항에 있어서,
상기 기판 처리부는 공정 공간을 마련하는 공정 챔버, 상기 공정 챔버 내부에 설치되어 적어도 하나의 기판을 지지하는 기판 지지부, 및 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역이 공간적으로 분리되도록 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역의 사이에 퍼지 가스를 분사하는 퍼지 가스 분사부를 포함하고,
상기 퍼지 가스 분사부는 상기 공정 챔버의 내주면 및 상기 기판 지지부의 외주면 사이의 가스 배출 영역에 퍼지 가스를 추가로 분사하여 상기 가스 배출 영역을 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리하며,
상기 제 1 배기라인은 상기 제 1 가스 배출 영역에 연결되게 상기 공정 챔버에 결합되고,
상기 제 2 배기라인은 상기 제 2 가스 배출 영역에 연결되게 상기 공정 챔버에 결합되는 것을 특징으로 하는 기판 처리 장치.
5. The method of claim 4,
Wherein the substrate processing unit includes a process chamber for providing a process space, a substrate support disposed inside the process chamber for supporting at least one substrate, and a substrate support member for supporting the source gas spraying region and the reactive gas spraying region, And a purge gas injection portion for injecting a purge gas between the region and the reactive gas injection region,
The purge gas injector further sprays a purge gas to a gas discharge area between the inner circumferential surface of the process chamber and the outer circumferential surface of the substrate support to spatially separate the gas discharge area into a first gas discharge area and a second gas discharge area ,
The first exhaust line is coupled to the process chamber to be connected to the first gas exhaust area,
And the second exhaust line is coupled to the process chamber to be connected to the second gas exhaust area.
제 5 항에 있어서,
상기 공정 챔버는 상기 제 1 가스 배출 영역에 위치하도록 형성되는 제 1 배기구, 및 상기 제 2 가스 배출 영역에 위치하도록 형성되는 제 2 배기구를 포함하고,
상기 제 1 배기라인은 상기 제 1 배기구를 통해 상기 제 1 가스배출영역에 연결되고,
상기 제 2 배기라인은 상기 제 2 배기구를 통해 상기 제 2 가스 배출 영역에 연결되는 것을 특징으로 하는 기판 처리 장치.
6. The method of claim 5,
Wherein the process chamber includes a first exhaust port formed to be positioned in the first gas exhaust region and a second exhaust port configured to be positioned in the second gas exhaust region,
The first exhaust line is connected to the first gas exhaust area through the first exhaust port,
And the second exhaust line is connected to the second gas exhaust region through the second exhaust port.
제 5 항에 있어서,
상기 기판 처리부는 상기 가스 배출 영역에 위치하도록 상기 공정 챔버의 내주면으로부터 상기 기판 지지부의 외주면 쪽으로 돌출되어 형성된 구획부재를 포함하고,
상기 퍼지 가스 분사부는 상기 기판 지지부의 외주면 및 상기 구획부재 사이에 퍼지 가스를 분사하여 상기 제 1 가스 배출 영역 및 상기 제 2 가스 배출 영역을 공간적으로 분리하는 것을 특징으로 하는 기판 처리 장치.
6. The method of claim 5,
Wherein the substrate processing unit includes a partition member protruding from the inner circumferential surface of the process chamber to the outer circumferential surface of the substrate supporting unit so as to be positioned in the gas discharge area,
Wherein the purge gas injection unit spatially separates the first gas discharge area and the second gas discharge area by spraying purge gas between the outer circumferential surface of the substrate support and the partition member.
제 5 항에 있어서,
상기 퍼지 가스 분사부는 상기 소스 가스와 상기 반응 가스의 분사 압력에 비해 더 높은 분사 압력으로 퍼지 가스를 분사하는 것을 특징으로 하는 기판 처리 장치.
6. The method of claim 5,
Wherein the purge gas injection unit injects the purge gas at a higher injection pressure than the injection pressure of the source gas and the reactive gas.
제 4 항에 있어서,
상기 기판 처리부는 공정 공간을 마련하는 공정 챔버, 상기 공정 챔버 내부에 설치되어 적어도 하나의 기판을 지지하는 기판 지지부, 및 상기 공정 챔버의 내주면 및 상기 기판 지지부의 외주면 사이의 가스배출영역에 위치하는 구획부재를 포함하고,
상기 구획부재는 상기 가스 배출 영역이 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리되도록 상기 공정 챔버의 내주면으로부터 상기 기판 지지부의 외주면 쪽으로 돌출되어 형성되는 것을 특징으로 하는 기판 처리 장치.
5. The method of claim 4,
Wherein the substrate processing section includes a process chamber for providing a process space, a substrate support disposed inside the process chamber for supporting at least one substrate, and a partition located in a gas discharge area between an inner circumferential surface of the process chamber and an outer circumferential surface of the substrate support, Member,
Wherein the partition member protrudes from the inner circumferential surface of the process chamber to the outer circumferential surface of the substrate support portion so that the gas discharge region is spatially separated into the first gas discharge region and the second gas discharge region.
제 9 항에 있어서,
상기 공정 챔버는 상기 제 1 가스 배출 영역에 위치하도록 형성되는 제 1 배기구, 및 상기 제 2 가스 배출 영역에 위치하도록 형성되는 제 2 배기구를 포함하고,
상기 제 1 배기라인은 상기 제 1 배기구를 통해 상기 제 1 가스 배출 영역으로부터 소스 가스를 배출시키고,
상기 제 2 배기라인은 상기 제 2 배기구를 통해 상기 제 2 가스 배출 영역으로부터 반응 가스를 배출시키는 것을 특징으로 하는 기판 처리 장치.
10. The method of claim 9,
Wherein the process chamber includes a first exhaust port formed to be positioned in the first gas exhaust region and a second exhaust port configured to be positioned in the second gas exhaust region,
The first exhaust line discharging the source gas from the first gas exhaust region through the first exhaust port,
Wherein the second exhaust line discharges the reaction gas from the second gas exhaust area through the second exhaust port.
제 4 항에 있어서,
상기 기판 처리부는,
공정 공간을 마련하는 공정 챔버;
상기 공정 챔버의 상부를 덮는 챔버 리드;
상기 공정 챔버 내부에 설치되어 적어도 하나의 기판을 지지하는 기판 지지부;
상기 챔버 리드에 마련되고, 상기 소스 가스 분사 영역에 소스 가스를 분사하는 소스 가스 분사부;
상기 챔버 리드에 마련되고, 상기 반응 가스 분사 영역에 반응 가스를 분사하는 반응 가스 분사부; 및
상기 챔버 리드에 마련되고, 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역 사이에 위치한 퍼지 가스 분사 영역에 퍼지 가스를 분사하여 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역을 공간적으로 분리하는 퍼지 가스 분사부를 포함하는 것을 특징으로 하는 기판 처리 장치.
5. The method of claim 4,
The substrate processing unit may include:
A process chamber for providing a process space;
A chamber lid covering an upper portion of the process chamber;
A substrate support disposed within the process chamber to support at least one substrate;
A source gas injecting portion provided in the chamber lid and injecting a source gas into the source gas injecting region;
A reactive gas spraying unit provided in the chamber lid and spraying a reactive gas to the reactive gas spraying area; And
And a purge gas injection unit that is provided in the chamber lid and injects a purge gas into the purge gas injection area located between the source gas injection area and the reactive gas injection area to spatially separate the source gas injection area and the reactive gas injection area, Wherein the substrate processing apparatus further comprises:
KR1020160009527A 2016-01-26 2016-01-26 Apparatus for processing substrate KR102567720B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020160009527A KR102567720B1 (en) 2016-01-26 2016-01-26 Apparatus for processing substrate
CN201780015704.8A CN108780736B (en) 2016-01-26 2017-01-24 Substrate processing apparatus
JP2018539103A JP7008629B2 (en) 2016-01-26 2017-01-24 Board processing equipment
US16/073,318 US20190035607A1 (en) 2016-01-26 2017-01-24 Substrate processing apparatus
PCT/KR2017/000796 WO2017131404A1 (en) 2016-01-26 2017-01-24 Substrate processing apparatus
TW106103028A TWI723125B (en) 2016-01-26 2017-01-25 Apparatus for processing substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160009527A KR102567720B1 (en) 2016-01-26 2016-01-26 Apparatus for processing substrate

Publications (2)

Publication Number Publication Date
KR20170089288A true KR20170089288A (en) 2017-08-03
KR102567720B1 KR102567720B1 (en) 2023-08-17

Family

ID=59655573

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160009527A KR102567720B1 (en) 2016-01-26 2016-01-26 Apparatus for processing substrate

Country Status (1)

Country Link
KR (1) KR102567720B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200043707A (en) 2018-10-18 2020-04-28 세메스 주식회사 Substrate treatment apparatus, control method therefor and storage medium

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004124193A (en) * 2002-10-03 2004-04-22 Tokyo Electron Ltd Treatment apparatus
KR20090069076A (en) * 2007-12-24 2009-06-29 주식회사 케이씨텍 Apparatus for making thin film and method for making thin film
JP2010056470A (en) * 2008-08-29 2010-03-11 Tokyo Electron Ltd Film-forming apparatus and film-forming method
KR20140026824A (en) * 2012-08-23 2014-03-06 주성엔지니어링(주) Apparatus and method of processing substrate
JP2015151564A (en) * 2014-02-13 2015-08-24 東洋製罐グループホールディングス株式会社 Atomic layer deposition film formation apparatus
WO2015134156A1 (en) * 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma foreline thermal reactor system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004124193A (en) * 2002-10-03 2004-04-22 Tokyo Electron Ltd Treatment apparatus
KR20090069076A (en) * 2007-12-24 2009-06-29 주식회사 케이씨텍 Apparatus for making thin film and method for making thin film
JP2010056470A (en) * 2008-08-29 2010-03-11 Tokyo Electron Ltd Film-forming apparatus and film-forming method
KR20140026824A (en) * 2012-08-23 2014-03-06 주성엔지니어링(주) Apparatus and method of processing substrate
JP2015151564A (en) * 2014-02-13 2015-08-24 東洋製罐グループホールディングス株式会社 Atomic layer deposition film formation apparatus
WO2015134156A1 (en) * 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma foreline thermal reactor system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200043707A (en) 2018-10-18 2020-04-28 세메스 주식회사 Substrate treatment apparatus, control method therefor and storage medium

Also Published As

Publication number Publication date
KR102567720B1 (en) 2023-08-17

Similar Documents

Publication Publication Date Title
KR101397162B1 (en) Apparatus and method of processing substrate
KR102061749B1 (en) Apparatus for processing substrate
KR101503512B1 (en) Substrate processing apparatus and substrate processing method
KR102115337B1 (en) Substrate processing apparatus
KR102014877B1 (en) Substrate processing apparatus and substrate processing method
JP7008629B2 (en) Board processing equipment
KR20170022459A (en) Substrate processing apparatus andsubstrate processing method
KR20130137328A (en) Apparatus and method of processing substrate
KR101954758B1 (en) Substrate processing apparatus and substrate processing method
KR101931655B1 (en) Apparatus for processing substrate
KR101561675B1 (en) Substrate processing apparatus
KR101929481B1 (en) Substrate processing apparatus and substrate processing method
KR102567720B1 (en) Apparatus for processing substrate
KR20140032466A (en) Apparatus for processing substrate
KR101863652B1 (en) Apparatus and method of processing substrate
KR101984524B1 (en) Apparatus of processing substrate
KR101977917B1 (en) Apparatus and method of processing substrate
KR101982254B1 (en) Apparatus for processing substrate
KR20190051929A (en) Apparatus of processing substrate
KR102076512B1 (en) Substrate processing method
KR20180134809A (en) Substrate processing apparatus and substrate processing method
KR102405776B1 (en) Substrate processing apparatus andsubstrate processing method
KR20130141409A (en) Substrate processing apparatus and substrate processing method
KR20190057258A (en) Apparatus for processing substrate
KR102143146B1 (en) Apparatus for processing substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right