KR20170073537A - Substrate tuning system and method using optical projection - Google Patents

Substrate tuning system and method using optical projection Download PDF

Info

Publication number
KR20170073537A
KR20170073537A KR1020160173526A KR20160173526A KR20170073537A KR 20170073537 A KR20170073537 A KR 20170073537A KR 1020160173526 A KR1020160173526 A KR 1020160173526A KR 20160173526 A KR20160173526 A KR 20160173526A KR 20170073537 A KR20170073537 A KR 20170073537A
Authority
KR
South Korea
Prior art keywords
substrate
image
projection system
image projection
signature
Prior art date
Application number
KR1020160173526A
Other languages
Korean (ko)
Other versions
KR102544422B1 (en
Inventor
안톤 제이 데빌리어스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/974,974 external-priority patent/US9645391B2/en
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170073537A publication Critical patent/KR20170073537A/en
Application granted granted Critical
Publication of KR102544422B1 publication Critical patent/KR102544422B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/702Reflective illumination, i.e. reflective optical elements other than folding mirrors, e.g. extreme ultraviolet [EUV] illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70275Multiple projection paths, e.g. array of projection systems, microlens projection systems or tandem projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명의 기술은, 다양한 기판 속성을 튜닝하기 위해 기판 상에 광의 공간 제어된 투영(spatially-controlled projection) 또는 픽셀 기반 투영을 제공하는 시스템 및 방법을 포함한다. 기판의 표면 상에 투영된 정해진 픽셀 기반 이미지는 기판 시그니쳐에 기초할 수 있다. 기판 시그니쳐는 기판의 표면에 걸친 불균일성을 공간적으로 나타낼 수 있다. 이러한 불균일성은 에너지, 열, 임계 치수, 포토리소그래피 노광량 등을 포함할 수 있다. 이러한 픽셀 기반 광 투영은, 임계 치수, 가열 균일성, 증발 냉각, 그리고 감광제의 생성을 튜닝하는 것을 포함한 기판의 다양한 속성을 튜닝하는 데에 사용될 수 있다. 이러한 픽셀 기반 광 투영을 포토리소그래피 패터닝 프로세스 및/또는 가열 프로세스와 결합함으로써, 처리 균일성을 향상시키고 결함을 감소시킨다.The techniques of the present invention include systems and methods for providing spatially-controlled projection or pixel-based projection of light onto a substrate for tuning various substrate properties. The predetermined pixel-based image projected onto the surface of the substrate may be based on the substrate signature. The substrate signature can spatially describe the nonuniformity across the surface of the substrate. Such non-uniformity may include energy, heat, critical dimensions, photolithographic exposure dose, and the like. Such pixel-based light projection can be used to tune various attributes of the substrate, including tuning critical dimensions, heating uniformity, evaporative cooling, and the generation of photosensitizers. By combining such pixel-based light projection with a photolithographic patterning process and / or a heating process, it improves process uniformity and reduces defects.

Description

광학 투영을 이용한 기판 튜닝 시스템 및 방법{SUBSTRATE TUNING SYSTEM AND METHOD USING OPTICAL PROJECTION}[0001] SUBSTRATE TUNING SYSTEM AND METHOD USING OPTICAL PROJECTION [0002]

본 출원은, 2015년 12월 18일 출원되고, 발명의 명칭이 "Substrate Tuning System and Method Using Optical Projection"이며, 그 전체가 본원 명세서에 참고로 포함되는 미국 특허 출원 No.14/974,974를 우선권으로 주장한다.This application is related to U.S. Patent Application No. 14 / 974,974, filed on December 18, 2015, entitled "Substrate Tuning System and Method Using Optical Projection," the entirety of which is incorporated herein by reference. I argue.

본 개시(disclosure)는 일반적으로 실리콘 웨이퍼 등의 반도체 기판을 포함하는 기판의 패터닝(patterning)에 관한 것이다. 또한, 본 개시는, 반도체 디바이스 제조의 일부로서 기판 상에 막을 코팅하고 현상하는 단계를 포함하는 포토리소그래피를 포함하는 프로세스에 관한 것이다. 본 개시는 특히 포토리소그래피 및 패터닝 공정의 일부로서 패터닝된 피처(feature)의 치수 및 정확성을 제어하는 것에 관한 것이다.This disclosure relates generally to patterning of a substrate comprising a semiconductor substrate such as a silicon wafer. The present disclosure also relates to a process comprising photolithography comprising coating and developing a film on a substrate as part of semiconductor device fabrication. This disclosure is particularly directed to controlling the dimensions and accuracy of patterned features as part of a photolithographic and patterning process.

포토리소그래피는, EM 복사선(electromagnetic radiation)에 민감한 막으로 기판을 코팅하는 단계; 막 내의 잠상 패턴(latent pattern)을 규정하는 소정 패턴의 화학선에 이들 막을 노출시키는 단계; 그리고 기판 상의 물리적 또는 릴리프 패턴(physical or relief pattern)을 드러내기 위해 막의 일부를 현상(용해 및 제거)하는 단계를 포함한다. 기판을 코팅하고 현상하기 위한 제조 툴은 통상적으로 막을 추가하고, 레지스트를 추가하고, 기판을 현상하는 데에 사용될 수 있는 다수의 모듈을 포함한다.Photolithography involves coating a substrate with a film that is sensitive to EM radiation; Exposing the film to actinic radiation of a predetermined pattern defining a latent pattern in the film; And developing (dissolving and removing) a portion of the film to reveal a physical or relief pattern on the substrate. Manufacturing tools for coating and developing substrates typically include a number of modules that can be used to add a film, add a resist, and develop a substrate.

본원 명세서에 있어서의 기술은, 광 또는 전자기(EM) 복사선을 기판 상에 공간 제어식으로 투영하는 시스템 및 방법을 포함한다. 대상물(object)을 향하는 400 nm 내지 700 nm 파장의 광, 자외선(UV), 적외선 또는 임의의 파장의 광으로 화학선을 제공하거나 가열함으로써 기판을 처리할 수 있다. Techniques in the present disclosure include systems and methods for spatially controlled projection of optical or electromagnetic (EM) radiation onto a substrate. The substrate can be processed by providing or heating actinic radiation to light of a wavelength ranging from 400 nm to 700 nm toward an object, ultraviolet (UV), infrared, or any wavelength of light.

본 개시는, 기판 임계 치수(CDs; critical dimensions) 및/또는 온도를 공간적으로 변경하기 위한 기술을 제기하고(address), 반도체와, 플랫 패널 디스플레이와, 그리고 증착 시스템 및 에칭 시스템(습식 및 건식)을 포함하는 광발전 시스템에서의 진공 및 비진공 처리 시스템에 적용 가능하게 될 수 있다. 예컨대, 픽셀 기반 투영된 광 패턴은 임계 치수, 리소그래픽 노출 비균일성, 스텝퍼 노출 래그 타임(stepper exposure lag time) 등을 수정할 수 있다. 예컨대, 픽셀 기반 투영 광 패턴은 임계 치수, 리소그래피 노광 불균일성, 스테퍼 노광 래그 타임(lag time) 등을 수정할 수 있다. The present disclosure relates to a technique for spatially changing critical dimensions and / or temperatures of a substrate, a semiconductor, a flat panel display, and a deposition system and an etching system (wet and dry) To a vacuum and non-vacuum processing system in a photovoltaic system. For example, a pixel-based projected light pattern may modify critical dimensions, lithographic exposure non-uniformity, stepper exposure lag time, and the like. For example, a pixel-based projection light pattern may modify critical dimensions, lithographic exposure non-uniformity, stepper exposure lag time, and the like.

물론, 본원 명세서에서 설명하는 상이한 스텝들의 논의의 순서는 명확함을 위해 제시된 것이다. 일반적으로, 이들 스텝은 임의의 적합한 순서로 수행될 수 있다. 또한, 본원 명세서에 있어서의 상이한 피처(feature), 기술, 구성 등의 각각은 본 개시의 다른 곳에서 논의될 수도 있지만, 각 컨셉(concept)이 서로 독립적으로 또는 조합으로 실행될 수 있는 것으로 의도된다. 따라서, 본 발명을 다수의 상이한 방식으로 실시하고 관찰할 수 있다.Of course, the order of discussion of the different steps set forth herein is set forth for clarity. In general, these steps may be performed in any suitable order. Also, each of the different features, techniques, configurations, etc. in this specification may be discussed elsewhere in this disclosure, but it is intended that each concept may be implemented independently or in combination with one another. Thus, the present invention can be implemented and observed in a number of different ways.

본 개요 섹션은 본 개시 또는 청구된 발명의 모든 실시형태 및/또는 점진적으로 새로운 양태를 특정하지 않는다. 그 대신, 본 개요는 종래 기술에 비하여 상이한 실시형태 및 대응하는 신규점의 예비적 논의를 제공한다. 본 발명과 실시형태의 추가 세부사항 및/또는 가능한 관점을 위해서, 독자(reader)는 추가로 아래에 논의되는 바와 같은 본 개시의 대응 도면 및 상세한 설명 섹션으로 안내된다.This Summary section does not specify all embodiments of the present disclosure or claimed invention and / or progressively new aspects. Instead, this summary provides a preliminary discussion of the different embodiments and corresponding novelty points over the prior art. For further details and / or possible aspects of the present invention and the embodiments, the reader is directed to the corresponding drawings and detailed description sections of this disclosure as further discussed below.

첨부 도면과 함께 고려되는 다음의 상세한 설명을 참조하면, 본 발명의 다양한 실시형태 및 이에 수반되는 많은 장점이 보다 완전하게 이해될 것이다. 도면은 비례적으로 도시될 필요가 없으며, 그 대신 특징, 원리, 및 개념에 대한 예시에 역점을 둔다.
도 1은 기판을 튜닝하기 위한 예시적인 이미지 투영 시스템의 개략적인 사시도이다.
도 2는 기판을 튜닝하기 위한 예시적인 이미지 투영 시스템의 개략적인 측면도이다.
도 3은 공간적으로 변경되는 속성의 예시적인 기판 시그니쳐를 나타내는 도면이다.
도 4는 기판을 튜닝하기 위한 예시적인 이미지 투영 시스템의 개략적 측면도이다.
도 5는 기판 단면에 걸친 예시적인 열 시그니쳐의 개략 다이어그램이다.
도 6은 정해진 열 시그니쳐를 보상하는 투영 이미지를 나타내는 다이어그램이다.
도 7은 기판 단면에 걸친 예시적인 임계 치수 또는 열 시그니쳐의 간략 다이어그램이다.
도 8은 반도체 제조 툴의 개략적인 다이어그램이다.
BRIEF DESCRIPTION OF THE DRAWINGS Referring now to the following detailed description taken in conjunction with the accompanying drawings, various embodiments of the invention and many of the attendant advantages thereof will be more fully appreciated. The drawings are not necessarily drawn to scale, emphasis instead being placed upon example of features, principles, and concepts.
1 is a schematic perspective view of an exemplary image projection system for tuning a substrate.
2 is a schematic side view of an exemplary image projection system for tuning a substrate.
Figure 3 is a diagram showing an exemplary substrate signature of an attribute that is spatially altered.
Figure 4 is a schematic side view of an exemplary image projection system for tuning a substrate.
5 is a schematic diagram of an exemplary thermal signature across a substrate cross-section.
6 is a diagram showing a projection image that compensates for a predetermined thermal signature.
7 is a simplified diagram of an exemplary critical dimension or thermal signature across a substrate cross-section.
8 is a schematic diagram of a semiconductor manufacturing tool.

본원 명세서에 있어서의 기술은 다양한 기판 속성을 튜닝하기 위해 기판 상에 광의 공간 제어된 투영(spatially-controlled projection) 또는 픽셀 기반 투영을 제공하는 시스템 및 방법을 포함한다. 임계 치수, 가열 균일성, 증발 냉각, 포토리소그래피 플레어, 래스터 지연(raster delay), 및 감광제의 생성의 튜닝을 포함하는 기판의 다양한 속성을 튜닝하기 위해 이러한 픽셀 기반 광 투영이 사용될 수 있다. 이러한 픽셀 기반 광 투영은 기판의 표면에 걸친 임계 치수 균일성에 있어서의 현저한 개선을 달성할 수 있다. 이러한 픽셀 기반 광 투영을 포토리소그래피 패터닝 공정과 결합함으로써, 처리 균일성을 향상시키고 결함을 감소시킬 수 있다.The techniques herein include systems and methods for providing spatially-controlled projection or pixel-based projection of light onto a substrate for tuning various substrate properties. Such pixel-based light projection may be used to tune various attributes of the substrate, including tuning of critical dimensions, heating uniformity, evaporative cooling, photolithography flare, raster delay, and generation of photosensitizers. This pixel-based light projection can achieve a significant improvement in critical dimension uniformity across the surface of the substrate. By combining such pixel-based light projection with a photolithographic patterning process, it is possible to improve process uniformity and reduce defects.

일 실시형태에 있어서, 광원과 결합된 DLP(digital light processing) 칩, GLV(grating light valve), 레이저 갈바노미터 또는 다른 그리드 기반 마이크로 투영 기술(grid-based micro projection technology)은, 기판 상에 이미지를 (선택적으로 렌즈를 사용하여) 포커싱하고, 임계 치수, 온도 및 다른 비균일성을 수정 또는 조정할 수 있다. 시스템은 투영된 이미지의 복사선 출력을 변경하도록 구성될 수 있다. 예컨대, 가시 스펙트럼 벌브(visible spectrum bulb)가 플레이트 상에 투영된 상태의 솔리드 화이트 이미지(solid white image)는 그 특정 벌브(bulb)에 대하여 정해진 최대 온도로 플레이트를 가열할 것이다. 그 광원에 의해 생성된 광의 파장의 전체 또는 일부를 사용하거나 사용하지 않음으로써 투영된 픽셀마다 온도가 조정될 수 있다. 이러한 기술은 반도체의 정해진 베이킹 공정에 대하여 반도체를 1 nm 이내에서 베이킹하기에 충분한 매우 정밀한 제어를 제공한다. 마찬가지로, 사이에 많은 단계적 차이를 두고 투영 복사선이 없는 경우와 전투영 복사선(full projected radiation)(정해진 광원에 대하여)의 경우 사이에서, 기판의 작업면 상의 투영된 픽셀 위치마다 화학선의 양이 조정될 수 있다. 예컨대, DLP 칩 또는 레이저 갈바노미터는 기판 상에 이미지를 투영하고, (광반응제의 생성을 통한) 기판의 임의의 특정 포인트(들)에서의 열의 양 또는 CD 조정을 변경할 수 있다.In one embodiment, a digital light processing (DLP) chip, a grating light valve (GLV), a laser galvanometer or other grid-based micro projection technology, coupled with a light source, (Using an optional lens), and modify or adjust critical dimensions, temperature, and other non-uniformities. The system may be configured to change the radiation output of the projected image. For example, a solid white image with a visible spectrum bulb projected onto the plate will heat the plate to a maximum temperature determined for that particular bulb. The temperature can be adjusted for each projected pixel by using or not using all or part of the wavelength of light generated by the light source. This technique provides very precise control sufficient to bake the semiconductor within 1 nm for a given baking process of the semiconductor. Likewise, the amount of the actinic radiation can be adjusted for each projected pixel location on the working surface of the substrate, between the case of no projective radiation and the case of full projected radiation (for a given light source) with many step differences between them have. For example, a DLP chip or laser galvanometer can project an image onto a substrate and change the amount of heat or CD adjustment at any particular point (s) of the substrate (through the production of photoreactive agent).

본원 명세서에 개시된 바와 같은 투영 이미지는, 선택된 투영 시스템에 의해 지원되는 픽셀의 수 또는 픽셀 투영의 사이즈와 입사 영역(incident area)에 따라 기판 상의 개별 피처들에 대한 출력을 변경할 수 있다. 즉, 마이크로-미러 투영을 사용하여 이용 가능한 CD 제어는 그 최대 투영 해상도(projected resolution)에 따라 유연하게 되거나 미세 튜닝될 수 있다. 본원 명세서에 있어서의 시스템은, 모든 지시된 픽셀 위치의 동시 투영 또는 정해진 이미지가 라인-바이-라인으로 기판 상에 투영되는 래스터 스캔 투영으로서 기판 상에 정해진 이미지를 투영하도록 구성될 수 있다. 일 실시형태에 있어서, 픽셀 기반 광 투영 시스템은, 베이킹 장치, 노광 챔버, 분배 챔버, 열판(hotplate), 에칭 챔버 등의 제어 컴퓨터에 연결되어 있다. 픽셀 기반 광 투영 시스템은, 렌즈 시스템을 통하여 기판이 정렬되어 있는 노광 챔버 내로 선택적으로 포커싱될 수 있다. 이어서, 기판에 또는 기판 상에 투영된 광은, 광산(photo acid)을 더 많이 생성하는 등에 의해 기판의 원하는 영역을 조정한다. 이러한 방법 및 시스템에 대해서는 여러 용례가 있다. 하나의 용례는 온도 균일성을 유지하는 것이다. 다른 용례는 반도체 제조의 일부로서 제조되는 웨이퍼 상에서의 임계 치수를 감소시키거나 증가시키는 것이다. A projection image as disclosed herein may change the output for individual features on the substrate depending on the number of pixels supported by the selected projection system or the size of the pixel projection and the incident area. That is, the available CD control using micro-mirror projection can be flexible or fine tuned according to its maximum projected resolution. The system herein may be configured to project a given image onto a substrate as a raster scan projection in which a co-projection or a predetermined image of all indicated pixel locations is projected onto a substrate in a line-by-line manner. In one embodiment, the pixel-based light projection system is connected to a control computer such as a baking device, an exposure chamber, a distribution chamber, a hotplate, an etch chamber, and the like. The pixel-based light projection system may be selectively focused into an exposure chamber through which the substrate is aligned through the lens system. The light projected onto or onto the substrate then adjusts the desired area of the substrate, such as by generating more photo acid. There are several examples of such methods and systems. One application is to maintain temperature uniformity. Another application is to reduce or increase the critical dimension on a wafer that is fabricated as part of semiconductor manufacturing.

도 1은 예시적인 기판 튜닝 시스템의 개략 다이어그램을 도시한다. 처리 챔버(108)는 실리콘 웨이퍼, 평판(flat panel) 등의 기판을 수용하도록 크기가 정해질 수 있다. 처리 챔버(108)는, 보다 큰 툴(tool) 내에 모듈이 실장되는 등에 의해 (기판의 사이즈에 기초하여) 상대적으로 최소의 사이즈를 가질 수 있다. 기판 정렬 시스템(107)을 이용하여 기판 상의 작업 가능한 영역 상에서 이미지를 정렬할 수 있고, 이들 영역은 0.1 nm 이내에서 정렬될 수 있다. 기판(105)은 기판 홀더 상에서 위치 결정될 수 있다. 기판(105)은 임의의 타입의 코팅을 갖는 종래의 반사형 또는 비반사형 실리콘 디스크가 될 수 있다.Figure 1 shows a schematic diagram of an exemplary substrate tuning system. The processing chamber 108 may be sized to accommodate substrates such as silicon wafers, flat panels, and the like. The processing chamber 108 may have a relatively small size (e.g., based on the size of the substrate), such as by mounting the module within a larger tool. The substrate alignment system 107 can be used to align the images on the operable area on the substrate, and these areas can be aligned within 0.1 nm. The substrate 105 may be positioned on a substrate holder. The substrate 105 can be a conventional reflective or non-reflective silicon disk having any type of coating.

시스템은 처리 챔버(108) 내에, 그에 인접하여, 또는 그로부터 원거리에 배치될 수 있는 광원(102)을 포함한다. 광원(102)은 가시 광원, 적외선 광원, UV 광원, 레이저 또는 다른 파장의 광을 생성하는 벌브와 같은 임의의 여러 광원으로 될 수 있다. 광원 특징은 처리되는 특정 기판 및 특정 튜닝 용례에 맞춰질 수 있다(또는 선택될 수 있다). 일부 기판에 대해서는, 60 Watt(또는 동등한) 소스가 충분할 수 있는데, 이 소스는 1080p(수직 해상도와 프로그레시브 스캔의 1080 수평 라인)의 DLP 해상도와 400-700 nm의 파장 범위를 갖는다. 다른 용례는 더 높은 파워와 더 높은 해상도를 필요로 할 수 있다. 광원은 원하는 특정 파장에 기초하여 선택될 수 있다. 예컨대, 다른 용례를 위해서는 화이트 또는 적외선 소스가 선택될 수 있는 반면, 특정 용례를 위해서는 자외선 광원이 선택될 수 있다. 광원 선택은 특정 기판 및/또는 막의 흡수 특성에 기초할 수 있다. DLP, GLV, 레이저 갈바노미터 또는 다른 광 투영 기술에 의해 지원되는 임의의 해상도가 사용될 수 있다. The system includes a light source 102 that may be disposed within, proximate to, or remotely from the process chamber 108. The light source 102 may be any of a variety of light sources, such as a visible light source, an infrared light source, a UV light source, a bulb that produces a laser or other wavelength light. The light source feature may be adapted (or selected) to the specific substrate being processed and the specific tuning application. For some substrates, a 60 Watt (or equivalent) source may suffice, which has a DLP resolution of 1080p (1080 horizontal lines of vertical resolution and progressive scan) and a wavelength range of 400-700 nm. Other applications may require higher power and higher resolution. The light source may be selected based on the desired specific wavelength. For example, a white or infrared source may be selected for other applications, while an ultraviolet light source may be selected for a particular application. The light source selection may be based on the absorption characteristics of the particular substrate and / or film. Any resolution supported by DLP, GLV, laser galvanometer or other light projection technology may be used.

광 투영 디바이스(103)는 레이저 갈바노미터, DLP 칩, GLV(Grating light valve), 또는 다른 광 투영 기술로서 실시될 수 있다. DLP 칩과 GLV는 통상적으로 사용할 수 있다. 디지털 레이저 갈바노미터도 또한 알려져 있다. 기판(105) 상에 투영되는 바와 같은 기판(105)의 사이즈와 최소 수차(minimal aberration)를 갖는 이미지를 생성하는 것을 돕기 위하여 렌즈 시스템(104)을 선택적으로 사용할 수 있다. 투영 라인(106)은, 동시 투영 또는 래스터 기반 투영에 의하여 기판(105)을 향하여 투영되는 이미지 필드 또는 비디오를 표시한다. 이 비디오 또는 이미지는 기판에 걸친 CD에 있어서의 차이를 식별하도록 구성된 계측 장치로부터의 동적 피드백 및/또는 예상 CD 값들에 기초하여 설계될 수 있다. 아이템(item)(101)은 기판의 다른 부분과 상이한 임계 치수를 갖는 기판(105) 상의 예시적 위치를 나타낸다. 투영된 이미지(109)는 아이템(101) 중 하나의 형상으로 광을 투영한다. 아이템(101)이 기판(105)의 나머지 표면 영역에 비하여 큰 CD 값들을 갖게 되면, 투영된 이미지(109)는, 예컨대 과잉 재료의 제거를 돕는 광활성제(photo-active agent)의 생성을 증가시키는 등에 의해, 기판(105)의 전체 표면에 걸쳐 CD 값을 균일하게 하기 위해 이들 영역에 투영된 화학선을 증가시킬 수 있다.The light projection device 103 may be embodied as a laser galvanometer, a DLP chip, a grating light valve (GLV), or other light projection technique. DLP chips and GLVs are commonly used. Digital laser galvanometers are also known. The lens system 104 may optionally be used to help produce an image having a size and minimal aberration of the substrate 105 as projected onto the substrate 105. [ Projection line 106 displays an image field or video that is projected toward substrate 105 by co-projection or raster-based projection. The video or image may be designed based on dynamic feedback and / or predicted CD values from a metrology device configured to identify differences in the CD over the substrate. An item 101 represents an exemplary location on a substrate 105 having a critical dimension that is different than other portions of the substrate. The projected image 109 projects light in the shape of one of the items 101. Once the item 101 has large CD values relative to the rest of the surface area of the substrate 105, the projected image 109 can be used to increase the production of a photo-active agent, , It is possible to increase the actinic radiation projected on these areas to make the CD value uniform across the entire surface of the substrate 105. [

따라서 본원 명세서에 있어서의 이러한 시스템은, 임계 치수의 미세 제어를 위한 미세 및 개략 제어 시스템(fine and rough control system)을 결합한다. 이에 따라, 투영된 픽셀이 턴-온 또는 턴-오프될 수 있는 모든 위치가, 열, 온도, CD 수정, 및 광 반응성(photo reactivity)에 대한 미세 튜닝을 행할 수 있는 영역으로 된다.Thus, such a system in the present specification combines a fine and rough control system for fine control of critical dimensions. Accordingly, all positions at which the projected pixel can be turned on or off are regions that can perform fine tuning for heat, temperature, CD correction, and photo reactivity.

도 5는 정해진 기판을 위한 예시적인 CD 시그니쳐를 나타내는 간략 그래프이다. 이것은 기판의 단면에 걸친 CD 시그니쳐일 수 있다. 이 예시적인 CD 시그니쳐에서는, CD에 있어서의 상대적 차이를 측정하기 위한 19개의 포인트 위치가 있다. 이 그래프의 상부(top)는 상대적으로 큰 CD 편차 또는 CD 값을 나타낸다. 그래프의 하부(bottom)는 CD에 있어서의 상대적 차이를 또한 나타낼 수 있다. 그래프의 하부는 매우 작은 CD를 나타낼 수 있는 반면, 그래프의 상부는 매우 큰 CD를 나타내고 있다. 기판에 걸쳐 CD 편차가 존재하며, 평면 위치에 따른 CD 편차는 열 시그니쳐의 일 실시형태이다.5 is a simplified graph showing exemplary CD signatures for a given substrate. This can be a CD signature across the cross section of the substrate. In this exemplary CD signature, there are 19 point positions for measuring the relative difference in the CD. The top of this graph represents a relatively large CD deviation or CD value. The bottom of the graph can also indicate the relative difference in CD. The bottom of the graph can represent very small CDs, while the top of the graph represents very large CDs. There is a CD deviation across the substrate, and the CD deviation along the plane position is one embodiment of the thermal signature.

도 6은 도 5에 표시된 CD 시그니쳐로부터 CD 편차를 수정하기 위한 투영된 이미지를 나타내는 다이어그램이다. 환언하면, 투영된 이미지는 변동을 갖는 CD 시그니쳐를 보상한다. 예컨대, 도 5의 CD 시그니쳐로부터 포인트 1, 9, 10, 17, 및 18은 상대적으로 작은 CD를 갖는다. 도 6의 투영된 이미지는, 이들 위치에서 투영된 광을 갖지 않으며, 이에 의해 광반응제가 증가하지 않게 된다. 도 5에서의 CD 시그니쳐로부터 포인트 위치 2와 12는 상대적으로 큰 CD를 갖고, 도 6의 이미지 투영에서, 이들 포인트 위치는, 정해진 광원으로부터 가능한 광반응제의 최대 생성을 초래하는 전체 광/복사선 노출을 나타내는 화이트로서 도시되어 있다. 다른 포인트 위치는, CD 값들의 완만한 변동을 나타내는 다양한 회색(grey)의 음영이 가변 광 투영에 의해 마찬가지로 수정되는 것으로 예시되어 있다. 도 7은 도 5의 CD 시그니쳐에 적용되는 도 6의 투영된 이미지로부터의 결과인 변경 또는 수정된 CD 시그니쳐를 나타낸다. 도 5로부터의 CD 시그니쳐에 비교하여, 실질적으로 CD 편차가 작도록, 최대 CD 값들이 수정된다. 또한, 원하는 것보다 큰 CD로부터 머티리얼을 제거하도록 베이킹 및/또는 현상하는 임의의 중간 스텝 후에 수정된 CD 시그니쳐를 실현할 수도 있다. Fig. 6 is a diagram showing a projected image for correcting the CD deviation from the CD signature shown in Fig. 5; Fig. In other words, the projected image compensates for the CD signature with variation. For example, points 1, 9, 10, 17, and 18 from the CD signature of FIG. 5 have a relatively small CD. The projected image of Figure 6 does not have the projected light at these locations, thereby preventing the photoreactive agent from increasing. Point positions 2 and 12 from the CD signature in FIG. 5 have relatively large CDs, and in the image projection of FIG. 6, these point positions are the total light / radiation exposure that results in the maximum generation of a photoreactive agent from a given light source ≪ / RTI > The other point positions are exemplified by varying the shades of the various gray, which represent gentle variation of the CD values, by the variable light projection as well. Figure 7 shows a modified or modified CD signature resulting from the projected image of Figure 6 applied to the CD signature of Figure 5; Compared to the CD signature from FIG. 5, the maximum CD values are modified such that the CD deviation is substantially small. It is also possible to realize a modified CD signature after any intermediate step of baking and / or developing to remove the material from the CD that is larger than desired.

도 5에 예시된 기판 시그니쳐는 간략화된 선형 시그니쳐이다. 기판은 통상적으로 평면이고 이에 따라 균일성 변동은 기판 상의 평면 또는 X, Y, 위치에 기초하여 변경될 수 있다. 도 3은 예시적인 임계 치수 시그니쳐를 도시한 도면이다. 이 임계 치수 시그니쳐는 마이크로제조 공정에서 사용되는 웨이퍼 등의 정해진 기판의 표면 상의 포인트 위치로서 매핑된다. CD 시그니쳐 예시 상의 여러 포인트는 암(darkness) 또는 명(lightness)의 정도가 달라진다. CD 시그니쳐 예시 상의 포인트 위치에서의 이들 상대적 차이는 CD 균일성에 있어서의 상대적 차이를 나타낸다. 예컨대, 완전히 깜깜한 포인트 위치는 매우 작은 CD를 갖는 영역을 나타낼 수 있는 반면, 완전히 밝거나 보다 밝은 포인트 위치는 매우 큰 CD를 갖는 영역을 나타낼 수 있다. 이 CD 시그니쳐는 관찰된 및/또는 측정된 치수에 기초하여 생성될 수 있다.The substrate signature illustrated in Figure 5 is a simplified linear signature. The substrate is typically planar and accordingly the uniformity variation can be changed based on the plane or X, Y, position on the substrate. 3 is a diagram illustrating exemplary critical dimension signatures. This critical dimension signature is mapped as a point location on the surface of a given substrate, such as a wafer, used in a microfabrication process. Several points on the CD signature example vary in degree of darkness or lightness. These relative differences in point location on the CD signature example represent relative differences in CD uniformity. For example, a completely dark point position may represent a region with a very small CD, whereas a fully bright or lighter point position may represent a region with a very large CD. This CD signature can be generated based on observed and / or measured dimensions.

또한, 도 3에서의 이러한 기판 시그니쳐 예시는, 광의 정해진 투영이 처리되는 기판 상에서와 마찬가지로 보일 수 있는 것을 나타낼 수 있다. 정해진 광원은 UV 또는 적외선 등일 수 있고, 도 3은 투영된 에너지 시스니쳐가 어떻게 보이는가를 나타내거나 또는 에너지 시그니쳐의 누적 효과가 어떻게 보이는가를 나타낼 수 있다. 해칭 패턴(hatching pattern)의 암의 편차는 광 세기, 진폭 및/또는 주파수를 나타낼 수 있다. 따라서 전체 세기(full intensity)의 투영된 광을 수광하는 기판 표면 상의 포인트 위치는 예시에서 밝은 영역 또는 화이트 영역을 포함할 수 있다. 마찬가지로, 화이트 스페이스(white space)가 적은 포인트 위치는 이들 위치에서 투영되는 광의 중간 세기 또는 부분 세기를 가질 수 있다. 본 예시에서 흑색 사각형(black square)으로서 도시된 포인트 위치는, 광을 수광하지 않거나 상대적으로 적게 노광될 수도 있다. 기판 시그니쳐는 불균일성 또는 시그니쳐의 타입에 기초하여 시각적 표시가 달라질 수 있다. 예컨대, CD 시그니쳐는 스크라이브 레인(scribe lanes)에 대응하는 일부의 감지 가능 라인(perceptible line)을 갖는 시그니쳐로서 보일 수도 있다. 래스터 지연 불균일성을 나타내는 기판 시그니쳐는 기판 표면에 걸쳐 정해진 스텝퍼(stepper)/스캐너의 진행의 증거(evidence)를 나타낼 수 있다. 열 불균일성에 대한 기판 시그니쳐는 원형 패턴을 가질 수도 있고 히트 존 인터페이스(heat zone interface)의 차이를 나타낼 수도 있다.In addition, this substrate signature example in FIG. 3 may indicate that a given projection of light can be viewed as on a substrate being processed. The light source may be UV or infrared, and FIG. 3 may show how the projected energy system looks or how the cumulative effect of the energy signature looks. The deviation of the arm of the hatching pattern may indicate light intensity, amplitude and / or frequency. Thus, the point position on the substrate surface that receives the projected light at full intensity may include a bright region or a white region in the example. Likewise, point locations with less white space may have medium or partial intensity of light projected at these locations. The point locations shown as black squares in this example may not receive light or may be relatively less exposed. The substrate signature may vary in visual indication based on the type of unevenness or signature. For example, the CD signature may appear as a signature with a portion of the perceptible line corresponding to the scribe lanes. The substrate signature indicative of raster delay non-uniformity may indicate the progress of the stepper / scanner as determined over the substrate surface. The substrate signature for thermal non-uniformity may have a circular pattern and may indicate a difference in the heat zone interface.

도 4는 도 1과 유사한 도면으로, 기판(105)을 튜닝하기 위한 광학적 투영 튜닝 시스템의 예시적 실시형태를 예시한다. 기판(105)은, 패턴 전사를 위한 하드마스크 또는 다른 패터닝 층 또는 기억 층(memorization layer)으로 될 수 있는 하부층(underlying layer)(110)뿐만 아니라 포토레지스트 막으로 될 수 있는 막(115)을 포함할 수 있다. 광 투영 디바이스(103) 또는 부가 컨트롤러(accompanying controller)는 기판(1050 상에 투영하기 위한 픽셀 기반 이미지를 접수할 수 있다. 이 픽셀 기반 이미지의 투영은 투영된 이미지(109)로 보인다. 기판(105)의 일부는 조사되지만, 다른 부분은 조사되지 않는다. 포토리소그래피 노광에 사용되는 마스크 기반 광 투영 대신에, 픽셀 기반 이미지 투영이 사용된다. 투영 중에, 투영된 이미지는 실시간 피드백 또는 다른 튜닝 목적물에 응답하는 것과 같이 변경되거나 달라질 수 있다.FIG. 4 is a view similar to FIG. 1, illustrating an exemplary embodiment of an optical projection tuning system for tuning a substrate 105. The substrate 105 includes a film 115 that can be a photoresist film as well as an underlying layer 110 that can be a hard mask or other patterning or memory layer for pattern transfer can do. A light projection device 103 or an associated controller may receive a pixel based image for projection onto a substrate 1050. The projection of this pixel based image is shown as a projected image 109. The substrate 105 In place of the mask-based light projection used in photolithographic exposure, a pixel-based image projection is used. During projection, the projected image is projected in real time feedback or in response to other tuning objects And may be changed or changed.

투영되는 특정 이미지 또는 비디오는 처리 공정[정적 조정] 전에 또는 동적 조정을 위한 처리 공정 중에 데이터를 모을 수 있는 하나 이상의 센서에 기초할 수 있다. 피드백 루프에서, 정해진 센서 또는 센서 어레이는 (CD 시그니쳐 등의) 데이터를 수집하고 나서, 이 수집된 데이터를 컨트롤러로 전송할 수 있다. 이어서, 컨트롤러는 수집된 데이터 및/또는 필요한 열 또는 광 수정(CD 수정)인지 여부에 기초하여 기판 상에 유영되는 이미지를 계산할 수 있다. PID 컨트롤러(proportional-integral-derivative controller)를 사용하여 열 시그니쳐 피드백을 구현할 수 있다. 중심으로부터 에지(edge)로의 요동(oscillation)과 같은, 기판에 걸친 임의의 요동에 기초하여 투영된 이미지가 변경될 수 있다.The particular image or video being projected may be based on one or more sensors that can collect data prior to or during processing for static adjustment. In the feedback loop, a given sensor or sensor array can collect data (such as a CD signature) and then send the collected data to the controller. The controller can then calculate the images that are floated on the substrate based on the collected data and / or whether it is necessary heat or optical correction (CD correction). Thermal signature feedback can be implemented using a proportional-integral-derivative controller (PID controller). The projected image can be changed based on any fluctuation across the substrate, such as oscillation from the center to the edge.

광 세기 또는 진폭은 기판의 표면 상의 물질의 타입에 기초하여 조정될 수 있다. 일부 폴리머는 낮은 반사율을 가질 수 있지만, 실리콘 및 금속과 같은 다른 물질은 최대 반사율 값을 가질 수 있다. 하나의 특정의 예시적인 물질, 즉 구리에 있어서, 반사율은 45% 내지 99%가 될 수 있다. 그럼에도 불구하고, 광이 구리에 입사될 때, 구리의 표면은 가열될 것이다. 따라서, 본원 명세서에 있어서의 기술은 대부분의 기판 물질에 적용될 수 있다.The light intensity or amplitude can be adjusted based on the type of material on the surface of the substrate. Some polymers may have low reflectance, but other materials such as silicon and metals may have maximum reflectance values. For one particular exemplary material, copper, the reflectivity can be from 45% to 99%. Nevertheless, when light enters the copper, the surface of the copper will be heated. Thus, the teachings herein may be applied to most substrate materials.

도 2는 기판 처리를 개선하기 위한 예시적 시스템의 측면 다이어그램이다. 기판(105)은 히트 척(heat chuck)으로 구현되거나 히트 척을 포함할 수 있는 기판 홀더(130)에 놓인다. (처리되는 기판 측에 마주하여) 기판 위에는, 레이저 갈바노미터, DLP 프로젝터 등이 광 투영 디바이스(103)의 일부로서 기판 표면 상에 이미지를 투영하도록 위치 결정될 수 있다. 정해진 챔버 내의 공간 상황(space availabiity)에 기초하여 프로젝터의 위치가 달라질 수 있다. 예컨대, 마이크로제조 툴의 다수의 가열 모듈은 상대적으로 짧다. 이들 실시형태에서, 다수의 개구(aperture)(135) 및/또는 렌즈 시스템을 사용하여 기판 위의 임의의 제한된 수직 공간 내에 이미지를 투영할 수 있다. 예시적 높이 및 폭 측정치가 도시되어 있지만, 이것은 비제한적이며, 단지 하나의 특정 실시형태를 예시하기 위한 것이다.2 is a side diagram of an exemplary system for improving substrate processing. The substrate 105 may be embodied as a heat chuck or placed on a substrate holder 130, which may include a heat chuck. (Facing the substrate side to be processed), a laser galvanometer, a DLP projector, or the like, can be positioned to project an image on the substrate surface as part of the light projection device 103. [ The position of the projector may be changed based on the space availabity in the predetermined chamber. For example, multiple heating modules of a micro-fabrication tool are relatively short. In these embodiments, a plurality of apertures 135 and / or a lens system may be used to project an image in any limited vertical space above the substrate. Although exemplary height and width measurements are shown, this is non-limiting and is intended to illustrate only one particular embodiment.

기판 튜닝 또는 가열 모듈 등에서의 사용을 위해 전용의 광 투영 시스템(purpose-built light projection system)이 제조될 수 있다. 대안으로, 종래의 레이저 갈바노미터 및 DLP 프로젝터를 이용할 수도 있다.A dedicated purpose-built light projection system can be fabricated for use in substrate tuning or heating modules and the like. Alternatively, conventional laser galvanometers and DLP projectors may be used.

다른 실시형태는 상이한 파장의 램프를 사용하여 단일 기판 상에 광을 투영할 수 있다. 이들 램프는 모두 광 투영에 기여할 수도 있고, 또는 선택적으로 활성화될 수도 있다. 마찬가지로, 기판 처리 모듈마다 다수의 프로젝터가 사용될 수 있다. 다른 실시형태에서, 광 투영은 3D 그래픽 등과 같이, 미세 튜닝을 위한 주파수 기반 출력을 가질 수 있다. 이미지 기반 광 프로젝터에 추가하여, 투영된 이미지에 기초하는 CD 시그니쳐의 동적 조정을 위하여 정해진 CD 시그니쳐를 실시간으로 식별하는 카메라(143) 또는 다른 계측 장치가 기판(105)을 고려하여 위치 결정될 수 있다. 다른 실시형태에서, 센서 어레이가 설치되고 PID 컨트롤러의 피드백 루프에 접속될 수 있다.Other embodiments can project light onto a single substrate using lamps of different wavelengths. Both of these lamps may contribute to light projection, or may be selectively activated. Similarly, a plurality of projectors may be used for each substrate processing module. In another embodiment, the light projection may have a frequency based output for fine tuning, such as a 3D graphic or the like. In addition to the image-based light projector, a camera 143 or other metering device that identifies a given CD signature in real time for dynamic adjustment of the CD signature based on the projected image may be positioned in view of the substrate 105. In another embodiment, a sensor array may be installed and connected to the feedback loop of the PID controller.

열판 상에 위치 결정된 기판 상에 열 시그니쳐 기반 이미지를 투영하는 것은 단지 본원 명세서에 있어서의 시스템 및 방법의 일 실시형태이다. 반도체 제조의 여러 스테이지에서 기판을 처리하기 위한 다수의 추가적인 용례 및 실시형태가 있다. 따라서 용례는 리소그래피에 한정되지 않는다. 다른 실시형태에서는, 기판의 코팅(예컨대, 포토레지스트에 의한 코팅) 중에, 투영된 광-열 기술(projected light-heat technique)을 사용할 수 있다. 액체의 코팅 중에 스피닝 기판 상에 이미지를 투영하는 것은 증발 냉각의 충격을 경감시키는 것을 도울 수 있다. 이러한 구성의 장점은, 양호한 코팅 균일성을 제공하면서 낮은 분배 볼륨(lower dispense volume)이 요구된다는 것이다. 스핀 챔버(spin chamber) 내에 광 투영을 방해하는 비투과성 물체가 있으면, 기판의 세그먼트 상에 적어도 광이 투영될 수 있고, 이는 기판의 회전으로 인해, 본질적으로 주파수 기반 투영으로 된다(이것은 방사상 세그먼트만이 정해진 시점에서 조명될 수 있는 실시형태를 위한 것임).Projecting a thermal signature based image onto a substrate positioned on a hot plate is merely an embodiment of the systems and methods herein. There are a number of additional applications and embodiments for processing substrates at various stages of semiconductor manufacturing. Therefore, the application is not limited to lithography. In other embodiments, a projected light-heat technique may be used during coating of the substrate (e.g., coating with a photoresist). Projecting an image onto the spinning substrate during coating of the liquid can help alleviate the impact of evaporative cooling. An advantage of this configuration is that a lower dispense volume is required while providing good coating uniformity. If there is an impermeable object in the spin chamber that interferes with the projection of light, at least light can be projected onto the segment of the substrate, which, due to the rotation of the substrate, is essentially frequency-based projection For an embodiment that can be illuminated at a fixed point in time).

다른 실시형태에서, PAB(post application bake) 및 PEB(post exposure bake) 양자에 광 이미지 투영이 사용될 수 있다. 복잡한 EBR(complex edge bead removal)에 광 이미지 투영을 사용할 수 있고, EBR을 위해 "드로운되거나(drawn)" 투영될 수 있는 영역을 제거(clear out)할 수 있다. 광 이미지 투영을 이용하여, 어레이를 프린트하는 웨이(way)로서 블록 공중합체의 방향성 자동 조립(DSA; directed self-assembly)을 위한 영역을 규정할 수 있다. 즉, 방향성 자동 조립을 어레이로 프린트하기에 충분하게 노광이 부스팅될(boosted) 수 있고, 나머지 영역은 커트 마스크(cut mask)를 사용하지 않고 블록 공중합체가 자동 조립되도록 노광되지 않으며, 이에 의해 일부 마이크로제조 공정에서의 공정 스텝을 세이브한다.In another embodiment, optical image projection can be used for both post application bake (PAB) and post exposure bake (PEB). Optical image projection can be used for complex complex edge bead removal (EBR), and regions that can be "drawn" projected for EBR can be cleared out. Optical image projection can be used to define areas for directed self-assembly (DSA) of the block copolymer as a way to print the array. That is, the exposure may be boosted enough to print the directional auto-assembly into the array, and the remaining area is not exposed to auto-assemble the block copolymer without the use of a cut mask, Save the process steps in the micro-fabrication process.

습식 또는 건식 기판 세정 시스템을 갖는 실시형태를 본원 명세서에서 사용할 수 있다. 습식 세정 시스템에 의하면, 투영된 광 이미지는 센터-투-에지(center-to-edge) 온도 균일성을 도울 수 있다. 스피닝 기판 상에 액체가 분배되는 일부 공정에서, 막의 두께는 에지에 비해 기판의 중심을 향할수록 더 크다. 그러나, 본원 명세서에 있어서의 기술은 방사상 온도 균일성도 도울 수 있다. 분배 노즐(dispense nozzle) 및 분배 아암(dispense arm)의 위치에 따라, 분배 챔버에서 투영되는 이미지는 본질적으로 부분 이미지(partial image)[예컨대, 파이 형상 이미지(pie-shaped image)]로 될 수도 있다. 그럼에도 불구하고, 표면의 전체가 조사되거나 투영된 이미지를 통과할 수 있기 때문에, 특히 스피닝 기판에는 기판의 일부에만 투영을 행하는 것이 효과적일 수 있다. UV 광을 사용하여 이미지를 투영하는 것은, 예컨대 대부분의 조사(irradiation)를 직접 제공하는 UV 램프와 조합될 수 있는 공간적 광 증대 기술로서, 화학물질의 반응성에 더욱 도움을 주어, 이들 화학물질의 방사상 반응성을 향상시킬 수 있다. UV 광 증대 및 투영을 위해, 석영, 플루오르화칼슘, 또는 다른 투명 도전성 매체와 같이, UV 전파(transmission)를 가능하게 하는 광학기술이 선택되어야 한다. 예컨대, 다수의 온도 증대 및 화학선 증대 실시형태에 있어서, 증대의 양은 통상적으로 1차 열 또는 화학선 처리의 15% 미만이다. 예컨대, 포토레지스트 막을 갖는 정해진 기판은 스캐너 또는 스테퍼 툴에 의해 마스크 기반 패턴으로 노광된다. 이러한 포토리소그래피 노광에 의하여, 광의 선량(light dosage)은 각 다이 위치에서 본질적으로 동일하다. 그리고, 본원 명세서에 있어서는, 기판의 포인트 위치에 따라 비교적 소량 및 상이한 양으로 노광량을 증대시키는 실시형태를 사용할 수 있다.Embodiments having a wet or dry substrate cleaning system may be used herein. With a wet cleaning system, the projected light image can help center-to-edge temperature uniformity. In some processes in which liquid is dispensed onto a spinning substrate, the thickness of the film is greater toward the center of the substrate than to the edge. However, the techniques herein may also help in radial temperature uniformity. Depending on the location of the dispense nozzle and the dispense arm, the image projected in the dispensing chamber may be essentially a partial image (e.g., a pie-shaped image) . Nonetheless, it may be effective to perform projection only on a part of the substrate, especially on the spinning substrate, since the entire surface may be irradiated or passed through the projected image. Projecting an image using UV light is a spatial light enhancement technique that can be combined with, for example, a UV lamp that directly provides most of the irradiation, further contributing to the reactivity of the chemical, The reactivity can be improved. For UV light enhancement and projection, optical techniques that enable UV transmission should be chosen, such as quartz, calcium fluoride, or other transparent conductive media. For example, in many temperature increase and chemically amplify embodiments, the amount of increase is typically less than 15% of the primary heat or actinic radiation treatment. For example, a given substrate with a photoresist film is exposed in a mask-based pattern by a scanner or a stepper tool. With this photolithographic exposure, the light dosage of light is essentially the same at each die position. In the present specification, an embodiment in which the amount of exposure is increased in a relatively small amount and in a different amount depending on the point position of the substrate can be used.

명백한 바와 같이, 본원 명세서에 개시된 시스템 및 방법을 위한 다수의 다양한 실시형태가 존재한다.As will be apparent, there are many different embodiments for the systems and methods disclosed herein.

한 실시형태는 기판을 처리하는 시스템 또는 장치를 포함한다. 이 시스템은 처리용 기판을 수용하도록 크기가 정해지고 구성되는 챔버; 상기 챔버 내에 위치 결정되고 상기 기판을 유지하도록 구성된 기판 홀더를 포함한다. 이 시스템은, 상기 기판이 챔버 내에 있을 때 기판의 상면(즉, 작업면 또는 처리 대상의 표면) 상에 이미지를 투영하도록 구성된 이미지 투영 시스템을 포함한다. 이미지 투영 시스템은 마이크로-미러 투영 디바이스를 사용하여 이미지를 투영한다. 마이크로-미러 투영 디바이스는, 예컨대, 레이저 빔을 반사하는 제어 가능한 미러 또는 투영되는 이미지의 픽셀에 대응하는 마이크로스코픽 미러의 어레이를 포함할 수 있다. 이 시스템은, 상기 이미지 투영 시스템을 제어하도록 구성되고, 상기 이미지 투영 시스템이 상기 기판의 작업면 상에 픽셀 기반 이미지(pixel-based image)를 투영하게 하는 컨트롤러를 포함한다. 이미지 투영 시스템은 광원을 포함하고, 픽셀 기판 투영 시스템을 이용할 수 있다. 각각의 투영된 픽셀은, 광 파장, 광 세기, 광 주파수 및 광 진폭으로 이루어진 군에서 선택되는 파라미터에 의해 변경될 수 있다. 이미지 투영 시스템은, 표면 속성(열, 노광 선량, 임계 치수 편차)을 변경시키는 픽셀 기반 표현일 수 있는 미리 정해진 기판 시그니쳐에 기초하여 화상을 투영하도록 구성될 수 있다. 광원은 정해진 기판에 화학선을 제공하도록 구성될 수 있다. 광원은, 자외선과 같은, 400 nm 미만의 파장의 복사선을 제공하도록 구성될 수 있다. 기판 상의 특정의 복사선 민감성 막에 기초하여 특정의 스펙트럼 라인(들)을 갖도록 정해진 광원을 선택할 수 있다. 미리 정해진 기판 시그니쳐에 기초하는 투영은 기판 표면의 상이한 특징들을 공간적으로 매핑하는 기판 시그니쳐를 포함할 수 있다.One embodiment includes a system or apparatus for treating a substrate. The system includes a chamber sized and configured to receive a processing substrate; And a substrate holder positioned within the chamber and configured to hold the substrate. The system includes an image projection system configured to project an image onto an upper surface of the substrate (i.e., a work surface or a surface to be processed) when the substrate is in the chamber. An image projection system projects an image using a micro-mirror projection device. The micro-mirror projection device may include, for example, a controllable mirror that reflects the laser beam or an array of micro-scopic mirrors that corresponds to the pixels of the image being projected. The system includes a controller configured to control the image projection system and to cause the image projection system to project a pixel-based image on a working surface of the substrate. The image projection system includes a light source and may utilize a pixel substrate projection system. Each projected pixel can be changed by a parameter selected from the group consisting of optical wavelength, light intensity, optical frequency and optical amplitude. The image projection system may be configured to project an image based on a predetermined substrate signature, which may be a pixel based representation that changes surface properties (heat, exposure dose, critical dimension deviation). The light source may be configured to provide actinic radiation to a given substrate. The light source may be configured to provide radiation at a wavelength of less than 400 nm, such as ultraviolet radiation. A defined light source may be selected to have a particular spectral line (s) based on the particular radiation sensitive film on the substrate. Projections based on a predetermined substrate signature may include a substrate signature that spatially maps different features of the substrate surface.

다른 실시형태에 있어서, 정해진 투영 이미지는 기판 시그니쳐와 정해진/특정의 에칭 챔버의 CD 에칭 시그니쳐 양자에 기초할 수 있다. 정해진 에칭 챔버의 CD 에칭 시그니쳐는, 정해진 에칭 패턴 전사 공정으로부터 생기는 다양한 에칭 불균일성을 나타내거나 인식한다. 예컨대, 플라즈마 기반 건식 에칭 챔버에 있어서는, 플라즈마 반응기의 특정의 유형에 따라, 통상적으로 기판의 표면에 걸쳐 에칭 불균일성이 존재한다. 예컨대, 플라즈마는 센터-투-에지 밀도 편차 및/또는 방위각 밀도 편차를 가질 수 있다. 따라서 기판의 일부 영역에서는 다른 영역에 비하여 다소 많거나 적은 에칭이 발생할 수 있다. 그 결과, (에칭 마스크가 균일한 CD를 갖는 경우에도) 전사된 패턴이 CD 불균일성을 갖는 에칭 기판이 얻어진다. 본원 명세서에 있어서의 시스템 및 방법은 그러한 에칭 불균일을 보상할 수 있다. 투영 이미지를, 정해진 에칭 챔버가 통상적으로 기판을 에칭하는 방법을 식별하는 데이터와 기판 시그니쳐(인커밍 CD 시그니쳐)의 양자에 기초하게 함으로써, 후속 에칭 공정 중의 CD 표준화를 가능하게 하는 프리-바이어스 CD(pre-biased CD)를 생성하도록 이미지가 투영된다. 한정의 의도는 없는 예로서, 정해진 에칭 시스템이 기판의 중심 부분을 보다 더 에칭하고 기판의 에지 부분을 덜 에칭하는 경우에, 투영된 이미지는, 중심 부분에서의 CD가 에지 부분에 비하여 더 크게(또는 더 작게) 되도록 인커밍 CD를 조정하고 CD를 바이어스하도록 구성될 수 있다. 그리고, 기판을 에칭할 때에, 인커밍 CD는, 결과적인 에칭이 기판에 걸쳐 균일한 CD를 산출하도록 에칭 불균일을 이미 고려하고 있다.In another embodiment, the defined projected image may be based on both the substrate signature and the CD etch signature of a defined / specific etch chamber. The CD etch signature of a given etch chamber indicates or recognizes various etch non-uniformities resulting from a given etch pattern transfer process. For example, in a plasma-based dry etch chamber, depending on the particular type of plasma reactor, there is typically an etch non-uniformity across the surface of the substrate. For example, the plasma may have a center-to-edge density deviation and / or an azimuth density deviation. Therefore, in some regions of the substrate, more or less etching may occur compared to other regions. As a result, an etched substrate is obtained in which the transferred pattern has CD non-uniformity (even when the etching mask has a uniform CD). The systems and methods herein can compensate for such etch non-uniformity. By placing the projected image on a pre-bias CD (not shown) that enables CD standardization in subsequent etching processes, by making both a data identifying the manner in which a given etching chamber etches the substrate and a substrate signature (incoming CD signature) pre-biased CD). By way of example, and not by way of limitation, where a given etch system etches more the center portion of the substrate and less etches the edge portion of the substrate, the projected image is larger (e.g., Or smaller) and to bias the CD. And, when etching the substrate, the incoming CD already considers the etching irregularity so that the resulting etching yields a uniform CD over the substrate.

반도체 웨이퍼 등의 기판은 통상적으로 그 이면이 배치되거나 탑재되는 반면(이면이 지면을 향하는 상태), 코팅, 베이킹, 리소그래피, 현상, 에칭 등의 공정은 그 반대면에서 실행된다. 따라서 작업면은 일반적으로 상측을 향하고 있고, 그에 따라 "상면"이 이면의 반대측이 된다. 그리고 상면은 이면과 반대측의 면, 달리 말하면, 작업면을 지칭한다. 전기 도금 등의 일부 제조 공정에서는, 기판이 수직으로 유지될 수 있다. 이러한 수직 구성에 있어서는, 작업면이 측면을 향하고 있고, 그에 따라 상면도 측면을 향하고 있지만, 그럼에도 불구하고, 상면이다. A substrate such as a semiconductor wafer is usually disposed or mounted on its back surface (with the back surface facing the ground), whereas processes such as coating, baking, lithography, development, etching and the like are performed on the opposite side. Therefore, the work surface is generally directed upward, so that the "top surface" is the opposite side of the back surface. And the upper surface is the surface opposite to the back surface, in other words, the working surface. In some manufacturing processes such as electroplating, the substrate can be held vertically. In this vertical configuration, the work surface faces the side and, therefore, the top surface is also directed to the side, but is nonetheless the top surface.

처리 시스템은, 기판의 픽셀 기반 CD 시그니쳐를 식별하도록 구성된 CD 계측 시스템을 또한 포함할 수 있다. 이미지 투영 시스템은, 레이저 갈바노미터, DLP(digital light processing) 디바이스 또는 GLV(grating light valve) 디바이스를 이용하여 기판의 작업면 상에 이미지를 투영할 수 있다. 위치에 따라 광 세기를 조절할 수 있는 임의의 이미지 투영 디바이스를 이용할 수 있다. 시스템은 동일 처리 챔버 내의 기판의 표면 상에 액체 조성물을 분배하도록 구성된 분배 시스템을 포함할 수 있다. 챔버는, 스피닝 기판 상에 액체를 분배하는 적어도 하나의 모듈을 포함하는 반도체 제조 툴 내에 위치 결정될 수 있고, 이 툴은 기판을 가열하기 위한 가열 메카니즘을 갖는 적어도 하나의 모듈을 포함한다. 이러한 툴은 종종 코팅기/현상기로서 알려져 있다. 다른 실시형태에서는, 도 8에 도시된 바와 같이, 챔버는 기판 상에 포토레지스트를 분배하도록 구성된 적어도 하나의 모듈과, 기판 상에 현상 화학물질을 분배하도록 구성된 적어도 하나의 모듈과, CD를 측정하도록 구성된 적어도 하나의 모듈, 그리고 기판을 베이킹하도록 구성된 적어도 하나의 모듈을 포함하는 반도체 제조 툴 내에 위치 결정되어 있다. 다른 시스템은. 마이크로-미러 투영 시스템 또는 픽셀 기반 시스템을 포함하는 스캐너/스테퍼로서 구현될 수 있다. 이러한 실시형태는, 리소그래피 노광 스택과는 별개의 모듈이고 리소그래피 노광 중에 기판 표면에 이미지를 투영하도록 위치되어 있는 처리 챔버로 구성될 수 있다.The processing system may also include a CD metrology system configured to identify a pixel based CD signature of the substrate. An image projection system may project an image onto a work surface of a substrate using a laser galvanometer, a digital light processing (DLP) device or a grating light valve (GLV) device. Any image projection device capable of adjusting the light intensity according to the position can be used. The system may include a dispensing system configured to dispense the liquid composition on a surface of the substrate within the same processing chamber. The chamber may be positioned within a semiconductor manufacturing tool comprising at least one module for dispensing liquid onto a spinning substrate, the tool comprising at least one module having a heating mechanism for heating the substrate. Such tools are often known as coater / developer. In another embodiment, as shown in Figure 8, the chamber comprises at least one module configured to dispense photoresist on the substrate, at least one module configured to dispense the developer chemistry on the substrate, At least one module configured and at least one module configured to bake the substrate. The other system is. A micro-mirror projection system or a scanner / stepper including a pixel based system. This embodiment may be comprised of a process chamber separate from the lithographic exposure stack and positioned to project an image onto the substrate surface during lithographic exposure.

다른 실시형태에서, 이미지 투영 시스템은 웨이퍼의 작업면 상에 정해진 이미지를 라인-바이-라인으로 투영하도록 구성되어 있다. 다른 실시형태에서, 이미지 투영 시스템은, 레이저 빔을 작업면을 가로질러 이동시켜 기판의 작업면의 각 픽셀 위치를 향하는 레이저 복사선의 양을 변경시키도록 구성된 하나 이상의 미러를 이용하여 웨이퍼의 작업면 상에 정해진 이미지를 투영하도록 구성되어 있다. 예컨대, 이러한 이미지 투영 시스템은 레이저 갈바노미터를 이용하는 것을 포함할 수 있다. 이미지 투영 시스템은, 예컨대 30초 이내에 기판의 작업면 상에 정해진 이미지를 투영하도록 구성될 수 있다. 대안으로, 정해진 이미지는 기판의 작업면 상에 매초 복수회 투영될 수 있다. 예컨대, 레이저 갈바노미터는 래스터 스캐닝 또는 래스터 기반 투영 메카니즘을 갖는다. 이러한 래스터 기반 투영은 기판 표면을 가로질러 레이저 빔을 라인-바이-라인으로 투영하는 것을 포함할 수 있다. 투영 속도는 대략 초당 수백회 내지 수초 이상마다 1회의 범위일 수 있다. 레이저 갈바노미터가 기판을 가로질러 정해진 레이저 빔 또는 UV 광선 빔을 이동시킴에 따라, 레이저 빔의 세기는, 기판의 작업면 상의 각 픽셀 위치 또는 해상도 포인트(resolution point)에서 제로에서 100%로 변경될 수 있다. 예컨대, 음향 광학 모듈레이터를 사용하여 정해진 기판 표면 상의 포인트 위치마다의 광 세기를 조정할 수 있다. 대안으로, 정해진 픽셀 위치에서의 투영 복사선의 체류 시간(dwell time)도 소망하는 선량의 광을 제공하도록 변경될 수 있다.In another embodiment, the image projection system is configured to project a given image on a work surface of the wafer in a line-by-line manner. In another embodiment, an image projection system includes at least one mirror configured to move a laser beam across a work surface to vary the amount of laser radiation directed at each pixel location of the work surface of the substrate, And the like. For example, such an image projection system may include using a laser galvanometer. The image projection system may be configured to project a predetermined image onto the working surface of the substrate, for example, within 30 seconds. Alternatively, the determined image may be projected multiple times per second on the working surface of the substrate. For example, laser galvanometers have raster scanning or raster-based projection mechanisms. Such raster-based projection may include projecting the laser beam across the substrate surface in a line-by-line manner. The projection speed may range from about several hundreds of times per second to once every several seconds or more. As the laser galvanometer moves a defined laser beam or UV light beam across the substrate, the intensity of the laser beam changes from zero to 100% at each pixel location or resolution point on the work surface of the substrate . For example, an acousto-optic modulator can be used to adjust the light intensity for each point location on a given substrate surface. Alternatively, the dwell time of the projected radiation at a given pixel location may be varied to provide the desired dose of light.

다른 실시형태는 기판을 처리하는 방법을 포함한다. 이 방법은 기판 홀더 상에 기판을 위치 결정하는 단계를 포함한다. 기판을 위치 결정하는 단계는 반도체 제조 툴의 모듈 내에 기판을 수용하는 단계를 포함한다. 본 반도체 제조 툴은 기판 상에 포토레지스트를 분배하는 적어도 하나의 모듈을 포함할 수 있다. 이러한 제조 툴은 처리 모듈 중 기판을 자동으로 이동시키기 위한 기판 핸들링 메카니즘을 포함할 수 있다. 이어서, 투영된 광의 진폭을 위치에 따라 변경하도록 구성되는 그리드 기반 광 투영 시스템을 매개로 기판의 표면 상에 광이 투영된다. 광의 패턴이 기판의 표면에 도달하도록 광의 일부를 차단하는 마스크 또는 레티클(reticle)을 사용하여 통상적인 포토리소그래피 노광이 실행된다. 이와 달리, 그리드 기반 광 투영 시스템은 각각의 투영된 포인트가 온 또는 오프로 스위칭될 수 있고 및/또는 주파수 또는 진폭이 변경될 수 있는 포인트의 어레이 또는 매트릭스로서 광을 투영한다. 이어서, 투영된 광은 기판 시그니쳐에 기초하여 변화하는 기판의 위치에 따라 기판의 표면 상에서 진폭만큼 변경된다. 기판의 표면 상에 광을 투영하는 단계는 레이저 갈바노미터 또는 DLP(digital light processing) 디바이스를 매개로 기판 상에 이미지를 투영하는 단계를 포함할 수 있다. 특정의 투영된 이미지는 기판 또는 기판 상의 시그니쳐에 대응하는 속성의 미리 정해진 시그니쳐에 기초할 수 있다. 이러한 시그니쳐는, 임계 치수 시그니쳐, 열 시그니쳐, 광 반사 시그니쳐, 표면 에너지, x-ray, 마이크로웨이브 등을 포함할 수 있다. 생성된 이미지는, 래스터 지연(raster delay) 또는 플레어의 결과일 수 있는 기판에 대응하는 미리 정해진 리소그래피 노광 시그니쳐 또는 기판에 대응하는 미리 정해진 또는 실시간 계측된 임계 치수 시그니쳐에 기초할 수 있다. 이러한 시그니쳐는 EUV(extreme ultraviolet) 플레어와 래스터 스캔/노광 지연을 보상할 수 있다.Another embodiment includes a method of treating a substrate. The method includes positioning the substrate on a substrate holder. Positioning the substrate includes receiving the substrate within a module of the semiconductor manufacturing tool. The semiconductor manufacturing tool may include at least one module for dispensing photoresist on a substrate. Such a manufacturing tool may include a substrate handling mechanism for automatically moving the substrate of the processing module. Light is then projected onto the surface of the substrate via a grid-based optical projection system configured to vary the amplitude of the projected light according to position. Conventional photolithographic exposure is performed using a mask or a reticle that blocks a portion of the light so that the pattern of light reaches the surface of the substrate. Alternatively, the grid-based optical projection system projects light as an array or matrix of points at which each projected point can be switched on or off and / or the frequency or amplitude can be changed. The projected light is then changed by the amplitude on the surface of the substrate in accordance with the position of the varying substrate based on the substrate signature. The step of projecting light onto the surface of the substrate may include projecting the image onto a substrate via a laser galvanometer or a digital light processing (DLP) device. The particular projected image may be based on a predetermined signature of the attribute corresponding to the signature on the substrate or substrate. Such signatures may include critical dimension signatures, thermal signatures, light reflection signatures, surface energies, x-rays, microwaves, and the like. The generated image may be based on a predetermined or real time measured critical dimension signature corresponding to a predetermined lithographic exposure signature or substrate corresponding to the substrate, which may be a raster delay or a result of a flare. These signatures can compensate for extreme ultraviolet (EUV) flare and raster scan / exposure delays.

정해진 기판 시그니쳐는, 특정의 툴, 툴 세트 및 공정 시퀀스에 의해 처리된 이전의 기판들과 식별될 수 있다. 환언하면, 기판 시그니쳐는 처리되는 기판에 대하여 실시간으로 산출되거나, 정해진 마이크로제조 공정을 위한 시그니쳐의 반복 패턴으로부터 산출/관찰될 수 있다. 이러한 반복 패턴은 특정 툴 및/또는 사용된 물질의 결과(artifacts)로 인한 것일 수 있다. 기판 속성은 광학 속성, 전기적 속성, 기계적 속성, 구조 높이, 막 두께, 온도 등을 포함할 수 있다.The determined substrate signature can be identified with specific tools, tool sets, and previous substrates processed by the process sequence. In other words, the substrate signature can be calculated in real time for the substrate to be processed, or can be calculated / observed from a repetitive pattern of signatures for a defined micro-fabrication process. This repetitive pattern may be due to the artifacts of the particular tool and / or materials used. The substrate properties may include optical properties, electrical properties, mechanical properties, structural height, film thickness, temperature, and the like.

일부 실시형태에 있어서, 레이저 갈바노미터 또는 디지털 광 처리 디바이스는, 기판의 표면 상에 독립적으로 어드레스 지정 가능한 픽셀의 이미지를 투영하도록 구성되어 있다. 디지털 광 처리 디바이스는 각각의 독립적으로 어드레스 지정 가능한 픽셀의 광 세기를 변경시키도록 구성될 수 있다. In some embodiments, a laser galvanometer or digital optical processing device is configured to project an image of independently addressable pixels on a surface of a substrate. The digital optical processing device may be configured to change the light intensity of each independently addressable pixel.

다른 실시형태는 기판을 처리하는 방법을 포함한다. 기판은 처리 챔버 내의 기판 홀더 상에 위치 결정된다. 디지털 제어된 마이크로 미러 투영 디바이스를 매개로 기판의 표면 상에 픽셀 기반 이미지가 투영되고, 픽셀 기반 이미지는 기판 시그니쳐에 기초하여 생성된다. 기판은, 투영된 픽셀 기반 이미지가 광반응제로 하여금 기판 상의 정해진 포인트 위치에서 투영된 광의 진폭 및/또는 파장에 기초하여 픽셀 기반 이미지에 화학적으로 반응하게 하도록 광반응제를 갖는 층을 포함할 수 있다. 환언하면, 투영된 광의 패턴은 광반응제가 산, 염기, 또는 다른 용해성 시프팅 물질을 생성하게 하는 것을 도울 수 있다. 기판 시그니쳐는 기판 표면 상의 온도의 미리 정해진 열 시그니쳐에 대응할 수 있다. 픽셀 기반 이미지를 투영하는 단계는 각 투영된 픽셀에 의해 광 세기, 듀레이션(duration), 및 파장을 변경하는 단계를 포함할 수 있다.Another embodiment includes a method of treating a substrate. The substrate is positioned on the substrate holder in the process chamber. A pixel-based image is projected onto the surface of the substrate via a digitally controlled micromirror projection device, and a pixel-based image is generated based on the substrate signature. The substrate may include a layer having a photoreactive agent such that the projected pixel-based image causes the photoreactive agent to chemically react to the pixel-based image based on the amplitude and / or wavelength of the light projected at a predetermined point location on the substrate . In other words, the pattern of the projected light can help the photoreactive agent to produce an acid, base, or other soluble shifting material. The substrate signature may correspond to a predetermined thermal signature of the temperature on the substrate surface. The step of projecting the pixel-based image may include varying the light intensity, duration, and wavelength by each projected pixel.

다른 실시형태에서, 기판을 처리하는 방법은 반도체 제조 툴의 기판 홀더 상에 기판을 위치 결정하는 단계; 기판 홀더 내에 위치된 가열 메카니즘을 사용하여 기판 홀더 상의 기판을 가열하는 단계; 및 디지털 제어된 마이크로 미러 투영 디바이스를 사용하여 기판 상에 픽셀 기반 이미지를 투영시킴으로써 기판의 표면 온도를 공간적으로 조정하는 단계를 포함한다. 픽셀 기반 이미지는 개별적으로 어드레스 지정 가능한 픽셀에 의해 광 진폭을 변경하고, 투영된 픽셀 기반 이미지는 기판의 열 시그니쳐(heat signature)에 기초한다.In another embodiment, a method of processing a substrate includes positioning a substrate on a substrate holder of a semiconductor manufacturing tool; Heating the substrate on the substrate holder using a heating mechanism located within the substrate holder; And spatially adjusting the surface temperature of the substrate by projecting the pixel-based image onto the substrate using a digitally controlled micro-mirror projection device. The pixel-based image alters the light amplitude by the individually addressable pixels, and the projected pixel-based image is based on the heat signature of the substrate.

다른 실시형태는 블록 공중합체의 방향성 자동 조립으로 사용되는 막을 갖는 기판을 수용하는 단계를 포함한다. 공간적으로 투영된 이미지에 따라 이미지가 막을 수정하도록, 디지털 광 투영을 사용하여 기판 막 상에 이미지가 투영된다. 공중합체가 공간적으로 투영된 (픽셀 기반) 이미지에 기초하여 패턴으로 조립(assemble)되도록, 블록 공중합체의 막이 부탁되고, 자동 조립이 활성화되거나 개시된다. Another embodiment includes the step of receiving a substrate having a film used for directional automatic assembly of the block copolymer. The image is projected onto the substrate film using digital light projection so that the image modifies the film according to the spatially projected image. The film of the block copolymer is asked to assemble the pattern based on the spatially projected (pixel-based) image of the copolymer, and auto-assembly is activated or initiated.

이전의 설명에서는, 본원 명세서에서 사용되는 처리 시스템의 특정 기하학적 형상과 다양한 컴포넌트 및 프로세스의 설명 등과 같은 특정 세부사항이 설명되어 있다. 그러나, 본원 명세서에 있어서의 기술들이, 이들 특정 세부사항에서 벗어난 다른 실시형태로 실시될 수도 있고, 이러한 세부사항이 한정이 아닌 설명을 위한 것임을 이해해야 한다. 본원 명세서에서 설명한 실시형태는 첨부 도면을 참조로 설명되어 있다. 마찬가지로, 설명을 목적으로, 특정 숫자, 물질, 및 구성은 철저한 이해를 제공하기 위해 설명되어 있다. 그럼에도 불구하고, 실시형태는 이러한 특정 세부사항 없이 실시될 수도 있다. 실질적으로 동일한 기능적 구성을 갖는 컴포넌트들은 유사한 참조 부호로 지시되어 있고, 이에 따라 모든 불필요한 설명을 생략할 수 있다.In the foregoing description, specific details have been set forth, such as specific geometric shapes of the processing system and descriptions of various components and processes, as used herein. It should be understood, however, that the techniques herein may be practiced in other embodiments that depart from these specific details, and that these details are for purposes of explanation and not limitation. The embodiments described herein are described with reference to the accompanying drawings. Likewise, for purposes of explanation, certain numbers, materials, and configurations are set forth to provide a thorough understanding. Nevertheless, embodiments may be practiced without these specific details. Components having substantially the same functional configuration are indicated by like reference numerals, and thus all unnecessary explanations can be omitted.

다양한 실시형태에 대한 이해를 돕기 위하여 다수의 불연속 동작으로서 다양한 기술이 설명되었다. 설명의 순서는 이들 동작이 필연적으로 순서 의존적이라는 것을 내포하는 것으로 해석되어서는 안된다. 실제로, 이러한 동작들은 제시된 순서대로 수행될 필요는 없다. 설명된 동작들은 설명된 실시형태와 상이한 순서로 수행될 수도 있다. 다양한 추가적 동작이 수행될 수도 있고, 및/또는 설명된 동작이 추가 실시형태에서 생략될 수도 있다. Various techniques have been described as a number of discontinuous operations to aid understanding of various embodiments. The order of description should not be construed to imply that these operations are necessarily order-dependent. In practice, these operations need not be performed in the order presented. The described operations may be performed in a different order than the described embodiments. Various additional operations may be performed, and / or the described operations may be omitted in further embodiments.

본원 명세서에서 사용된 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 대상을 나타낸다. 기판은, 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 중요 부분(material portion) 또는 구조를 포함할 수 있고, 예컨대 반도체 웨이퍼, 레티클, 또는 박막 등의 베이스 기판 구조 상의 또는 그 위에 놓인 층과 같은 베이스 기판 구조로 될 수 있다. 따라서, 기판은, 임의의 특정 베이스 구조, 아래에 놓인 층, 또는 위에 놓인 층, 패턴화되거나 패턴화되지 않은 것에 한정되지 않고, 오히려, 임의의 그러한 층 또는 베이스 구조, 및 층 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 고려된다. 본 명세서는 특정 타입의 기판을 참조할 수 있지만, 이것은 단지 예시를 위한 것이다.As used herein, the term "substrate" or "target substrate" generally refers to an object to be treated in accordance with the present invention. The substrate may comprise any significant portion or structure of a device, particularly a semiconductor or other electronic device, and may be a substrate, such as a layer on or on a base substrate structure, such as a semiconductor wafer, reticle, Substrate structure. Thus, the substrate is not limited to any particular base structure, underlying layer, or overlying layer, patterned or unpatterned, but rather, any such layer or base structure, and layer and / or base structure As used herein. Although this specification may refer to a particular type of substrate, this is for illustrative purposes only.

본 발명의 동일한 목적을 여전히 달성하면서 전술한 기술의 동작에 대하여 다수의 변형이 이루어질 수 있다는 것을 당업자는 또한 이해할 것이다. 이러한 변형은 본 명세서의 범위에 포함되는 것으로 의도된다. 따라서, 본 발명의 실시형태의 이상의 설명은 한정적인 것으로 의도되지 않는다. 오히려, 본 발명의 실시형태에 대한 모든 한정은 이하의 청구범위에서 제시된다.Those skilled in the art will also appreciate that many modifications may be made to the operation of the above-described techniques while still achieving the same objects of the present invention. Such variations are intended to be included within the scope of the present disclosure. Accordingly, the foregoing description of the embodiments of the present invention is not intended to be exhaustive. Rather, all the limitations of the embodiments of the present invention are set forth in the following claims.

Claims (17)

기판을 처리하는 처리 시스템으로서, 이 처리 시스템은,
처리용 기판을 수용하도록 크기가 정해지고 구성되는 챔버;
상기 챔버 내에 위치 결정되고 상기 기판을 유지하도록 구성된 기판 홀더;
상기 기판이 챔버 내에 있을 때 기판의 작업면(working surface) 상에 이미지를 투영하도록 구성된 이미지 투영 시스템; 및
상기 이미지 투영 시스템을 제어하도록 구성되고, 상기 이미지 투영 시스템이 상기 기판의 작업면 상에 픽셀 기반 이미지(pixel-based image)를 투영하게 하는 컨트롤러
를 포함하고,
상기 이미지 투영 시스템은 마이크로-미러 투영 장치를 이용하여 이미지를 투영하고, 상기 이미지 투영 시스템은 미리 정해진 기판 시그니쳐(substrate signature)를 기초로 이미지를 투영하도록 구성되고, 상기 이미지 투영 시스템은 기판의 작업면 상에 라인-바이-라인으로 이미지를 투영하도록 구성되는 것인 기판 처리 시스템.
A processing system for processing a substrate, the processing system comprising:
A chamber sized and configured to receive a processing substrate;
A substrate holder positioned within the chamber and configured to hold the substrate;
An image projection system configured to project an image onto a working surface of the substrate when the substrate is in the chamber; And
A controller configured to control the image projection system and to cause the image projection system to project a pixel-based image on a working surface of the substrate;
Lt; / RTI >
Wherein the image projection system projects an image using a micro-mirror projection apparatus, the image projection system being configured to project an image based on a predetermined substrate signature, the image projection system comprising: Wherein the image is projected on a line-by-line basis.
제1항에 있어서, 상기 이미지 투영 시스템은, 정해진 에칭 챔버(etch chamber)의 CD 에칭 시그니쳐(etch signature)에 추가로 기초하여 이미지를 투영하도록 구성된 것인 기판 처리 시스템.2. The substrate processing system of claim 1, wherein the image projection system is configured to project an image based further on a CD etch signature of a defined etch chamber. 제2항에 있어서, 상기 이미지 투영 시스템은, 후속 에칭 공정 중에 CD 표준화를 위해 기판 상에 바이어스된 CD 시그니쳐를 작성하도록 이미지를 투영하도록 구성된 것인 기판 처리 시스템.3. The substrate processing system of claim 2, wherein the image projection system is configured to project an image to create a CD signature biased onto the substrate for CD standardization during a subsequent etching process. 제1항에 있어서, 상기 이미지 투영 시스템은, 레이저 빔을 작업면을 가로질러 이동시켜 기판의 작업면의 각 픽셀 위치를 향하는 레이저 복사선의 양을 변경시키도록 구성된 하나 이상의 미러를 이용하여 기판의 작업면 상에 정해진 이미지를 투영하도록 구성된 것인 기판 처리 시스템.2. The method of claim 1, wherein the image projection system further comprises at least one mirror configured to move the laser beam across the working surface to change the amount of laser radiation directed to each pixel location of the working surface of the substrate, And to project a predetermined image on the surface. 제4항에 있어서, 상기 이미지 투영 시스템은 레이저 갈바노미터 디바이스를 포함하는 것인 기판 처리 시스템.5. The substrate processing system of claim 4, wherein the image projection system comprises a laser galvanometer device. 제5항에 있어서, 상기 이미지 투영 시스템은 정해진 기판에 화학선(actinic radiation)을 제공하도록 구성된 광원을 포함하는 것인 기판 처리 시스템.6. The substrate processing system of claim 5, wherein the image projection system comprises a light source configured to provide actinic radiation to a defined substrate. 제6항에 있어서, 상기 광원은 400 nm 미만의 파장의 복사선을 제공하도록 구성된 것인 기판 처리 시스템.7. The substrate processing system of claim 6, wherein the light source is configured to provide radiation at a wavelength of less than 400 nm. 제1항에 있어서, 상기 이미지 투영 시스템은, DLP(digital light processing) 디바이스, GLV(grating light valve) 디바이스 또는 레이저 갈바노미터 디바이스를 이용하여 기판의 작업면 상에 이미지를 투영하는 것인 기판 처리 시스템.2. The method of claim 1, wherein the image projection system projects an image onto a work surface of the substrate using a digital light processing (DLP) device, a grating light valve (GLV) system. 제1항에 있어서, 각각의 투영된 픽셀은 광 세기 및 광 진폭으로 이루어진 군에서 선택된 파라미터에 의해 변경될 수 있는 것인 기판 처리 시스템.2. The substrate processing system of claim 1, wherein each projected pixel can be changed by a parameter selected from the group consisting of light intensity and light amplitude. 제1항에 있어서, 상기 이미지 투영 시스템은 60초 미만에 기판의 작업면 상에 정해진 이미지를 투영하도록 구성된 것인 기판 처리 시스템.2. The substrate processing system of claim 1, wherein the image projection system is configured to project a predetermined image on a working surface of the substrate in less than 60 seconds. 제1항에 있어서, 상기 이미지 투영 시스템은 매초 복수회 기판의 작업면 상에 정해진 이미지를 투영하도록 구성된 것인 기판 처리 시스템.2. The substrate processing system of claim 1, wherein the image projection system is configured to project a predetermined image onto a work surface of the substrate a plurality of times each second. 제1항에 있어서, 각각의 투영된 픽셀의 세기는 기판의 임계 치수 시그니쳐에 기초하고 있는 것인 기판 처리 시스템.2. The substrate processing system of claim 1, wherein the intensity of each projected pixel is based on a critical dimension signature of the substrate. 제1항에 있어서, 상기 챔버는, 스피닝 기판(spinning substrate) 상에 액체를 분배하는 적어도 하나의 모듈을 포함하는 반도체 제조 툴 내에 위치 결정되어 있는 것인 기판 처리 시스템.2. The substrate processing system of claim 1, wherein the chamber is positioned within a semiconductor manufacturing tool that includes at least one module for dispensing liquid onto a spinning substrate. 제1항에 있어서, 상기 챔버는 반도체 제조 툴 내에 위치 결정되어 있고, 상기 반도체 제조 툴은,
상기 기판 상에 포토레지스트를 분배하도록 구성된 적어도 하나의 모듈;
상기 기판 상에 현상 화학물질을 분배하도록 구성된 적어도 하나의 모듈;
상기 기판을 베이크하도록 구성된 적어도 하나의 모듈
을 포함하는 것인 기판 처리 시스템.
2. The apparatus of claim 1, wherein the chamber is positioned within a semiconductor manufacturing tool,
At least one module configured to dispense photoresist on the substrate;
At least one module configured to dispense development chemicals on the substrate;
At least one module configured to bake the substrate
The substrate processing system comprising:
기판을 처리하는 처리 시스템으로서, 이 처리 시스템은,
처리용 기판을 수용하도록 크기가 정해지고 구성되는 챔버;
상기 챔버 내에 위치 결정되고 상기 기판을 유지하도록 구성된 기판 홀더;
상기 기판이 챔버 내에 있을 때 기판의 작업면 상에 이미지를 투영하도록 구성된 이미지 투영 시스템; 및
상기 이미지 투영 시스템을 제어하도록 구성되고, 상기 이미지 투영 시스템이 상기 기판의 작업면 상에 픽셀 기반 이미지를 투영하게 하는 컨트롤러로서, 상기 픽셀 기반 이미지가 기판의 작업면의 상이한 특징들을 공간적으로 매핑하는 기판 시그니쳐에 기초하는 것인 컨트롤러
를 포함하고,
상기 이미지 투영 시스템은 마이크로-미러 투영 장치를 이용하여 이미지를 투영하고, 상기 이미지 투영 시스템은, 레이저 빔을 작업면을 가로질러 이동시켜 기판의 작업면의 각 픽셀 위치를 향하는 레이저 복사선의 양을 변경시키도록 구성된 하나 이상의 미러를 이용하여 기판의 작업면 상에 이미지를 투영하도록 구성되는 것인 기판 처리 시스템.
A processing system for processing a substrate, the processing system comprising:
A chamber sized and configured to receive a processing substrate;
A substrate holder positioned within the chamber and configured to hold the substrate;
An image projection system configured to project an image onto a working surface of the substrate when the substrate is in the chamber; And
A controller configured to control the image projection system, the controller configured to cause the image projection system to project a pixel-based image on a working surface of the substrate, the controller comprising: A controller based on signature
Lt; / RTI >
Wherein the image projection system projects an image using a micro-mirror projection apparatus, the image projection system moves the laser beam across the working surface to change the amount of laser radiation directed to each pixel location of the working surface of the substrate Wherein the at least one mirror is configured to project an image onto a working surface of the substrate.
제15항에 있어서, 상기 컨트롤러는 기판의 임계 치수 시그니쳐에 기초하여 픽셀 기반 이미지를 생성하도록 구성되는 것인 기판 처리 시스템.16. The substrate processing system of claim 15, wherein the controller is configured to generate a pixel-based image based on a critical dimension signature of the substrate. 제15항에 있어서, 상기 이미지 투영 시스템은 특정 에칭 챔버의 CD 에칭 시그니쳐에 기초하여 이미지를 투영하도록 구성되는 것인 기판 처리 시스템.
16. The substrate processing system of claim 15, wherein the image projection system is configured to project an image based on a CD etch signature of a particular etch chamber.
KR1020160173526A 2015-12-18 2016-12-19 Substrate tuning system and method using optical projection KR102544422B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/974,974 US9645391B2 (en) 2013-11-27 2015-12-18 Substrate tuning system and method using optical projection
US14/974,974 2015-12-18

Publications (2)

Publication Number Publication Date
KR20170073537A true KR20170073537A (en) 2017-06-28
KR102544422B1 KR102544422B1 (en) 2023-06-15

Family

ID=59080724

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160173526A KR102544422B1 (en) 2015-12-18 2016-12-19 Substrate tuning system and method using optical projection

Country Status (4)

Country Link
JP (1) JP2017111446A (en)
KR (1) KR102544422B1 (en)
CN (1) CN106896646B (en)
TW (1) TWI640837B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200123247A (en) * 2018-03-19 2020-10-28 도쿄엘렉트론가부시키가이샤 Method for correcting critical dimensions using a calibrated adjusted dose
CN110187607A (en) * 2019-05-08 2019-08-30 苏州源卓光电科技有限公司 A kind of direct-write photoetching mechanism and its exposure method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040094821A (en) * 2002-03-19 2004-11-10 어플라이드 머티어리얼즈 인코포레이티드 An integrated in-situ etch process performed in a multichamber substrate processing system
JP2005189714A (en) * 2003-12-26 2005-07-14 Fuji Photo Film Co Ltd Aligner
JP2006054451A (en) * 2004-07-26 2006-02-23 Asml Netherlands Bv Lithography apparatus and manufacturing method of device
JP2008250140A (en) * 2007-03-30 2008-10-16 Fujifilm Corp Exposure method of exposure device, and exposure device
WO2015081167A1 (en) * 2013-11-27 2015-06-04 Tokyo Electron Limited Substrate tuning system and method using optical projection

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6248168B1 (en) * 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
JP2002072491A (en) * 2000-09-01 2002-03-12 Airex Inc Printed circuit board manufacturing apparatus
US6960775B1 (en) * 2004-04-13 2005-11-01 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby
JP2006201692A (en) * 2005-01-24 2006-08-03 Sony Corp Device for forming stereoscopic pattern, and method for forming stereoscopic pattern
US7534627B2 (en) * 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
JP5852374B2 (en) * 2011-09-07 2016-02-03 株式会社Screenホールディングス Drawing apparatus and drawing method
WO2015112802A1 (en) * 2014-01-27 2015-07-30 Tokyo Electron Limited System and method for shifting critical dimensions of patterned films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040094821A (en) * 2002-03-19 2004-11-10 어플라이드 머티어리얼즈 인코포레이티드 An integrated in-situ etch process performed in a multichamber substrate processing system
JP2005189714A (en) * 2003-12-26 2005-07-14 Fuji Photo Film Co Ltd Aligner
JP2006054451A (en) * 2004-07-26 2006-02-23 Asml Netherlands Bv Lithography apparatus and manufacturing method of device
JP2008250140A (en) * 2007-03-30 2008-10-16 Fujifilm Corp Exposure method of exposure device, and exposure device
WO2015081167A1 (en) * 2013-11-27 2015-06-04 Tokyo Electron Limited Substrate tuning system and method using optical projection

Also Published As

Publication number Publication date
CN106896646A (en) 2017-06-27
TWI640837B (en) 2018-11-11
TW201730688A (en) 2017-09-01
CN106896646B (en) 2020-09-11
JP2017111446A (en) 2017-06-22
KR102544422B1 (en) 2023-06-15

Similar Documents

Publication Publication Date Title
US9646898B2 (en) Methods for treating a substrate by optical projection of a correction pattern based on a detected spatial heat signature of the substrate
JP6321189B2 (en) System and method for shifting critical dimensions of patterned films
JP5160688B2 (en) Lithographic apparatus and device manufacturing method
KR100756504B1 (en) Lithographic apparatus and device manufacturing method
US9645391B2 (en) Substrate tuning system and method using optical projection
JP2007140166A (en) Direct exposure apparatus and illumination adjustment method
JP2010287890A (en) Lithographic method and lithographic apparatus
TWI765527B (en) Methods and systems for maskless lithography
KR102544422B1 (en) Substrate tuning system and method using optical projection
JP4994306B2 (en) Dose control in optical maskless lithography
JP2007043168A (en) Lithography equipment and method for manufacturing device
JP4848229B2 (en) Lithographic apparatus and device manufacturing method
JP4392413B2 (en) Lithographic apparatus and method of use thereof
TWI320136B (en) Lithographic apparatus and device manufacturing method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant