KR20170066524A - 검증 계측 타겟 및 그 설계 - Google Patents

검증 계측 타겟 및 그 설계 Download PDF

Info

Publication number
KR20170066524A
KR20170066524A KR1020177011780A KR20177011780A KR20170066524A KR 20170066524 A KR20170066524 A KR 20170066524A KR 1020177011780 A KR1020177011780 A KR 1020177011780A KR 20177011780 A KR20177011780 A KR 20177011780A KR 20170066524 A KR20170066524 A KR 20170066524A
Authority
KR
South Korea
Prior art keywords
target
metrology
verification
overlay
metrology target
Prior art date
Application number
KR1020177011780A
Other languages
English (en)
Other versions
KR102548650B1 (ko
Inventor
마이클 이. 아델
인나 탈시스-샤피르
제레미 웨이 (시-밍)
마크 기노브커
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20170066524A publication Critical patent/KR20170066524A/ko
Application granted granted Critical
Publication of KR102548650B1 publication Critical patent/KR102548650B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G06F17/50
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

계측 타겟 설계 방법과 검증 타겟이 제공된다. 방법은 설계된 계측 타겟에 관련된 OCD 데이터를, 타겟 모델과 웨이퍼 상의 대응하는 실제 타겟 간의 불일치(discrepancy)의 추정치로서 이용하는 단계와, 추정된 불일치를 보상하기 위해 계측 타겟 설계 모델을 조정하는 단계를 포함한다. 전용 검증 타겟은 제조 공정 변화로부터 야기된 부정확성에 대한 보상을 가능하게 하기 위해, 오버레이 타겟 피처를 포함하며, ODD 센서에 의해 측정될 수 있도록 최적화된 크기일 수 있다. 또한, 방법은 제조사(manufacturer)와 계측 벤더(metrology vendor) 간의 워크플로우에 대한 수정을 포함하여 보다 충실도가 높은 계측 타겟 설계 모델을 제공하고 궁극적으로 계측 측정의 정확도를 높일 수 있다.

Description

검증 계측 타겟 및 그 설계{VERIFICATION METROLOGY TARGETS AND THEIR DESIGN}
<관련 출원과의 교차 참조>
본원은 2014년 10월 3일에 출원한 미국 특허 가출원번호 제62/059,640호에 대해 우선권을 주장하며, 이 우선권 출원은 그 전체가 참조문헌으로 본 명세서에 포함된다.
<기술 분야>
본 발명은 반도체 계측 분야에 관한 것이며, 보다 구체적으로는 계측 타겟 설계에 관한 것이다.
반도체 계측 분야에서, 계측 툴은 타겟을 조명하는 조명 시스템과, 타겟, 디바이스 또는 피처(feature)와의 조명 시스템의 상호작용(또는 그 결핍)에 의해 제공된 관련 정보를 포착하는 수집 시스템, 및 하나 이상의 알고리즘을 사용하여 수집된 정보를 분석하는 처리 시스템을 포함할 수 있다. 계측 툴은 다양한 반도체 제조 공정과 연관된 구조적 및 재료적 특성(예컨대, 물질 조성, 필름 두께 등의 구조 및 필름의 치수 특성 및/또는 구조, 오버레이 등의 임계 치수)을 측정하는데 이용될 수 있다. 이러한 측정은 공정 제어 및/또는 반도체 다이의 제조 수율을 향상시키는데 이용된다. 계측 툴은 본 발명의 소정의 실시형태와 관련하여, 예컨대 전술한 다양한 반도체 구조 및 재료 특성을 측정하기 위해 이용될 수 있는 하나 이상의 하드웨어 구성을 포함할 수 있다. 이러한 하드웨어 구성의 예는 분광 엘립소미터(SE; spectroscopic ellipsometer), 다중 조명 각도를 갖는 SE, (예컨대, 회전 보상기(rotating compensator)를 사용하는) 뮬러(Mueller) 매트릭스 엘리먼트를 측정하는 SE, 단일 파장 엘립소미터, 빔 프로파일 반사계(각도 분해형 반사계), 광대역 반사 분광계(분광 반사계), 단일 파장 반사계, 각도 분해형 반사계, 임의의 촬상 시스템, 동공 촬상 시스템, 스펙트럼 촬상 시스템, 스캐터로미터(예컨대, 스펙클 분석기) 등을 포함한다.
하드웨어 구성은 개별 운영 체제로 분리될 수 있다. 한편, 하나 이상의 하드웨어 구성이 단일 툴로 조합될 수 있다. 이러한 단일 툴로의 다수의 하드웨어 구성들의 조합의 일례가, 그 전체가 참조문헌으로 본 명세서에 포함되는 미국 특허 제7,933,026호(예컨대, 광대역 SE, 회전 보상기를 구비한 SE, 빔 프로파일 엘립소미터, 빔 프로파일 반사계, 광대역 반사 분광계, 및 심자외 반사 분광계(deep ultra-violet reflective spectrometer)를 포함)에 의해 제공된다. 또한, 일반적으로 이러한 시스템에는, 소정의 렌즈, 콜리메이터, 미러, 1/4 파장판, 편광자, 검출기, 카메라, 개구부(aperture), 및/또는 광원을 비롯해 다수의 광학 엘리먼트가 있다. 광학 시스템의 파장은 약 120 nm부터 3 미크론까지 다양할 수 있다. 비(non)엘립소미터 시스템의 경우, 수집된 신호는 편광 분해되거나 비분극화될 수 있다. 다수의 계측 헤드가 동일한 툴에 통합될 수도 있지만, 많은 경우에, 다수의 계측 툴은 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 제7,478,019호에 기재되어 있는 바와 같이, 단일 또는 다수의 계측 타겟에 대한 측정에 이용된다.
소정의 하드웨어 구성의 조명 시스템은 하나 이상의 광원을 포함한다. 광원은 단 하나의 파장을 갖는 광(즉, 단색광), 다수의 이산 파장을 갖는 광(즉, 다색광), 다중 파장을 갖는 광(즉, 광대역 광) 및/또는 연속적으로 또는 파장 사이를 호핑하여 호핑 파장을 통해 스위프(sweep)하는 광(즉, 튜닝 가능한 소스 또는 스위프된 소스)을 생성할 수 있다. 적합한 광원의 예로는 백색광, 자외선(UV) 레이저, 아크 램프 또는 무전극 램프, 레이저 지속형 플라즈마(LSP; laser sustained plasma) 광원, 초연속체(supercontinuum) 광원(광대역 레이저 광원 등), 또는 x선 광원, 극UV 광원 또는 이들의 일부 조합과 같은 단파장 광원이 있다. 광원은 또한 충분한 휘도를 갖는 광을 제공하도록 구성될 수 있는데, 경우에 따라서는 약 1 W/(nm ㎠ Sr)보다 높은 휘도일 수도 있다. 계측 시스템은 또한 그 전력 및 파장을 안정화시키기 위해 광원에 대한 고속 피드백(fast feedback)을 포함할 수도 있다. 광원의 출력은 자유 공간 전파를 통해 전달되거나, 경우에 따라 임의의 유형의 광가이드(light guide) 또는 광섬유를 통해 전달될 수 있다.
계측 타겟은 다양한 공간적 특성을 가질 수 있으며, 통상 하나 이상의 리소그래피적으로 구별된 노광(lithographically distinct exposure)으로 프린트된 하나 이상의 층 내에 피처를 포함할 수 있는 하나 이상의 셀로 구성된다. 타겟 또는 셀은 예컨대, 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 제6,985,618호에 기재되어 있는 바와 같이, 2배 또는 4배 회전 대칭, 반사 대칭 등의 다양한 대칭을 가질 수 있다. 상이한 셀들 또는 셀의 조합은 개별 층 또는 노출 단계에 속할 수 있다. 개개의 셀은 격리된 비주기적 피처(non-periodic feature)를 포함할 수도 있고, 또는 다른 방식으로 예컨대 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 공개 제2013/042089호에서와 같이 1차원, 2차원 또는 3차원 주기적 구조(periodic structure) 또는 비주기적 구조와 주기적 구조의 조합으로 구성될 수도 있다. 주기적 구조는 분할되지 않을 수도 있고, 또는 이들을 프린트하는데 사용되는 리소그래피 공정의 최소 설계 규칙에 따라 또는 그것에 가깝게 미세하게 분할된 피처로 구성될 수도 있다. 계측 타겟은 또한 동일한 층 내에서 또는 계측 구조의 층 위, 아래 또는 사이의 층에서 더미화 구조(dummification structure)와 근접해 있거나 배치될 수도 있다. 타겟은 계측 툴로 두께를 측정할 수 있는 다층(또는 다수의 필름)을 포함할 수 있다. 타겟은 예컨대 얼라인먼트 및/또는 오버레이 레지스트레이션 작업에서 사용하기 위해 반도체 웨이퍼 상에 배치된 (또는 이미 존재하는) 타겟 설계를 포함할 수 있다. 소정의 타겟은 반도체 웨이퍼 상의 다양한 위치에 배치될 수 있다. 예를 들어, 타겟은 스크라이브 라인(예컨대, 다이 사이) 내에 위치하고/하거나 다이 자체 내에 위치할 수 있다. 다수의 타겟은, 예컨대 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 제7,478,019호에 기재된 바와 같이 동일한 또는 다수의 계측 툴에 의해 (동시에 또는 상이한 시간에) 측정될 수 있다. 그러한 측정 데이터는 조합될 수도 있다. 계측 툴로부터의 데이터는 예컨대 공정(예컨대, 리소그래피, 에칭)에 대한 보정을 피드-포워드, 피드-백워드 및/또는 피드-사이드웨이(feed-sideway)하기 위해 반도체 제조 공정에 이용되며, 그에 따라 완전한 공정 제어 솔루션을 얻을 수 있게 되는데, 이에 대해서는 예컨대, 계측 타겟 셀을 재사용하기 위한 피드 포워드 방법을 개시하는, 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 제8,930,156호를 참조할 수 있다. 계측 툴은 예컨대 임계 치수, 오버레이, 측벽각, 필름 두께, 공정 관련 파라미터(예컨대, 포커스 및/또는 도즈량) 등의 하나 이상의 타겟의 측정 특성에 대해, 반도체 제조에 관련된 다수의 상이한 유형의 측정을 수행하도록 설계된다. 타겟은 예컨대 메모리 다이 내의 격자 등의, 특성상 주기적인 소정의 관심 영역을 포함할 수 있다.
반도체 디바이스 패턴 치수가 계속 줄어들면서 더 작은 계측 타겟이 종종 필요하다. 또한, 측정 정확도 및 실제 디바이스 특성과의 매칭은 디바이스와 유사한 타겟뿐만 아니라 인-다이(in-die) 및 심지어 온-디바이스(on-device) 측정에 대한 필요성을 증가시킨다. 이러한 목표를 달성하기 위해 다양한 계측 구현예가 제안되고 있다. 예를 들어, 주로 반사 광학계에 기초한 포커싱된 빔 타원계측(ellipsometry)이 예컨대 미국 특허 제5,608,526호에 기재되어 있으며, 이 문헌은 그 전체가 본 명세서에 참조문헌으로 포함된다. 아포다이저(apodizer)를 사용하여, 예컨대 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 제5,859,424호에 기재된 바와 같이, 기하학적 광학계에 의해 규정된 크기를 초과하여 조명 스폿을 확산시키는 광학 회절의 영향을 완화시킬 수 있다. 동시적인 다수의 입사각 조명을 갖는 고 개구수 툴(high-numerical-aperture tool)을 사용하는 것은 예컨대 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 제6,429,943호에 기재된 바와 같이 소형 타겟 역량(capability)을 달성할 수 있는 또 다른 방법이다. 다른 측정 예들은 반도체 스택의 하나 이상의 층들의 조성을 측정하고, 웨이퍼 상(또는 내)에서 소정의 결함을 측정하며, 웨이퍼에 노출되는 포토리소그래피 방사선의 양을 측정하는 것을 포함할 수 있다. 일부 경우에, 계측 툴 및 알고리즘은 예컨대 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 출원 제14/294540호 및 미국 특허 공개 제2014/0222380호에 기재된 바와 같이, 비주기적 타겟을 측정하도록 구성될 수도 있다.
관심 파라미터의 측정은 대개 각각의 계측 툴 내의 대응하는 분석 유닛에 의해 행해지는 다수의 알고리즘을 수반한다. 예를 들어, 입사빔과 샘플의 광학적 상호작용은 EM 솔버(electro-magnetic solver)를 이용하여 모델링되며, RCWA(Rigorous Coupled Wave Analysis), FEM(finite element method), 모멘트법, 표면 적분법, 체적 적분법, FDTD(Finite Difference Time Domain) 등등의 알고리즘을 이용한다. 관심 타겟은 일반적으로 기하학적 엔진을 사용하거나 경우에 따라 프로세스 모델링 엔진 또는 이 둘의 조합을 사용하여 모델링(파라미터화)된다. 프로세스 모델링의 이용은 예컨대 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 공개 제2014/0172394호에 기재되어 있다. 기하학적 엔진은 예를 들어 KLA-Tencor의 AcuShape 소프트웨어 제품에서 구현된다.
수집된 데이터는 다수의 데이터 피팅(data fitting) 및 최적화 기술로 분석될 수 있으며, 이들 기술은 라이브러리, 고속 축소 주문 모델(Fast-reduced-order model); 회귀; 신경망, SVM(support-vector machine) 등의 기계 학습 알고리즘; PCA(principal component analysis, 주성분 분석), ICA(independent component analysis, 독립 성분 분석), LLE(local-linear embedding, 국소 선형 삽입) 등의 차원 감소 알고리즘; 푸리에(Fourier) 또는 웨이브렛 변환(wavelet transform) 등의 희소성 표현(sparse representation); 칼만 필터(Kalman filter); 동일하거나 상이한 툴 유형들과의 매칭을 도모하는 알고리즘을 포함한다. 수집된 데이터는 예컨대 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 공개 제2014/0257734호에 기재된 바와 같이, 모델링, 최적화 및/또는 피팅 모델링을 포함하지 않는 알고리즘에 의해서도 분석될 수 있다. 연산 알고리즘은 대개, 연산 하드웨어의 설계 및 구현, 병렬화, 계산 분산, 로드 밸런싱, 다중 서비스 지원, 동적 로드 최적화 등과 같이 하나 이상의 접근법이 사용되는 계측 애플리케이션에 최적화된다. 알고리즘의 상이한 구현예들은 펌웨어, 소프트웨어, FPGA(Field Programmable Gate Array), 프로그래머블 광학계 컴포넌트 등으로 이루어질 수 있다. 데이터 분석 및 피팅 단계는 일반적으로 다음의 목표, 즉 CD, SWA, 형상, 응력, 조성, 필름, 밴드갭, 전기적 특성, 포커스/도즈량, 오버레이의 측정, 공정 파라미터(예컨대, 레지스트 상태, 부분 압력, 온도, 포커싱 모델) 및/또는 이들의 조합의 생성, 계측 시스템의 모델링 및/또는 설계, 계측 타겟의 모델링, 설계 및/또는 최적화 중 하나 이상을 추구한다.
이하는 본 발명의 초기 이해를 제공하는 간략한 요약이다. 본 요약은 반드시 핵심 요소를 확인하거나 본 발명의 범위를 제한하거나 하지 않으며, 다만 다음의 설명을 소개하기 위한 것이다.
본 발명의 일 양상은 적어도 하나의 설계된 계측 타겟에 관련된 OCD 데이터를, 타겟 모델과 웨이퍼 상의 대응하는 실제 타겟 간의 불일치의 추정치로서 이용하는 단계와, 추정된 불일치를 보상하기 위해 계측 타겟 설계 모델을 조정하는 단계를 포함한다.
본 발명의 상기한, 추가의, 및/또는 기타 양태 및/또는 장점은, 가능하다면 상세한 설명으로부터 추론 가능하고/하거나 본 발명의 실시로 학습 가능하게, 이하의 상세한 설명에서 설명된다.
본 발명의 실시형태에 대한 이해를 높이고 이 실시형태들이 어떻게 달성될 수 있는지를 보여주기 위해, 이하에서는 순전히 예로만 첨부 도면을 참조할 것이며, 도면에 있어서 같은 도면부호는 전체적으로 대응하는 요소 또는 부분을 가리킨다.
첨부 도면에 있어서,
도 1과 도 2는 본 발명의 일부 실시형태에 따른 계측 워크플로우를 설명하는 상위 레벨 흐름도이다.
도 3a는 종래기술의 오버레이 계측 타겟의 상위 레벨 개략도이다.
도 3b와 도 3c는 본 발명의 일부 실시형태에 따른 검증 타겟의 상위 레벨 개략도이다.
도 4는 본 발명의 일부 실시형태에 따른 타겟 설계 방법을 설명하는 상위 레벨 개략 흐름도이다.
이제 도면을 상세하게 구체적으로 참조하면, 도시하는 특색들은 예시적이며, 본 발명의 양호한 실시형태의 예시적인 설명을 위한 것이고, 가장 유용하고 본 발명의 원리 및 개념적 양태에 대해 용이하게 이해되는 설명이라고 사료되는 것을 제공하기 위해 제시되는 것임을 강조한다. 이 점에 있어서, 본 발명의 기본적인 이해에 필요한 것보다 더 상세하게 본 발명의 구조적 상세를 보여주려는 시도는 없으며, 당업자에게는 도면을 참조한 설명에 의해, 본 발명의 여러 형태가 실제로 어떻게 구현될 수 있는지가 명백하게 된다.
본 발명의 적어도 하나의 실시형태에 대해 상세하게 설명하기 전에, 본 발명은 이하의 설명 또는 도면에 도시하는 구성요소 세트의 배열 및 구성의 상세에 대한 적용에 한정되지 않음도 이해되어야 한다. 본 발명은 다른 실시형태에도 적용되어 다양하게 실시 또는 수행될 수 있다. 또한, 본 명세서에서 채택되는 표현 및 전문용어는 설명을 위한 것이며 제한으로서 간주되어서는 안 되는 것임은 물론이다.
계측 타겟 설계 방법과 검증 타겟이 제공된다. 방법은 설계된 계측 타겟에 관련된 OCD 데이터를, 타겟 모델과 웨이퍼 상의 대응하는 실제 타겟 간의 불일치(discrepancy)의 추정치로서 이용하는 단계와, 추정된 불일치를 보상하기 위해 계측 타겟 설계 모델을 조정하는 단계를 포함한다. 전용 검증 타겟은 제조 공정 변화로부터 야기된 부정확성에 대한 보상을 가능하게 하기 위해, 오버레이 타겟 피처를 포함하며, ODD 센서에 의해 측정될 수 있도록 최적화된 크기일 수 있다. 또한, 방법은 제조사(manufacturer)와 계측 벤더(metrology vendor) 간의 워크플로우에 대한 수정을 포함하여 보다 충실도가 높은 계측 타겟 설계 모델을 제공하고 궁극적으로 계측 측정의 정확도를 높일 수 있다.
도 1과 도 2는 본 발명의 일부 실시형태에 따른 계측 워크플로우를 설명하는 상위 레벨 흐름도이다.
계측 타겟은 정확도, 정밀도 및 강건도(robustness) 등의 특정 계측 성능 요건에 따라 설계되어야 한다. 이러한 요건을 가능하게 하기 위해, 시뮬레이션은 예컨대 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 제8,214,77호에 기재되어 있는 바와 같이, 타겟 설계의 기하학적 특성과 그에 따른 결과인 계측 성능 사이의 관계를 결정하기 위해 종종 사용된다. 통상, 시뮬레이션 절차는 일반적으로 반도체 웨이퍼의 그리고 구체적으로 계측 타겟의 토포그래피 기술(topography description) 및 공칭 스택(nominal stack)의 삽입에서 시작된다.
주어진 계측 설계의 계측 성능 예측에 따른 시뮬레이션의 신뢰성은 공칭 스택 및 토포그래피 기술의 정확도에 크게 영향을 받는다. 이하에서는, 스택 및 토포그래피 기술의 검증에 의한 계측 성능 예측의 정확도를 향상시킬 수 있는 방법 및 타겟 설계가 제시된다. 방법의 실시형태를 이용하여 검증될 수 있는 타겟 설계 특성과 같은 파라미터는, 필름 스택(film stack) 두께의 존재나 부재 또는 값, 필름 스택 시퀀스, 광학 인덱스, 예를 들어 굴절률(n)과 소광 계수(k), 주기, 분산(dispersion), 듀티 사이클, 임계 치수, 임계 치수 에칭 바이어스, 유도된 토포그래피, 오버(또는 언더) 연마(polish)로 인한 디싱(dishing), 측벽각, 측벽각의 비대칭, 층 평탄도 또는 그것의 결여(lack), LER(Line Edge Roughness), 또는 계측 성능에 영향을 줄 수 있는 기타 토포그래피 또는 광학 특성을 포함하나, 이것에 한정되지는 않는다.
스택 및 토포그래피 검증 시퀀스의 소정의 실시형태가 도 1에 도시된다. 종래 기술의 워크플로우는 반도체 제조사(60)로부터 수신되는, 예컨대 스택의 n, k 및 t (토포그래피) 파라미터와 관한, 고객 질문지(customer questionnaire)로부터 입력된 공칭 스택(61)에서 특징적으로 시작된다. 계측 벤더(70)는 예비 스택 리뷰(71)를 수행하는데, 이것은 제조사(60)가 제공한 계측 타겟 단면 이미지(62)와 비교함으로써 검증된다(72). 스택 기술(stack description)은 프로세스 변화 추정치(63)의 수신 시에 업데이트될 수 있으며(73), 타겟 설계(tDOE(target design of experiment)) 워크북(74)이 준비되고, 타겟 설계 시뮬레이션(75)이 실행되며, 동공 이미지, 회절 효율(DE), 및 정밀도 데이터(76)가 획득된다. 타겟 좌표계(64) 및 계측 툴타임(tooltime)(65)이 제조사(60)로부터 수신되고, 계측 검증 레시피가 준비(81)되고 측정(82)되어, 동공 이미지, DE, 및 정밀도 데이터(83)를 도출하는데 이용된다. 추정 및 측정된 결과(76, 83)가 각각 비교(84)되어 스펙(specification)과의 타겟의 매칭이 평가된다. 미스매칭의 경우, 스택 기술이 다시 업데이트되고(73), 새로운 워크북, 시뮬레이션 및 데이터가 준비되며, 시뮬레이션(85)이 수행되어, 검증된 타겟 설계(86)가 완성된다.
소정의 실시형태에 있어서, 추가의 선행 검증 단계, 즉 예컨대 이전 층에 대한 결과 및 필름 워크북(110), 리소그래피 층에 대한 결과 및 필름 워크북(112), 및/또는 이전 층에 대한 결과 및 필름 워크북(114)으로부터 수신된, OCD(Optical Critical Dimension) 및 필름 워크북과의 비교(100)가 추가되고, 이어서 아마도 검증 단계(72) 이전에 (계측 타겟 단면(62)과 관련하여) 수행되었던 스택 기술이 업데이트된다(116). 사용된 OCD 데이터는 설계된 계측 타겟과 관련되며, 디바이스 파라미터와 타겟 파라미터 사이의 불일치 추정치 및/또는 설계된 타겟 파라미터와 (웨이퍼 상에 프린트된 타겟의) 실제 타겟 파라미터 간의 불일치 추정치를 제공한다. 업데이트(116)는 추정된 불일치를 보상하기 위해 각각의 계측 타겟 설계를 조정할 수 있다.
(단계 100에 대한) 검증 정보는 클리빙(cleaving) 또는 포커싱된 이온빔 단면, 이러한 단면으로부터 생성된 SEM(주사 전자 현미경) 및 HR(고해상도)-SEM 이미지, 계측 타겟 자체 또는 추가 프록시에 대해 행해진 광학 CD(임계 치수) 계측 등의 계측 타겟 단면을 포함하나 이에 제한되지 않는 다수의 상이한 소스로부터 유래할 수 있다. 이러한 측정은 웨이퍼 제조 공정의 다양한 단계에서 이루어질 수 있다. 예를 들어, 이들은 제1 공정 층의 리소그래피 처리 직후에 또는 에칭, 퇴적 또는 연마 등의 추가 공정 단계에 이어서 행해질 수 있다. 일 실시형태에 있어서, 측정은, 이전 층이 완전히 처리되어 이전 층 계측 구조를 나타내지만 현재 층은 아직 프린트되지 않은 상태에서, 제2 리소그래피 단계 직전에 수행된다.
OCD 계측에 의한 스택 및 토포그래피 검증은 여러 방법으로 행해질 수 있으며, 각 측정 유형마다 요건이 상이하기 때문에 필름과 OCD로 구분될 수 있다. 기본적인 양상은 스크라이브 라인 영역 및 디바이스와는 상이한 피처 크기 및 피치로 일반적으로 배치되는 타겟과 디바이스 사이의 스택 변화 차이로 인해 OVL 타겟에 대한 관련 스택을 측정하는 것일 수 있으며, 이것은 타겟 토포그래피 상에서 상당히 제조 공정에 영향을 미친다. 필름 측정은 타겟 부근의 개방 영역/존(예컨대, OVL, 오버레이, 타겟)에서 그리고/또는 특별히 필름 패드(존재하는 경우)에서 수행될 수 있다. OCD 또는 필름 측정은 (OVL) 타겟 자체와 동일한 피치 및 기하학적 설계를 포함할 수 있는 특수 타겟에서 먼저 수행될 수 있는데, 그 타겟은 OCD 또는 필름 툴 요건에 맞는 특정 크기로 이루어진 것이며, 예를 들어 여러 설계가, 필름에만, 필름 위의 격자에, 격자 위의 격자에 적용될 수 있고, 다음 설계는 상이한 측정 위치로 인한 오차 원인을 제거할 수 있는 OVL 타겟 자체일 수도 있다. OCD 또는 필름 타겟은 상이한 공간 크기를 가질 수 있으며, 다양하게 설계된 주변 구조를 가질 수 있다. 필름 또는 OCD 계측의 샘플링은 필드(field) 상의 단일 사이트에서, 즉 웨이퍼 상의 단일 사이트에서 이루어질 수 있거나, 전체 필드 및 웨이퍼 레벨 샘플링일 수 있다. 또한, 웨이퍼, 또는 로트 내의 웨이퍼 상의 위치의 보다 집중적인 공간 샘플링이, 웨이퍼에 걸쳐 또는 로트 전체에 걸쳐 상기 토포그래피 파라미터의 공정 변화를 정량화하는데 이용될 수도 있다. OCD 계측은 회귀 및 다양한 알고리즘(예컨대, L-M(Levenberg-Marquet) 및 N2X 솔버)을 포함하는 다양한 모델링 방법에 의해 수행될 수 있다. 소정의 실시형태에서는, 도 1에 도시되는 단계들의 서브세트만이 구현될 수도 있으며, 예컨대, OCD가 CD-SEM을 대체할 수 있고, 심지어 더 나은 정밀도로 달성할 수 있다는 강력한 증거가 이미 존재하고, OCD가 비파괴적이라는 이점이 있기 때문에, 검증(100)은 단면 검사(72) 등의 단계를 생략할 수도 있다.
스택 및 토포그래피 검증을 위해 특히 검증 타겟의 사용과 관련되는 추가 단계를 포함한, 스택 및 토포그래피 검증 시퀀스의 소정의 실시형태가 도 2에 도시된다. 검증 타겟은 오버레이 타겟 피처를 포함하도록 구성될 수 있고, OCD 센서에 의해 측정될 수 있도록 크기가 최적화될 수 있으며, 이용된 OCD 데이터를 도출하도록 측정될 수 있다. 검증 타겟의 주변 구조에 대한 근접도(proximity), 크기 및 위치는 그 측정에 의해 제공되는 보정의 정확도를 높이도록 최적화될 수 있다.
계측 벤더(70)는 제조사(60)로부터 타겟 좌표(164)를 수신하면, 추가 검증 단계(126)에서 전용 OCD 또는 필름 검증 레시피를 준비(120)하고, 검증 레시피를 측정(122)하고 측정된 파라미터(예컨대, n, k, t 등)를 이용하여, 앞에서 달성한 업데이트된 스택 기술(116)을 더욱 개선할 수 있다. 여기에서도 단계들의 서브세트만이 구현될 수 있는데, 예컨대, 검증(100)은 전술한 바와 같이 단면 검사(72) 등의 단계를 생략할 수 있다.
유리하게는, 현재의 시뮬레이션 기반의 계측 타겟 설계가 스택 및 토포그래피 검증 없이 수행되어, 잠재적으로 부정확한 타겟 기하구조 선택을 초래하지만, 제안된 모델 검증 방법은 시뮬레이션된 계측 성능과 측정된 계측 성능 사이의 매칭이 달성되게 함으로써, 후속 테이프 아웃(tape out) 시에 보다 정확한 타겟 설계가 달성되게 할 수 있다. 이 방법론은 현재 생성 계측, 특히 오버레이 계측에서 중요한 요건인 정확한 계측 타겟 설계를 가능하게 한다.
상기 방법론은 임의의 계측 기술, 임의의 계측 툴 및 구성, 임의의 광원 및 조명 구성, 임의의 타겟 유형 및 타겟 위치, 당업계에 공지된 임의의 측정 구성 및 방법론에 적용될 수 있으며, 그 중 일부는 위의 [배경기술] 부분에서 설명하였고, 하드웨어, 알고리즘/소프트웨어 구현예 및 아키텍처, 앞에서 요약한 사용 사례에 한정되지 않음을 알아야 한다. 타겟 특성은 임계 치수, 오버레이, 측벽각, 형상, 응력, 조성, 필름, 필름 두께, 밴드갭, 전기적 특성, 공정 관련 파라미터(예컨대, 포커스 및/또는 도즈량), 공정 파라미터(예컨대, 레지스트 상태, 부분 압력, 온도, 포커싱 모델뿐만 아니라 위치, 크기 및 주변 구조)의 생성 중 어느 것을 포함할 수도 있다.
도 3a는 종래기술의 오버레이 계측(90)의 상위 레벨 개략도이다. 오버레이 타겟(90)은 4개의 셀(91)을 포함하며, 각각의 대각선 쌍은 상이한 측정 방향(수직 x 및 y 방향)으로 오버레이 측정을 제공하도록 설계되어 있다. 각각의 셀(91)은 이전(하부) 층에 있는 주기적 구조(92)와 현재(상부) 층에 있는 주기적 구조(93)를 포함하며, 주기적 구조(92, 93)는 각각의 대각선 쌍의 셀에서 반대 방향으로 오프셋되어 있다(예컨대, 상부 구조는 하부 구조에 대해 +f0 및 -f0로 시프트된다). 종래기술의 오버레이 타겟은 그것의 특정 설계에 따라 상이한 수의 셀 및 층을 포함할 수 있음을 알아야 한다. 이것은 일례로서 도시되며, 계측 타겟은 이미징 오버레이(imaging overlay)일 수도 있거나 (도 3a에 도시된 격자 위 격자(grating over grating) 계측 타겟과는 대조적으로) 소위 사이드 바이 사이드(side-by-side) 격자 타겟일 수도 있음이 이해되어야 한다.
도 3b와 도 3c는 본 발명의 일부 실시형태에 따른 검증 타겟(130A, 130B)의 상위 레벨 개략도이다. 검증 타겟(130A, 130B)은 주기적 구조(132, 133) 등의, 오버레이 타겟 피처를 구비한 적어도 하나의 층으로 된 단일 셀(131)을 포함한다. 필름 측정 검증의 경우에, 셀은 필름 스택만으로 구성될 수도 있다. 셀(131)은 적어도 10 ㎛, 예컨대 10 ㎛, 15 ㎛, 30 ㎛ 등의 셀 측면 치수(side dimension)를 가지며, OCD 센서에 의해 측정될 수 있도록 크기가 최적화되어 있다. 검증 타겟(130A)은 대응하는 계측 타겟의 셀들 중 하나에 있는 주기적 구조(오버레이 타겟(90)의 셀(91) 내의 주기적 구조(92, 93) 등)에 대해 피치 및 CD가 동일한 적어도 2개의 주기적 구조(132, 133)를 포함할 수 있다. 소정의 실시형태에서, 타겟(90) 내의 오프셋(+f0 또는 -f0) 중 하나는 대응하는 검증 타겟 내의 주기적 구조(132, 133) 사이에 설계될 수 있다. 예를 들어, 타겟(90) 내의 셀들(91) 중 하나는 검증 타겟 (130A, 130B) 등의 검증 타겟을 형성하기 위해 OCD 센서에 의해 측정 가능한 치수로 확대될 수도 있다. 검증 타겟은 대응하는 오버레이 타겟 옆에 설계되어 생성될 수 있다. 검증 타겟(130B)은 대응하는 계측 타겟의 이전 층의 주기적 구조(오버레이 타겟(90)의 주기적 구조(92) 등)에 대해 피치 및 CD가 동일한 단일의 주기적 구조(132)를 포함할 수 있다. 예를 들어, 단일의 주기적 구조(132)는 전술한 바와 같이, 대응하는 오버레이 타겟의 이전 층일 수 있다.
소정의 실시형태에서는, 검증 타겟이 필름 측정 검증을 수행하기 위해 필름 스택만 구비한 대응하는 검증 셀을 포함할 수 있음을 알아야 한다.
모든 검증 타겟은 대응하는 계측 타겟 옆에 설계되어 생성될 수 있으며, 타겟 설계 파일은 대응하는 계측 타겟의 설계 옆에 검증 타겟의 임의의 설계를 포함할 수 있다. 임의의 검증 타겟의 OCD 측정치는 개시하는 방법에서 사용될 수 있으며 본 발명의 부분으로 간주된다.
검증 타겟은 오버레이 타겟 내의 단일 셀의 것과 명목상으로 동일한 피처, 피치와 분할부(segmentation) 및/또는 토포그래피를 포함할 수 있다. 오버레이 타겟의 크기 및/또는 레이아웃은 오버레이 계측 센서 상에서 측정되도록 선택될 수 있고, 검증 타겟의 크기 및/또는 레이아웃은 CD 계측 센서 상에서 측정되도록 선택될 수 있다. 검증 타겟은 선택적으로 대응하는 오버레이 타겟 옆에 설정될 수 있으며, 예컨대 로트 적층(lot dispositioning) 및/또는 공정 제어 피드백에 이용될 수 있다.
도 4는 본 발명의 일부 실시형태에 따른 타겟 설계 방법(200)을 설명하는 상위 레벨 개략 흐름도이다. 방법(200)은 적어도 부분적으로, 예컨대 계측 타겟 설계 모듈에서 적어도 하나의 컴퓨터 프로세서에 의해 구현될 수 있다. 소정의 실시형태는 방법(200)의 관련 단계들을 수행하도록 구성된 컴퓨터 판독 가능한 프로그램이 저장되어 있는 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품을 포함한다. 소정의 실시형태는 방법(200)의 실시형태에서 설계된 각각의 타겟의 타겟 설계 파일을 포함한다.
계측 타겟 설계 방법(200)은 타겟 모델과 웨이퍼 상의 대응하는 실제 타겟 간의 불일치(discrepancy)의 추정치로서, 적어도 하나의 설계된 계측 타겟에 관련된 OCD 데이터를 이용하는 단계(단계 210)와, 추정된 불일치를 보상하기 위해 계측 타겟 설계 모델을 조정하는 단계(단계 220)를 포함할 수 있다. 소정의 실시형태에 있어서, 이용된 OCD 데이터는 적어도 하나의 설계된 계측 타겟의 이전 층에 관련될 수 있고(단계 212) 그리고/또는 이용된 OCD 데이터는 워크북 데이터 및/또는 시뮬레이션 결과를 포함할 수 있다(단계 214). 방법(200)은 기하학적 및/또는 광학적 파라미터, 및/또는 공정 변화 파라미터에 대해 불일치를 추정하는 단계(단계 216)를 포함할 수 있다. 예를 들어, 불일치에 대한 가능한 소스에 대한 다음의 양태들, 즉 하나 이상의 임계 치수, 굴절률(n's)과 소광 계수(k's) 등의 하나 이상의 광학 재료 특성, 하나 이상의 필름 두께, 토포그래피 및 공정 변화의 존재 중 임의의 것이 방법(200)에 의해 검증될 수 있으며, 공정 변화는 유도된 토포그래피, 적층된 토포그래피, 에칭된 토포그래피, 및 CMP(화학적 기계 평탄화, Chemical Mechanical Planarization) 디싱 중 어느 하나와 관련되며, 대칭 및/또는 비대칭 공정 변화를 포함할 수 있다.
소정의 실시형태에서, 방법(200)은, 오버레이 타겟 피처를 포함하도록 구성되고 OCD 센서에 의해 측정 가능하도록 크기가 최적화된, 예컨대 적어도 10 ㎛, 15 ㎛, 30 ㎛ 등의 셀 측면 치수를 가진 적어도 하나의 검증 타겟을 설계하는 단계(단계 230)를 더 포함할 수 있다. 예를 들어, 방법(200)은 검증 타겟을 오버레이 타겟의 확대된 셀로서 설계하는 단계(단계 232) 및 선택적으로, 대응하는 오버레이 타겟 옆에 검증 타겟을 설계하는 단계(단계 234)를 포함할 수 있다. 적어도 하나의 검증 타겟은 적어도 하나의 오버레이 계측 타겟 옆에 설계될 수 있다. 소정의 실시형태에 있어서, 방법(200)은 필름 측정 검증을 위해 필름 스택만 구비한 검증 셀을 사용하는 단계(단계 236)를 포함할 수 있다.
방법(200)은 이용된 OCD 데이터를 도출하기 위해, OCD 센서에 의해, 예컨대 광학 또는 SEM CD 계측으로 적어도 하나의 검증 타겟을 측정하는 단계(단계 240)를 더 포함할 수 있다. 소정의 실시형태에 있어서, 검증 타겟의 설계된 피처는, 적어도 하나의 오버레이 계측 타겟의 대응하는 피처에 명목상 동일한 하나 이상의 토포그래피, 하나 이상의 피치, 및 하나 이상의 분할부(segmentation) 중 적어도 하나를, 설계된 계측 타겟으로서 포함할 수 있다.
소정의 실시형태에 있어서, 방법(200)은 적어도 하나의 검증 타겟의 주변 구조에 대한 근접도, 크기 및 위치 중 적어도 하나를 최적화하는 단계(단계 250)를 더 포함할 수 있다.
소정의 실시형태에 있어서, 방법(200)은 오버레이 계측을 수행하는데 이용되는 오버레이 타겟의 엘리먼트를 사용하여 설계된 검증 타겟의 측정치를 이용함으로써 오버레이 타겟의 측정과 시뮬레이션 간의 매칭을 개선하는 단계(단계 260)를 더 포함할 수 있다. 방법(200)은 개선된 매칭을 이용하여, 후속으로 프린트되는 계측 타겟의 설계를 개선하는 단계(단계 262)를 더 포함할 수 있다.
소정의 실시형태에 있어서, 방법(200)은 오버레이 타겟의 엘리먼트를 사용하여 설계된 검증 타겟의 측정치를 이용함으로써, 예컨대 시뮬레이션 시스템 및/또는 각각의 계측 시스템 또는 툴에 정보를 피드포워드함으로써, 오버레이 타겟의 오버레이 측정치의 계측 레시피 셋업을 최적화하는 단계(단계 270)를 더 포함할 수 있다. 예를 들어, 계측 정확도, 정밀도 및/또는 강건도, 및/또는 이들의 조합 중 어느 하나에 대해 계측 레시피 셋업의 최적화가 행해질 수 있다(단계 272). 소정의 실시형태에 있어서, 시뮬레이션 시스템은 그 전체가 본 명세서에 참조문헌으로 포함되는 미국 특허 제8,214,771호에 기재된 방법에 따라 계측 타겟을 설계하는데 이용될 수 있다.
이상의 설명에서, 실시형태는 본 발명의 실시예 또는 구현예이다. "일 실시형태", "한 실시형태", "소정의 실시형태", 또는 "일부 실시형태"의 다양한 양상이 반드시 동일한 실시형태를 언급하지는 않는다.
본 발명의 다양한 특징이 단일 실시형태의 상황에서 설명될 수도 있지만, 그 특징은 별도로 또는 임의의 적절한 조합으로 제공될 수도 있다. 반대로, 본 발명이 명확성을 위해 별개의 실시형태의 상황에서 본 명세서에 설명될 수도 있으나, 본 방법은 단일 실시형태로 실시될 수도 있다.
본 발명의 소정의 실시형태는 앞에 개시한 상이한 실시형태와는 상이한 실시형태로부터의 특징을 포함할 수 있고, 소정의 실시형태는 앞에 개시한 다른 실시형태와는 다른 요소를 포함할 수도 있다. 소정의 실시형태의 상황에서의 본 발명의 요소의 개시는 소정의 실시형태에서만의 이용을 제한하는 것으로 의도되지 않는다.
또한, 본 발명은 다양하게 수행되거나 실시될 수 있고, 본 발명은 이상의 설명에서 개설한 것과 다른 소정의 실시형태로 구현될 수도 있음은 물론이다.
본 발명은 해당 도면에 또는 대응하는 설명에 제한되지 않는다. 예를 들어, 흐름은 각각의 도시하는 박스 또는 상태를 거치거나, 도시하고 설명한 바와 정확히 동일한 순서로 이동할 필요가 없다.
본 명세서에서 이용되는 기술적 그리고 과학적 용어의 의미는, 다른 식으로 정의하지 않는 한, 본 발명이 속하는 기술 분야에 속하는 사람들이 일반적으로 이해하는 것이다.
본 발명을 제한된 수의 실시형태에 대해서 설명하였지만, 이들은 본 발명의 범위에 대한 제한으로서 해석되는 것이 아니라 양호한 실시형태의 일부의 예시로서 해석되어야 한다. 다른 가능한 변화, 변형 및 적용도 본 발명의 범위 내에 있다. 따라서, 본 발명의 범위는 지금까지 설명한 것에 제한되는 것이 아니라, 첨부하는 청구범위 및 이것의 법적 균등물에 의해 제한된다.

Claims (24)

  1. 적어도 하나의 설계된 오버레이 계측 타겟에 관련된 OCD 데이터를, 타겟 모델과 웨이퍼 상의 대응하는 실제 타겟 간의 불일치(discrepancy)의 추정치로서 이용하는 단계와, 추정된 불일치를 보상하기 위해 계측 타겟 설계 모델을 조정하는 단계를 포함하는 계측 타겟 설계 방법.
  2. 제1항에 있어서, 상기 조정하는 단계는, 임계 치수, 광학 재료 특성, 필름 두께, 토포그래피, 및 공정 변화(process variation)의 존재 중 적어도 하나에 대해 행해지는 것인 계측 타겟 설계 방법.
  3. 제2항에 있어서, 상기 공정 변화는, 유도된 토포그래피, 적층된 토포그래피, 에칭된 토포그래피, 및 CMP(Chemical Mechanical Planarization, 화학적 기계 평탄화) 디싱(dishing) 중 적어도 하나에 관한 것인 계측 타겟 설계 방법.
  4. 제2항에 있어서, 상기 공정 변화는 대칭 및/또는 비대칭 공정 변화를 포함하는 것인 계측 타겟 설계 방법.
  5. 제1항 또는 제2항에 있어서, 상기 OCD 데이터는 상기 적어도 하나의 설계된 계측 타겟의 이전 층에 관한 것인 계측 타겟 설계 방법.
  6. 제1항 또는 제2항에 있어서, 상기 OCD 데이터는 워크북 데이터(workbook data)와 시뮬레이션 결과 중 적어도 하나를 포함하는 것인 계측 타겟 설계 방법.
  7. 제1항에 있어서,
    적어도 하나의 검증 타겟을, 오버레이 타겟 피처를 포함하고 셀 측면 치수(side dimension)가 적어도 10 ㎛인 셀로서 설계하는 단계와,
    이용된 OCD 데이터를 도출하기 위해 상기 적어도 하나의 검증 타겟을 OCD 센서로 측정하는 단계
    를 더 포함하는 계측 타겟 설계 방법.
  8. 제7항에 있어서, 상기 적어도 하나의 검증 타겟의 주변 구조에 대한 근접도(proximity), 크기 및 위치 중 적어도 하나를 최적화하는 단계를 더 포함하는 계측 타겟 설계 방법.
  9. 제7항에 있어서, 상기 측정하는 단계는 광학 또는 SEM CD 계측에 의해 행해지는 것인 계측 타겟 설계 방법.
  10. 제7항에 있어서, 상기 적어도 하나의 검증 타겟의 설계된 피처는, 적어도 하나의 오버레이 계측 타겟의 대응하는 피처와 동일한 토포그래피, 분할부(segmentation) 및 피치 중 적어도 하나를, 상기 적어도 하나의 설계된 계측 타겟으로서 포함하는 것인 계측 타겟 설계 방법.
  11. 제10항에 있어서, 상기 적어도 하나의 오버레이 계측 타겟 옆에 상기 적어도 하나의 검증 타겟을 설계하는 단계를 더 포함하는 계측 타겟 설계 방법.
  12. 제10항에 있어서, 상기 적어도 하나의 검증 타겟의 측정치를 이용하여 상기 적어도 하나의 오버레이 타겟의 측정과 시뮬레이션 간의 매칭을 개선하는 단계를 더 포함하는 계측 타겟 설계 방법.
  13. 제12항에 있어서, 개선된 매칭을 이용하여 후속으로 프린트되는 계측 타겟의 설계를 개선하는 단계를 더 포함하는 계측 타겟 설계 방법.
  14. 제10항에 있어서, 상기 적어도 하나의 검증 타겟의 측정치를 이용하여, 상기 적어도 하나의 오버레이 계측 타겟의 오버레이 측정치의 계측 레시피 셋업을 최적화하는 단계를 더 포함하는 계측 타겟 설계 방법.
  15. 제14항에 있어서, 상기 최적화하는 단계는, 계측 정확도, 계측 정밀도, 계측 간겅도(robustness), 및 이들의 조합 중 적어도 하나에 대해 행해지는 것인 계측 타겟 설계 방법.
  16. 제1항 내지 제15항 중 어느 한 항에 기재된 계측 타겟 설계 방법을 수행하도록 구성된 컴퓨터 판독 가능한 프로그램이 저장되어(embody) 있는 비일시적 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품.
  17. 제16항에 기재된 컴퓨터 프로그램 제품을 포함하는 계측 타겟 설계 모듈.
  18. 적어도 하나의 컴퓨터 프로세서에 의해 적어도 부분적으로 수행되는, 제1항 내지 제14항 중 어느 한 항에 기재된 계측 타겟 설계 방법.
  19. 오버레이 타겟 피처를 구비한 적어도 하나의 층을 갖는 단일 셀로서, 셀 측면 치수가 적어도 10 ㎛인 상기 셀을 포함하는 검증 계측 타겟.
  20. 제19항에 있어서, 대응하는 계측 타겟의 이전 층의 주기적 구조(periodic structure)에 대해 피치 및 CD가 동일한 단일의 주기적 구조를 포함하는 검증 계측 타겟.
  21. 제19항에 있어서, 대응하는 계측 타겟의 셀들 중 하나에 있는 주기적 구조에 대해 피치 및 CD가 동일한 적어도 2개의 주기적 구조를 포함하는 검증 계측 타겟.
  22. 제20항 또는 제21항에 있어서, 상기 대응하는 계측 타겟 옆에 설계되어 생성되는 검증 계측 타겟.
  23. 대응하는 계측 타겟의 설계 옆에, 제20항 또는 제21항에 기재된 검증 계측 타겟의 설계를 포함하는 타겟 설계 파일.
  24. 제19항 내지 제22항 중 어느 한 항에 기재된 검증 타겟의 ODC 측정.
KR1020177011780A 2014-10-03 2015-10-02 검증 계측 타겟 및 그 설계 KR102548650B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462059640P 2014-10-03 2014-10-03
US62/059,640 2014-10-03
PCT/US2015/053838 WO2016054581A1 (en) 2014-10-03 2015-10-02 Verification metrology targets and their design

Publications (2)

Publication Number Publication Date
KR20170066524A true KR20170066524A (ko) 2017-06-14
KR102548650B1 KR102548650B1 (ko) 2023-06-27

Family

ID=55631654

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177011780A KR102548650B1 (ko) 2014-10-03 2015-10-02 검증 계측 타겟 및 그 설계

Country Status (5)

Country Link
US (2) US10705434B2 (ko)
KR (1) KR102548650B1 (ko)
CN (2) CN106796900B (ko)
TW (1) TWI703651B (ko)
WO (1) WO2016054581A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200029607A (ko) * 2017-08-07 2020-03-18 케이엘에이 코포레이션 분광 계측을 사용한 패터닝된 필름 스택의 밴드 갭 측정
KR20210105424A (ko) * 2019-01-29 2021-08-26 에이에스엠엘 네델란즈 비.브이. 반도체 제조 공정에서의 의사 결정 방법

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016078861A1 (en) * 2014-11-17 2016-05-26 Asml Netherlands B.V. Process based metrology target design
WO2017063827A1 (en) * 2015-10-12 2017-04-20 Asml Netherlands B.V. Indirect determination of a processing parameter
US10527952B2 (en) * 2016-10-25 2020-01-07 Kla-Tencor Corporation Fault discrimination and calibration of scatterometry overlay targets
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
CN110168447B (zh) * 2017-06-22 2021-07-06 Asml荷兰有限公司 用于确定对指纹的贡献的方法
US10866090B2 (en) * 2017-07-06 2020-12-15 Kla-Tencor Corporation Estimating amplitude and phase asymmetry in imaging technology for achieving high accuracy in overlay metrology
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS
US11519869B2 (en) * 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
JP7431824B2 (ja) 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
EP3870935A4 (en) * 2018-11-21 2022-08-31 Kla-Tencor Corporation GRAY SCATTEROMETRIC OVERLAY TARGETS FOR SINGLE CELLS AND THEIR MEASUREMENT USING DIFFERENT ILLUMINATION PARAMETERS
US11126769B2 (en) 2020-02-04 2021-09-21 Applied Materials, Inc. Unified material-to-systems simulation, design, and verification for semiconductor design and manufacturing
US20230084130A1 (en) * 2020-02-12 2023-03-16 Asml Netherlands B.V. Methods of tuning a model for a lithographic process and associated apparatuses
TWI786566B (zh) * 2021-03-11 2022-12-11 南亞科技股份有限公司 半導體結構製造方法與半導體結構製造系統
CN113343492B (zh) * 2021-06-30 2023-07-18 上海精测半导体技术有限公司 一种理论光谱数据的优化方法、系统及光学测量方法
CN115312417B (zh) * 2022-10-11 2023-02-03 合肥新晶集成电路有限公司 Ocd的测量方法以及其测量装置
US20240168391A1 (en) * 2022-11-23 2024-05-23 Kla Corporation Device feature specific edge placement error (epe)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030021465A1 (en) * 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
US7804994B2 (en) * 2002-02-15 2010-09-28 Kla-Tencor Technologies Corporation Overlay metrology and control method
US20120330592A1 (en) * 2011-06-21 2012-12-27 Asml Netherlands B.V. Inspection Method and Apparatus

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5859525A (en) 1993-09-24 1999-01-12 Minks Engineering, Inc. Alternator rectification, regulation, and control circuit
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6093640A (en) * 1999-01-11 2000-07-25 Taiwan Semiconductor Manufacturing Company Overlay measurement improvement between damascene metal interconnections
CN1371504A (zh) 1999-01-13 2002-09-25 电脑相关想象公司 签名识别系统和方法
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6869739B1 (en) * 2003-01-28 2005-03-22 International Business Machines Corporation Integrated lithographic print and detection model for optical CD
US7346878B1 (en) * 2003-07-02 2008-03-18 Kla-Tencor Technologies Corporation Apparatus and methods for providing in-chip microtargets for metrology or inspection
US7266800B2 (en) * 2004-06-04 2007-09-04 Invarium, Inc. Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7561282B1 (en) * 2006-03-27 2009-07-14 Kla-Tencor Technologies Corporation Techniques for determining overlay and critical dimension using a single metrology tool
US8975599B2 (en) * 2007-05-03 2015-03-10 Asml Netherlands B.V. Image sensor, lithographic apparatus comprising an image sensor and use of an image sensor in a lithographic apparatus
CN102057329B (zh) * 2008-06-03 2013-08-21 Asml荷兰有限公司 基于模型的过程模拟的方法
US8930156B2 (en) 2008-07-21 2015-01-06 Kla-Tencor Corporation Metrology through use of feed forward feed sideways and measurement cell re-use
WO2010020331A1 (en) * 2008-08-19 2010-02-25 Asml Netherlands B.V. A method of measuring overlay error and a device manufacturing method
CN101727014B (zh) * 2008-10-28 2011-11-30 中芯国际集成电路制造(北京)有限公司 控制特征尺寸的光刻方法及光刻系统
US8214771B2 (en) * 2009-01-08 2012-07-03 Kla-Tencor Corporation Scatterometry metrology target design optimization
CN102460310B (zh) * 2009-06-17 2014-07-02 Asml荷兰有限公司 重叠测量的方法、光刻设备、检查设备、处理设备和光刻处理单元
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
WO2012010458A1 (en) * 2010-07-19 2012-01-26 Asml Netherlands B.V. Method and apparatus for determining an overlay error
EP2458441B1 (en) * 2010-11-30 2022-01-19 ASML Netherlands BV Measuring method, apparatus and substrate
WO2012138758A1 (en) * 2011-04-06 2012-10-11 Kla-Tencor Corporation Method and system for providing a quality metric for improved process control
US20130042089A1 (en) 2011-08-11 2013-02-14 Advanced Micro Devices, Inc. Word line late kill in scheduler
NL2009294A (en) * 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
NL2009336A (en) * 2011-09-21 2013-03-25 Asml Netherlands Bv Method for calibrating a manufacturing process model.
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
KR102072966B1 (ko) * 2012-11-30 2020-02-05 삼성디스플레이 주식회사 표시기판 및 표시기판의 패턴 치수의 측정방법
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
KR102020021B1 (ko) * 2013-03-04 2019-09-10 케이엘에이 코포레이션 계측 타겟의 식별, 디자인 및 검증
US9909982B2 (en) 2013-03-08 2018-03-06 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9189705B2 (en) * 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods
US9347872B1 (en) * 2013-09-23 2016-05-24 Kla-Tencor Corporation Meta-model based measurement refinement
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030021465A1 (en) * 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
US6985618B2 (en) * 2000-08-30 2006-01-10 Kla-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7804994B2 (en) * 2002-02-15 2010-09-28 Kla-Tencor Technologies Corporation Overlay metrology and control method
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
US20120330592A1 (en) * 2011-06-21 2012-12-27 Asml Netherlands B.V. Inspection Method and Apparatus

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200029607A (ko) * 2017-08-07 2020-03-18 케이엘에이 코포레이션 분광 계측을 사용한 패터닝된 필름 스택의 밴드 갭 측정
KR20210105424A (ko) * 2019-01-29 2021-08-26 에이에스엠엘 네델란즈 비.브이. 반도체 제조 공정에서의 의사 결정 방법
US11687007B2 (en) 2019-01-29 2023-06-27 Asml Netherlands B.V. Method for decision making in a semiconductor manufacturing process

Also Published As

Publication number Publication date
TW201618209A (zh) 2016-05-16
US11874605B2 (en) 2024-01-16
US10705434B2 (en) 2020-07-07
KR102548650B1 (ko) 2023-06-27
US20170060001A1 (en) 2017-03-02
WO2016054581A1 (en) 2016-04-07
US20200348604A1 (en) 2020-11-05
TWI703651B (zh) 2020-09-01
CN106796900A (zh) 2017-05-31
CN112331576A (zh) 2021-02-05
CN106796900B (zh) 2020-11-06

Similar Documents

Publication Publication Date Title
US11874605B2 (en) Verification metrology targets and their design
JP7500694B2 (ja) 計測ターゲット設計の方法、計測モジュール、及び計測ターゲットの製造方法
US20200401054A1 (en) Measuring a Process Parameter for a Manufacturing Process Involving Lithography
CN107429995B (zh) 在计量系统中计量数据的前向馈送
KR102287785B1 (ko) 반도체 타겟의 계측을 위한 차동 방법 및 장치
KR102579585B1 (ko) 다중 패턴화 파라미터의 측정
EP3033764B1 (en) Methods and apparatus for determining focus
TWI445920B (zh) 測量基板上結構之方法及裝置、產生模型配方之方法及裝置及實施此等方法及裝置之電腦程式產品
KR102246286B1 (ko) 메트롤로지 타겟의 디자인을 위한 방법 및 장치
TWI575334B (zh) 檢查方法、微影裝置、光罩及基板
TWI559099B (zh) 用於設計度量衡目標之方法及裝置
JP6291581B2 (ja) メトロロジーターゲットの設計のための方法及び装置
KR20190070992A (ko) 리소그래피 프로세스의 파라미터를 측정하는 방법 및 장치, 그 방법 및 장치를 구현하기 위한 컴퓨터 프로그램 제품
KR102109508B1 (ko) 디바이스 제조 방법과 관련 리소그래피 장치, 검사 장치 및 리소그래피 처리 셀
TW201706723A (zh) 度量衡方法及設備、電腦程式及微影系統
KR20200125686A (ko) 반도체 구조체의 모델 기반 재구성

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant