KR20170044010A - 임피던스 매칭 회로 내에서 사용되는 균일도 제어 회로 - Google Patents

임피던스 매칭 회로 내에서 사용되는 균일도 제어 회로 Download PDF

Info

Publication number
KR20170044010A
KR20170044010A KR1020160125703A KR20160125703A KR20170044010A KR 20170044010 A KR20170044010 A KR 20170044010A KR 1020160125703 A KR1020160125703 A KR 1020160125703A KR 20160125703 A KR20160125703 A KR 20160125703A KR 20170044010 A KR20170044010 A KR 20170044010A
Authority
KR
South Korea
Prior art keywords
circuit
ucc
capacitance
coupled
generator
Prior art date
Application number
KR1020160125703A
Other languages
English (en)
Other versions
KR102668918B1 (ko
Inventor
알렉세이 마라크타노브
펠릭스 코자케비치
케네스 루체시
존 패트릭 홀랜드
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170044010A publication Critical patent/KR20170044010A/ko
Application granted granted Critical
Publication of KR102668918B1 publication Critical patent/KR102668918B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

IMC (impedance matching circuit) 가 기술된다. IMC는 경로를 따라 규정된 제 1 복수의 튜닝 엘리먼트들을 포함하는 제 1 회로를 포함한다. 제 1 회로는 킬로헤르츠 (kHz) RF (radio frequency) 생성기에 커플링된 입력부를 갖는다. 제 1 회로는 출력부에 커플링된다. IMC는 제 2 복수의 튜닝 엘리먼트들을 갖는 제 2 회로를 더 포함한다. 제 2 회로는 메가헤르츠 (MHz) RF 생성기에 커플링된 입력부를 갖고 출력부에 커플링된다. IMC는 제 1 회로의 복수의 튜닝 엘리먼트들 중 적어도 하나로부터 구성된 UCC (uniformity control circuit) 를 포함한다. UCC는 플라즈마 챔버에 의해 생성된 에칭 레이트의 방사상 균일도 프로파일에 적어도 부분적으로 영향을 주는 커패시턴스를 규정하도록 제 1 회로의 경로를 따라 직렬로 연결된다.

Description

임피던스 매칭 회로 내에서 사용되는 균일도 제어 회로{UNIFORMITY CONTROL CIRCUIT FOR USE WITHIN IMPEDANCE MATCHING CIRCUIT}
본 실시예들은 임피던스 매칭 회로 내에서 사용되는 균일도 제어 회로 (UCC: uniformity control circuit) 에 관한 것이다.
반도체 웨이퍼 제조시, 플라즈마 에칭은 반도체 웨이퍼의 표면으로부터 재료들, 예를 들어, 옥사이드들, 등을 에칭하도록 일반적으로 사용된다. 에칭을 수행하기 위해, 플라즈마 에칭 챔버가 통상적으로 사용된다. 플라즈마 에칭 챔버는 포토레지스트 마스크에 의해 규정된 반도체 웨이퍼 위에 증착된 선택된 층들을 에칭할 수 있다. 에칭을 수행하기 위해, 플라즈마 에칭 챔버는 프로세스 가스들을 수용하고, RF (radio frequency) 전력이 플라즈마 에칭 챔버 내 하나 이상의 전극들, 예를 들어, 상부 전극, 하부 전극, 등에 인가된다. 게다가, 다른 변수들, 예를 들어, 플라즈마 에칭 챔버 내 압력, 플라즈마 에칭 챔버 내 온도, 등은 특정한 목표된 프로세스에 따라 제어된다. 목표된 양의 RF 전력이 전극들에 인가될 때, 플라즈마 에칭 챔버 내 프로세스 가스들은 상부 전극과 하부 전극 사이의 갭 내에 플라즈마가 생성되도록 이온화된다.
반도체 웨이퍼의 선택된 층들의 목표된 양의 에칭을 수행하기 위해, 플라즈마 에칭 챔버 내 플라즈마의 임피던스는 하나 이상의 엘리먼트들, 예컨대, 상부 전극과 하부 전극 사이의 갭, 전극들의 에지들에서 전극들의 형상들, 플라즈마 에칭 챔버 내 영역에서 가스의 플로우, 등을 조작함으로써 제어된다. 그러나, 엘리먼트들의 이러한 조작은 구현하는 것이 어렵고 비용이 많이 든다.
이러한 맥락에서 본 개시에 기술된 실시예들이 발생한다.
본 개시의 실시예들은 임피던스 매칭 회로에서 사용하기 위한 UCC를 제공하기 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시예들은 다수의 방식들, 예를 들어, 프로세스, 장치, 시스템, 디바이스, 또는 비일시적인 컴퓨터 판독가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇 실시예들은 이하에 기술된다.
플라즈마의 균일도를 변화시키기 위해, 예를 들어, 에칭 레이트의 균일도, 등을 제공하기 위해, 균일도 제어 회로 (UCC) 가 임피던스 매칭 회로 (IMC) 내에 제공된다. UCC는 UCC의 특성을 변화시키기 위해 수동으로 또는 액추에이터를 통해 제어된다. UCC의 특성의 변화는 하나 이상의 파라미터들, 예를 들어, 에칭 레이트의 균일도, UCC에 인접한 회로에서 전송되는 메가헤르츠 신호로부터의 분리, 목표된 양의 전달된 전력, 등을 동시에 달성하기 위해 이루어진다.
특성의 변화는 기술된 엘리먼트들의 조작을 구현하는 것이 쉽고 보다 비용이 적게 든다. 예를 들어, 플라즈마 챔버의 전극의 형상을 변화시키는 것과 비교하여 UCC의 특성을 변화시키는 것이 보다 쉽고 비용 효율적이다. 또 다른 예로서, 플라즈마 챔버로부터 전극(들)을 교체하는 것은 IMC로부터 UCC를 교체하는 것과 비교하여 훨씬 보다 어렵고 비용이 많이 든다. 또 다른 예로서, UCC의 특성을 변화시킴으로써 파라미터들을 제어하는 것은 플라즈마 챔버 내 가스들의 플로우를 제어하는 것 또는 플라즈마 챔버의 상부 전극과 하부 전극 사이의 갭을 제어하는 것과 비교하여 보다 쉽다. 예를 들어, 상부 전극과 하부 전극의 이동 및 가스들의 플로우는 UCC의 특성을 제어하는 것보다 제어하기가 보다 어렵다.
일 실시예에서, IMC가 기술된다. IMC는 경로를 따라 규정된 제 1 복수의 튜닝 엘리먼트들을 포함하는 제 1 회로를 포함한다. 제 1 회로는 킬로헤르츠 (㎑) RF (radio frequency) 생성기에 커플링된 입력부를 갖는다. 제 1 회로는 출력부에 커플링된다. IMC는 제 2 복수의 튜닝 엘리먼트들을 갖는 제 2 회로를 더 포함한다. 제 2 회로는 메가헤르츠 (㎒) RF 생성기에 커플링된 입력부를 갖고 출력부에 커플링된다. 제 1 회로 및 제 2 회로의 출력부는 반도체 기판들을 프로세싱하기 위해 사용된 플라즈마 챔버의 전극에 커플링된 RF 전송선의 입력부에 커플링된다. IMC는 제 1 회로의 복수의 튜닝 엘리먼트들 중 적어도 하나로부터 구성된 UCC를 포함한다. UCC는 플라즈마 챔버에 의해 생성된 에칭 레이트의 방사상 균일도 프로파일에 적어도 부분적으로 영향을 주는 커패시턴스를 규정하도록 제 1 회로의 경로를 따라 직렬로 연결된다. 커패시턴스의 변화는 방사상 균일도 프로파일의 조정을 유발하고, ㎑ RF 생성기는 50 ㎑ 내지 1000 ㎑ 미만의 범위에서 동작하도록 구성된다.
일 실시예에서, 시스템이 기술된다. 시스템은 ㎑ RF 신호를 생성하고 공급하기 위한 ㎑ RF 생성기를 포함한다. ㎑ RF 생성기는 50 ㎑ 내지 1000 ㎑ 미만의 범위 내에서 동작하도록 구성된다. 시스템은 ㎒ RF 신호를 생성하고 공급하기 위한 ㎒ RF 생성기를 더 포함한다. 시스템은 ㎑ RF 신호를 수신하기 위해 ㎑ RF 생성기의 출력부에 연결된 제 1 RF 케이블 및 ㎒ RF 신호를 수신하기 위해 ㎒ RF 생성기의 출력부에 연결된 제 2 RF 케이블을 포함한다. 시스템은 또한 RF 케이블을 통해 ㎑ RF 생성기에 커플링된 IMC를 포함한다. IMC는 제 2 RF 케이블을 통해 ㎒ RF 생성기에 커플링된다. IMC는 출력부를 갖고, ㎑ RF 신호를 전송하기 위한 경로를 따라 위치된 제 1 복수의 튜닝 엘리먼트들을 포함하는 제 1 회로를 포함한다. IMC는 ㎒ RF 신호를 전송하기 위한 제 2 복수의 튜닝 엘리먼트들을 갖는 제 2 회로를 더 포함한다. 제 1 회로 및 제 2 회로는 출력부에 커플링된다. IMC는 제 1 복수의 튜닝 엘리먼트들 중 적어도 하나로부터 구성된 UCC를 포함한다. 시스템은 IMC에 커플링된 RF 전송선 및 RF 전송선에 연결된 전극을 포함하는 플라즈마 챔버를 포함한다. UCC는 플라즈마 챔버에 의해 생성된 에칭 레이트의 방사상 균일도 프로파일을 조정하기 위해 커패시턴스를 규정하도록 제 1 회로의 경로를 따라 직렬로 위치된다.
일 실시예에서, 방법이 기술된다. 방법은 에칭 레이트의 방사상 균일도를 달성하도록 UCC의 특성을 제어하는 단계를 포함한다. UCC는 제 1 복수의 튜닝 엘리먼트들을 포함하는 제 1 회로의 일부이다. 제 1 복수의 튜닝 엘리먼트들은 나머지 튜닝 엘리먼트들을 포함한다. 제 1 회로는 ㎑ RF 생성기에 커플링된 입력부를 갖는다. 제 1 회로는 제 2 복수의 튜닝 엘리먼트들을 갖는 제 2 회로에 커플링된 출력부를 갖는다. 제 2 회로는 ㎒ RF 생성기에 커플링된 입력부를 갖는다. 제 1 회로 및 제 2 회로의 출력부는 RF 전송선의 입력부에 커플링된다. RF 전송선의 출력부는 플라즈마 챔버의 전극에 커플링된다. 방법은 UCC의 특성을 제어한 후 제 1 회로의 나머지 튜닝 엘리먼트들의 특성들을 조정하는 단계를 더 포함한다. 나머지 튜닝 엘리먼트들의 특성들을 조정하는 동작은 제 2 회로를 통해 전달된 ㎒ RF 신호로부터 미리 결정된 레벨의 분리를 제공하고 플라즈마 챔버의 전극으로 전달될 미리 결정된 레벨의 전력을 달성하도록 수행된다.
본 명세서에 기술된 시스템들 및 방법들의 다양한 실시예들의 일부 장점들은 하나 이상의 파라미터들을 제어하기 위해 IMC 내에 UCC를 제공하는 것을 포함한다. 예를 들어, UCC의 커패시터의 커패시턴스는 방사상 플라즈마 균일도, 예를 들어, 방사상 에칭 레이트, 등을 달성하도록 설정된다. 또한, 커패시턴스는 미리 결정된 양의 전력이 플라즈마 챔버 내 전극으로 전달되고, IMC의 회로를 통과하는 고 주파수 RF 신호, 예를 들어, 메가헤르츠 (㎒) RF 신호, 등으로부터 분리량을 달성되도록 설정된다. 부가적으로, 일 실시예에서, 다른 파라미터들, 예를 들어, RF 막대 (rod) 전위, 이온 에너지, 등은 커패시턴스를 설정함으로써 달성된다.
다른 양태들은 첨부된 도면들과 함께 취해진 이하의 상세한 기술로부터 자명해질 것이다.
이 실시예들은 첨부 도면들과 함께 취해진 이하의 기술을 참조하여 최상으로 이해될 수도 있다.
도 1a는 임피던스 매칭 회로 (IMC) 의 UCC의 제어를 예시하기 위한 플라즈마 시스템의 실시예의 도면이다.
도 1b는 도 1a의 IMC 내 UCC의 위치를 예시하기 위한 플라즈마 프로세싱 시스템의 실시예의 도면이다.
도 2a는 도 1a의 IMC의 예인 IMC의 실시예의 도면이다.
도 2ba는 UCC의 실시예를 예시하기 위한, 도 2a의 IMC의 예인, IMC의 실시예의 회로도이다.
도 2bb는 UCC의 실시예를 예시하기 위한, 도 2a의 IMC의 또 다른 예인, IMC의 실시예의 회로도이다.
도 3a는 도 1a의 시스템의 RF (radio frequency) 생성기들과 함께 사용된 IMC의 실시예의 도면이다.
도 3b는 UCC의 실시예를 예시하기 위한, 도 3a의 IMC의 예인, IMC의 실시예의 회로도이다.
도 4a는 도 2a의 IMC의 회로 내 UCC의 위치와 비교된 IMC의 회로 내 UCC의 위치의 변화를 예시하기 위한 IMC의 실시예의 도면이다.
도 4b는 UCC의 실시예를 예시하기 위한, 도 4a의 IMC의 예인, IMC의 실시예의 회로도이다.
도 4c는 UCC의 실시예를 예시하기 위한, 도 4a의 IMC의 예인, IMC의 실시예의 회로도이다.
도 4d는 UCC의 실시예를 예시하기 위한, 도 4a의 IMC의 예인, IMC의 실시예의 회로도이다.
도 4e는 UCC의 실시예를 예시하기 위한, 도 4a의 IMC의 예인, IMC의 실시예의 회로도이다.
도 5는 도 2a의 회로 내 UCC의 위치 및 도 4a의 회로 내 UCC의 위치에 비교된 IMC의 UCC의 위치의 변화를 더 예시하기 위한 IMC의 실시예의 도면이다.
도 6은 복수의 상태들로 동작된 RF 생성기의 실시예의 도면이다.
도 7은 연속파 단일 상태로 동작된 RF 생성기의 실시예의 도면이다.
도 8a는 웨이퍼 DC 바이어스를 측정하기 위한 DC 바이어스 전압 센서 및 전극의 사용 및 웨이퍼 DC 바이어스를 변경하기 위한 UCC의 커패시턴스의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 블록도이다.
도 8b는 UCC의 커패시턴스를 제어하기 위한 RF 막대 전위의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 도면이다.
도 8c는 제거 레이트를 조정하거나 설정하기 위한 UCC의 커패시턴스의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 도면이다.
도 8d는 UCC의 커패시턴스 제어시 이온 포화 전류 밀도의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 블록도이다.
도 8e는 x1 킬로헤르츠 (㎑) RF 생성기에 의해 전달된 전력을 제어하기 위한 UCC의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 블록도이다.
도 8f는 IMC 내 회로를 통해 전달된 RF 신호의 IMC 내 또 다른 회로를 통해 전달된 RF 신호로부터의 분리량을 제어하기 위한 UCC의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 블록도이다.
도 9는 x1 ㎑ RF 생성기에 의해 생성된 RF 신호들의 상이한 전력량에 대한 웨이퍼 DC 바이어스와 UCC의 커패시턴스 간의 관계를 예시하기 위한 그래프의 실시예이다.
도 10a는 x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 상태 S0 동안 UCC의 커패시턴스의 상승에 따른 RF 막대 전위의 변화를 예시하기 위한 그래프의 실시예이다.
도 10b는 x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 상태 S0 동안 도 10a의 그래프의 확대 (줌인) 의 실시예이다.
도 10d는 RF 전송선 대 시간의 지점에서 RF 막대 전위를 예시하기 위한 그래프의 실시예이다.
도 10e는 도 10d의 RF 막대 전위와 UCC의 커패시턴스가 변화될 때의 RF 막대 전위 간의 비교를 예시하기 위한 그래프의 실시예이다.
도 10f는 도 10d의 RF 막대 전위와 도 10e의 RF 막대 전위 간의 비교를 예시하기 위한 그래프의 실시예이다.
도 11은 UCC의 커패시턴스의 상승에 따른 RF 전송선에서 RF 막대 전위가 상승하는 것을 예시하기 위한 그래프의 도면이다.
도 12는 x1 ㎑ RF 생성기가 연속파 신호를 생성할 때 플라즈마 챔버 내 중심 영역에서 옥사이드 에칭 레이트가 UCC의 커패시턴스를 제어함으로써 제어되는 것을 예시하기 위한 그래프의 실시예이다.
도 13a는 UCC의 커패시턴스의 변화와 함께 에칭 레이트의 변화를 예시하기 위한 그래프의 실시예이다.
도 13b는 도 13a의 그래프의 정규화된 버전이다.
이하의 실시예들은 임피던스 매칭 회로 내에서 UCC를 사용하기 위한 시스템들 및 방법들을 기술한다. 본 실시예들은 이들 구체적인 상세들의 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
UCC (uniformity control circuit) 는 하나 이상의 파라미터들을 동시에 달성하기 위해 제공된다. 예를 들어, UCC의 특성은 플라즈마의 방사상 균일도, 예를 들어, 에칭 레이트의 방사상 균일도, 등을 달성하기 위해 수동으로 또는 액추에이터를 통해 변화된다. 더구나, 특성은 UCC에 인접하게 전달되는 고 주파수 신호, 예를 들어, 메가헤르츠 신호, 등으로부터 목표된 양의 분리를 동시에 달성하도록 변화된다. 또한, 특성은 킬로헤르츠 RF 생성기로부터 전달된 목표된 전력량을 동시에 달성함으로써 변화된다. UCC는 임피던스 매칭 회로 (IMC) 의 회로 내에 위치되고 킬로헤르츠 RF 생성기는 ㎑ RF 신호를 IMC의 회로에 제공하기 위해 IMC의 회로에 연결된다.
또한, 일 실시예에서, 다른 파라미터들, 예컨대 목표된 양의 이온 에너지, 목표된 양의 RF 막대 전위, 목표된 양의 이온 포화 전류, 등은 UCC의 특성을 변화시킴으로써 달성된다.
도 1a는 임피던스 매칭 회로 (IMC) (104) 내 UCC (103) 의 제어를 예시하기 위한 플라즈마 시스템 (100) 의 실시예의 도면이다. 플라즈마 시스템 (100) 은 x1 킬로헤르츠 (㎑) RF (radio frequency) 생성기, x 메가헤르츠 (㎒) RF 생성기, 및 y ㎒ RF 생성기를 포함한다. 예로서, x1 ㎑ RF 생성기는 50 ㎑ 내지 1 ㎒ 범위, 예를 들어, 50 ㎑ 이상 1 ㎒ 이하, 등의 주파수를 갖는 RF 신호들을 생성한다. 다른 예로서, x1 ㎑ RF 생성기는 360 ㎑ 내지 440 ㎑의 범위의 주파수를 갖는 RF 신호를 생성한다. 또 다른 예로서, x1 ㎑ RF 생성기는 340 ㎑ 내지 440 ㎑ 범위의 주파수를 갖는 RF 신호를 생성한다. 또 다른 예로서, x1 ㎑ 생성기는 50 ㎑ 내지 999 ㎑의 범위의 주파수를 갖는 RF 신호들을 생성한다. 또 다른 예로서, y ㎒ RF 생성기는 57 ㎒ 내지 63 ㎒의 범위의 주파수를 갖는 RF 신호들을 생성한다.
x ㎒ RF 생성기는 x1 ㎑ RF 생성기의 주파수보다 높은 주파수를 갖는 RF 신호들을 생성하고, 그리고 y ㎒ RF 생성기는 x ㎒ RF 생성기의 주파수보다 높은 주파수를 갖는 RF 신호들을 생성한다. 예를 들어, x ㎒ RF 생성기는 27 ㎒로부터 -10 % 내지 27 ㎒로부터 10 %의 범위의 주파수를 갖는 RF 신호들을 생성하고, y ㎒ RF 생성기는 60 ㎒로부터 -10 % 내지 60 ㎒로부터 10 %의 범위의 주파수를 갖는 RF 신호들을 생성한다. 또 다른 예로서, x ㎒ RF 생성기는 2 ㎒로부터 -10 % 내지 2 ㎒로부터 10 %의 범위의 주파수를 갖는 RF 신호들을 생성하고, y ㎒ RF 생성기는 27 ㎒로부터 -10 % 내지 27 ㎒로부터 10 %의 범위의 주파수를 갖는 RF 신호들을 생성한다. 또 다른 예로서, x ㎒ RF 생성기는 2 ㎒로부터 -10 % 내지 2 ㎒로부터 10 %의 범위의 주파수를 갖는 RF 신호들을 생성하고, y ㎒ RF 생성기는 60 ㎒로부터 -10 % 내지 60 ㎒로부터 10 %의 범위의 주파수를 갖는 RF 신호들을 생성한다.
다양한 RF 전력 레벨들이 x1 ㎑ RF 생성기 및 y ㎒ RF 생성기에 의해 생성된다는 것을 주의해야 한다. 예를 들어, x1 ㎑ RF 생성기는 15 킬로와트 (㎾) 의 전력을 갖는 RF 신호를 생성하고, y ㎒ RF 생성기는 6 ㎾의 전력을 갖는 RF 신호를 생성한다. 다른 예로서, x1 ㎑ RF 생성기가 21 ㎾의 전력을 갖는 RF 신호를 생성할 때, y ㎒ RF 생성기는 6 ㎾의 전력을 갖는 RF 신호를 생성한다. 다른 예로서, x1 ㎑ RF 생성기가 21 ㎾의 전력을 갖는 RF 신호를 생성할 때, y ㎒ RF 생성기는 8 ㎾의 전력을 갖는 RF 신호를 생성한다.
IMC (104) 의 입력부는 RF 케이블 (112A) 을 통해 x1 ㎑ RF 생성기의 출력부에 연결되고, IMC (104) 의 다른 입력부는 다른 RF 케이블 (112B) 을 통해 x ㎒ RF 생성기의 출력부에 연결되고, IMC (104) 의 또 다른 입력부는 또 다른 RF 케이블 (112C) 을 통해 y ㎒ RF 생성기의 출력부에 연결된다. 더구나, IMC (104) 의 출력부는 RF 전송선 (106) 에 커플링된다. 예를 들어, IMC (104) 의 출력부는 RF 스트랩을 통해 RF 전송선 (106) 에 연결된다. RF 전송선 (106) 은 절연체로 둘러싸인 RF 막대 (rod) 를 포함한다.
RF 전송선 (106) 은 플라즈마 챔버 (110) 의 척 (108) 에 연결된다. 예로서, 척 (108) 은 하부 전극 및 하부 전극에 대해, 예를 들어, 하부 전극의 상단 상, 등에 위치된 세라믹 층을 포함한다. 또 다른 예로서, 척 (108) 은 하부 전극, 세라믹 층, 및 하부 전극에 대해, 예를 들어, 하부 전극 아래에 위치된 설비 플레이트를 포함한다. 척 (108) 의 하부 전극은 금속, 예를 들어, 양극산화된 알루미늄, 알루미늄의 합금, 등으로 이루어진다.
플라즈마 챔버 (110) 는 상부 전극 (115) 을 더 포함한다. 상부 전극 (115) 은 전기적으로 전도성 재료, 예를 들어, 알루미늄, 알루미늄의 합금, 저 저항 실리콘, 등으로 이루어진다. 상부 전극 (115) 은 척 (108) 의 하부 전극의 반대편 및 대면하고 위치된다. 상부 전극 (115) 은 접지되고, 예를 들어, 기준 전압에 커플링되고, 0 전압에 커플링되고, 음 전압에 커플링되는, 등을 한다. 기판 (120) 은 프로세싱을 위해, 예를 들어, 기판 (120) 상에 재료들을 증착하거나, 기판 (120) 을 세정하거나, 기판 (120) 으로부터 증착 층들을 에칭하거나, 기판 (120) 을 도핑하거나, 기판 (120) 을 스퍼터링하거나, 이들의 조합을 위해 척 (108) 의 상단 표면 (122) 상에 위치된다.
레시피 제어 신호, 예를 들어, 전력 레벨을 포함하는 신호, 주파수 레벨을 포함하는 신호, 등을 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 각각에 제공하기 위해 호스트 시스템, 예를 들어, 컴퓨터, 제어기, 프로세서, 메모리 디바이스에 커플링된 프로세서, 등은 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기의 입력부들에 연결된다. 호스트 시스템의 다른 예는 CPU (central processing unit), ASIC (application specific integrated circuit), PLD (programmable logic device), FPGA (field programmable gate array), 등을 포함한다. 메모리 디바이스의 예들은 ROM (read-only memory), RAM (random access memory), 하드 디스크, 휘발성 메모리, 비휘발성 메모리, 저장 디스크들의 리던던트 어레이, 플래시 메모리, 등을 포함한다.
호스트 시스템은 케이블을 통해 액추에이터 (109) 에 커플링된다. 액추에이터 (109) 는 기계적 컴포넌트들, 예를 들어, 모터, 모터에 직렬 연결된 하나 이상의 샤프트들, 샤프트들에 연결된 기어 메커니즘, 및 기어 메커니즘에 직렬 연결된 하나 이상의 샤프트들을 포함한다. 기어 메커니즘에 연결된 하나 이상의 샤프트들은 또한 반대편 단부에서 UCC (103) 의 플레이트, 등에 연결된다. 모터의 로터는 모터에 연결된 하나 이상의 샤프트들을 회전시키도록, 기어 메커니즘을 더 회전시키도록 회전하고, 이는 UCC (103) 의 플레이트를 회전시키도록 기어 메커니즘에 연결된 하나 이상의 샤프트들을 더 회전시킨다. 일부 실시예들에서, 커패시터의 플레이트는 본 명세서에서 가변 제어로서 참조된다. 플레이트의 회전은 UCC (103) 의 커패시턴스를 변화시키기 위해 UCC (103) 의 플레이트들 간의 중첩 영역을 변화시킨다. 액추에이터 (109) 의 기계적 컴포넌트들의 또 다른 예는 모터를 포함하고, 하나 이상의 샤프트들은 모터에 직렬로 연결된다. 하나 이상의 샤프트들은 또한 UCC (103) 의 플레이트에 연결된다. 모터의 로터는 UCC (103) 의 플레이트를 회전시키기 위해 모터에 연결된 하나 이상의 샤프트들을 회전시키도록 회전한다. 플레이트의 회전은 UCC (103) 의 커패시턴스를 변화시키기 위해 UCC (103) 의 플레이트들 간의 중첩 영역을 더 변화시킨다.
레시피 제어 신호의 수신시, RF 생성기 각각은 전력 레벨 및 주파수 레벨을 갖는 RF 신호를 생성한다. 예를 들어, x1 ㎑ RF 생성기는, RF 레시피 제어 신호 수신시, x1 ㎑ RF 생성기의 출력부에서 RF 신호를 생성하는, RF 전력 공급부, 예를 들어, RF 오실레이터, RF 전력 공급부, 등을 구동하기 위해 드라이버, 예를 들어, 하나 이상의 트랜지스터들, 등을 제어하는 DSP (digital signal processor) 를 포함하고, RF 신호는 전력 레벨 및 주파수 레벨을 갖고, 레벨 양자는 레시피 제어 신호에 포함된다. x1 ㎑ RF 생성기는 x1 ㎑의 주파수를 갖는 RF 신호를 생성하고, x ㎒ RF 생성기는 x ㎒의 주파수를 갖는 RF 신호를 생성하고, y ㎒ RF 생성기는 y ㎒의 주파수를 갖는 RF 신호를 생성한다.
IMC (104) 는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기로부터 RF 케이블들 (112A, 112B, 및 112C) 을 통해 RF 신호들을 수신하고, 수정된 RF 신호 (114) 를 생성하도록 IMC (104) 의 출력부에 연결된 부하의 임피던스를 IMC (104) 의 하나 이상의 입력부들에 연결된 소스의 임피던스를 매칭한다. 소스의 예들은 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 및 RF 생성기들을 IMC (104) 에 커플링하는 RF 케이블들 (112A, 112B, 및 112C) 을 포함한다. 부하의 예들은 RF 전송선 (106) 및 플라즈마 챔버 (110) 를 포함한다. 수정된 RF 신호 (114) 는 IMC (104) 에 의해 RF 전송선 (106) 을 통해 척 (108) 으로 제공된다.
수정된 RF 신호 (114) 가 IMC (104) 로부터 척 (108) 으로 공급될 때, 프로세스 가스, 예를 들어, 산소 함유 가스, 불소 함유 가스, 탄소 및 불소를 함유하는 가스, 등이 상부 전극 (115) 내 가스 유입부들을 통해 상부 전극 (115) 과 척 (108) 사이에 공급된다. 산소 함유 가스의 예는 산소를 포함하고, 불소 함유 가스의 예들은 테트라플루오로메탄 (CF4), 육불화 황 (SF6), 헥사플루오로에탄 (C2F6), C4F6 가스, C4F3 가스, C3F8 가스, 등을 포함한다. 프로세스 가스 및 수정된 RF 신호 (114) 양자가 플라즈마 챔버 (110) 에 제공될 때, 플라즈마는 기판 (120) 을 프로세싱하기 위해 플라즈마 챔버 (110) 내에서 생성되거나 유지된다.
기판 (120) 의 프로세싱 동안, 호스트 시스템은 액추에이터 (109) 를 회전시키기 위해 액추에이터 (109) 로 명령 신호를 전송한다. 액추에이터 (109) 의 회전은 UCC (103) 의 커패시턴스를 변화시킨다. 예로서, UCC (103) 의 커패시턴스는 600 ㎊ 내지 15000 ㎊으로 커패시턴스를 설정하도록 변화된다. 또 다른 예로서, UCC (103) 의 커패시턴스는 800 ㎊ 내지 12000 ㎊으로 커패시턴스를 설정하도록 변화된다. 또 다른 예로서, UCC (103) 의 커패시턴스는 1000 ㎊ 내지 10000 ㎊으로 커패시턴스를 설정하도록 변화된다. UCC (103) 의 커패시턴스의 변화는 하나 이상의 파라미터들, 예를 들어, IMC (104) 의 2 개의 인접하게 위치된 회로들 간의 분리, x1 ㎑ RF 생성기에 의해 전달된 전력, RF 전송선 (106) 의 RF 막대 전위, 기판 (120) 에서 웨이퍼 DC 바이어스, 플라즈마의 이온 에너지, 이온 포화 전류 밀도, 기판 (120) 에칭의 에칭 레이트, 등을 제어하거나 설정하도록 사용된다. 하나 이상의 파라미터들은 명칭이 "Impedance Matching Circuit for Operation with a Kilohertz RF Generator and a Megahertz RF Generator to Control Plasma Processes"인 2015년 3월 2일 출원된 미국 특허출원 번호 제 14/636,007 호에 기술되고, 전체가 참조로서 본 명세서에 인용된다.
일 실시예에서, 플라즈마 시스템 (100) 은 임의의 다른 수의 RF 생성기들을 포함한다. 예를 들어, 플라즈마 시스템 (100) 은 y ㎒ RF 생성기를 포함하지 않고 x1 ㎑ 및 x ㎒ RF 생성기를 포함한다. 또 다른 예로서, 플라즈마 시스템 (100) 은 x ㎒ RF 생성기를 포함하지 않고 x1 ㎑ 및 y ㎒ RF 생성기를 포함한다.
일 실시예에서, RF 전송선 (106) 을 척 (108) 에 연결하는 대신, RF 전송선 (106) 은 상부 전극 (115) 에 연결되고 척 (108) 의 하부 전극은 접지된다.
일 실시예에서, 소스는 IMC (104) 에 연결된 다수의 생성기들의 변화와 함께 변화한다. 예를 들어, x1 ㎑ RF 생성기 및 x ㎒ RF 생성기들은 RF 케이블들 (112A 및 112B) 을 통해 IMC (104) 에 연결될 때, 소스는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, x1 ㎑ RF 생성기를 IMC (104) 에 연결하는 RF 케이블 (112A) 및 x ㎒ RF 생성기를 IMC (104) 에 연결하는 RF 케이블 (112B) 이다. 또 다른 예로서, x1 ㎑ 및 y ㎒ RF 생성기들이 RF 케이블들 (112A 및 112C) 을 통해 IMC (104) 에 연결될 때, 소스는 x1 ㎑ RF 생성기, y ㎒ RF 생성기, x1 ㎑ RF 생성기를 IMC (104) 에 연결하는 RF 케이블 (112A) 및 y ㎒ RF 생성기를 IMC (104) 에 연결하는 RF 케이블 (112C) 이다.
도 1b는 IMC (104) 내 UCC (103) 의 위치를 예시하기 위한 플라즈마 프로세싱 시스템 (150) 의 실시예의 도면이다. IMC (104) 는 회로의 복수의 튜닝 엘리먼트들 (152) 을 포함하고, 회로의 또 다른 복수의 튜닝 엘리먼트들 (154) 을 포함한다. UCC (103) 는 경로 (153) 를 따라 하나 이상의 튜닝 엘리먼트들 (152) 에 직렬로 연결된다. 튜닝 엘리먼트들 (152) 은 경로 (153) 를 따라 연결되고 UCC (103) 는 튜닝 엘리먼트들 (152) 중 하나이다. 경로 (153) 는 단부 1과 단부 3 사이에 위치된다. 예를 들어, 경로 (153) 는 단부 1에 연결되고 또한 IMC (104) 의 출력부인 단부 3에 연결된다. 단부 1은 x1 ㎑ RF 생성기에 연결되고, 단부 3은 RF 전송선 (106) 에 연결된다. 더구나, IMC (104) 는 복수의 튜닝 엘리먼트들 (154) 을 포함한다. 튜닝 엘리먼트들 (154) 은 경로 (155) 를 따라 연결된다. 경로 (155) 는 단부 2에 연결되고 단부 3에 연결된다. 단부 2는 x ㎒ RF 생성기에 연결된다.
일 실시예에서, x ㎒ RF 생성기 대신, y ㎒ RF 생성기가 도 1b의 플라즈마 프로세싱 시스템 (150) 에 사용된다. 도 2a는 IMC (104) (도 1a) 의 예인 IMC (200) 의 실시예의 도면이다. IMC (200) 는 회로 (202A) 및 회로 (202B) 이다. 회로 (202B) 는 회로 (202A) 에 인접하게 위치된다. 회로 (202A) 는 병렬 회로 엘리먼트 (204A), 직렬 (series) 회로 엘리먼트 (204B), 병렬 (shunt) 회로 엘리먼트 (204C), 직렬 회로 엘리먼트 (204D), 병렬 회로 엘리먼트 (204E), UCC (103), 및 직렬 회로 엘리먼트 (204F) 를 포함한다.
UCC (103) 는 직렬 회로 엘리먼트 (204F) 와 병렬 회로 엘리먼트 (204E) 사이에 위치된다. 예를 들어, UCC (103) 의 노드는 병렬 회로 엘리먼트 (204E) 에 연결되고 UCC (103) 의 또 다른 노드는 직렬 회로 엘리먼트 (204F) 에 연결된다. 또한, UCC (103) 는 직렬 회로 엘리먼트들 (204D 및 204F) 사이에 위치된다. 예를 들어, 병렬 회로 엘리먼트 (204E) 에 연결된 UCC (103) 의 노드는 또한 직렬 회로 엘리먼트 (204D) 에 연결되고 UCC (103) 의 또 다른 노드는 직렬 회로 엘리먼트 (204F) 에 연결된다. 또 다른 예로서, UCC (103) 는 직렬 회로 엘리먼트 (204F), 직렬 회로 엘리먼트 (204D), 및 직렬 회로 엘리먼트 (204B) 에 직렬로 연결된다. UCC (103) 는 접지된 병렬 회로 엘리먼트가 아니다.
더구나, 회로 (202B) 는 병렬 회로 엘리먼트 (204G) 및 직렬 회로 엘리먼트 (204H) 를 포함한다. 직렬 회로 엘리먼트의 예들은 하나 이상의 인덕터들, 또는 하나 이상의 커패시터들, 또는 이들의 조합을 포함한다. 병렬 회로 엘리먼트의 예들은 하나 이상의 인덕터들, 또는 하나 이상의 커패시터들, 또는 이들의 조합을 포함한다. 병렬 회로 엘리먼트 각각은 접지된다. 직렬 회로 엘리먼트 또는 병렬 회로 엘리먼트 또는 UCC (103) 는 때때로 본 명세서에서 튜닝 엘리먼트로 참조된다.
회로 (202A) 는 입력부, 예를 들어, 직렬 회로 엘리먼트 (204B) 의 입력부, 단부 E1, 등에서 x1 ㎑ RF 생성기 (도 1a) 의 출력부로 RF 케이블 (212A) 을 통해 연결된다. 더구나, 회로 (202B) 는 입력부, 예를 들어, 단부 E2, 직렬 회로 엘리먼트 (204H) 의 입력부, 및 병렬 회로 엘리먼트 (204G) 의 입력부, 등에서 y ㎒ RF 생성기의 출력부로 RF 케이블 (212C) 을 통해 연결된다.
회로의 튜닝 엘리먼트, 예를 들어, 회로 (202A), 회로 (202B), 등은 영향을 감소시키는, 예를 들어, 인접한 회로에서 RF 신호의 전송에 의해 생성되는, 크로스토크를 차단하고, 전기장을 차단하고, 자기장, 등을 차단하는 차단 컴포넌트로서 작용한다. 예를 들어, 직렬 회로 엘리먼트들 (204B 및 204D), UCC (103), 직렬 회로 엘리먼트 (204F), 및 병렬 회로 엘리먼트들 (204A, 204C, 및 204E) 은 회로 (202B) 를 통해 전송된 ㎒ RF 신호의 영향을 감소시킨다. 회로, 예를 들어, 회로 (202A), 회로 (202B) 등을 통해 전송되는 RF 신호의 예는 회로에 커플링된 RF 생성기로부터 생성되고 수신되는 공급된 신호를 포함한다. 예를 들어, 회로 (202A), 회로 (202B) 등을 통해 전송되는 RF 신호의 또 다른 예는 플라즈마 챔버 (110) (도 1a) 로부터, RF 전송선 (106) (도 1a) 및 회로에 커플링되는 RF 생성기를 향해 회로를 통해 반사되는 반사된 신호를 포함한다.
일 실시예에서, 병렬 회로 엘리먼트는 접지 연결부로의 전류의 통과를 허용하기 위해 저 저항 경로를 생성한다. 예를 들어, 병렬 회로 엘리먼트 (204C) 는 회로 (202A) 를 통해 통과하는 RF 신호의 전류에 대한 접지로의 저 저항 경로를 생성한다. 회로들 (202A 및 202B) 은 단부 E3에서 RF 전송선 (106) 에 연결된다.
회로 (202A) 는 x1 ㎑ RF 생성기에 의해 생성되고 공급된 RF 신호를 수신하고, 회로 (202B) 는 y ㎒ RF 생성기에 의해 생성되고 공급된 RF 신호를 수신한다. 회로 (202A) 는 회로 (202B) 를 통해 단부 E3으로 전송되는 RF 신호의 영향을 분리한다. x1 ㎑ RF 생성기로부터 수신된 RF 신호는 직렬 회로 엘리먼트들 (204B 및 204D), UCC (103), 및 직렬 회로 엘리먼트 (204F) 를 통해 단부 E3으로 전송된다. 더구나, y ㎒ RF 생성기로부터 수신된 RF 신호는 직렬 회로 엘리먼트 (204H) 를 통해 단부 E3으로 전송된다. 상기 기술된 바와 같이 공급된 RF 신호들의 영향들을 서로로부터 분리한 후, 회로들 (202A 및 202B) 을 통해 단부 E3에서 수신된 RF 신호들은 결합, 예를 들어, 단부 E3에서 합산 등이 되고, IMC (200) 는 수정된 RF 신호 (114) (도 1a) 를 생성하도록 소스와 부하의 임피던스를 매칭한다.
또한, 플라즈마가 플라즈마 챔버 (110) (도 1a) 내에서 생성되고 유지되는 시간 동안, 플라즈마로부터의 전력은 x1 ㎑ 생성기 및 y ㎒ RF 생성기를 향해 RF 전송선 (106) 을 통해 반사된 RF 신호의 형태로 다시 반사된다. 반사된 RF 신호는 회로들 (202A 및 202B) 사이의 단부 E3에서 분리된다. 단부 E3으로부터 수신되고 회로 (202A) 를 통해 x1 ㎑ 생성기를 향해 다시 반사된 반사된 RF 신호의 일부의 전력은 단부 E3으로부터 수신되고 회로 (202B) 를 통해 x ㎒ 생성기를 향해 다시 반사된 반사된 신호의 일부의 전력으로부터 분리한다.
회로 (202B) 를 통해 전송되는 RF 신호의 영향을 차단하는 것에 부가하여, 회로 (202A) 의 튜닝 엘리먼트는 또한 미리 결정된 양의 전달된 전력의 달성을 용이하게 한다. 예를 들어, 제 1 회로 (202A) 의 튜닝 엘리먼트는 플라즈마 챔버 (110) 의 척 (108) (도 1a) 으로 전달된 미리 결정된 양의 전력을 달성하기 위해 단부 E3로부터 수신되고 x1 ㎑ RF 생성기를 향해 다시 반사된, 반사된 RF 신호의 일부의 전력을 필터링한다. RF 생성기에 의해 전달된 전력은 RF 생성기에 의해 생성되고 공급된 전력과 RF 생성기를 향해 반사된 전력 간의 차이다.
더구나, 제 2 회로 (202B) 를 통해 전달된 ㎒ RF 신호로부터 미리 결정된 양의 분리를 제공하고 척 (108) 으로 전달된 미리 결정된 양의 전력을 달성하는 것에 부가하여, UCC (103) 는 하나 이상의 파라미터들의 하나 이상의 미리 결정된 값들을 달성하는 것을 돕는다. 예를 들어, UCC (103) 의 커패시턴스는 에칭 레이트의 균일도가 달성되도록 변화된다. 또 다른 예로서, UCC (103) 의 커패시턴스는 목표된 RF 막대 전위가 달성되도록 변화된다.
일 실시예에서, 처음에, UCC (103) 의 커패시턴스는 하나 이상의 파라미터들의 하나 이상의 미리 결정된 값들이 달성되도록 조정되거나 설정된다. 일단 하나 이상의 파라미터들의 하나 이상의 미리 결정된 값들이 달성되면, 회로 (202A), 예를 들어, 병렬 회로 엘리먼트 (204A), 직렬 회로 엘리먼트 (204B), 병렬 회로 엘리먼트 (204C), 직렬 회로 엘리먼트 (204D), 병렬 회로 엘리먼트 (204E), 및 직렬 회로 엘리먼트 (204F), 등의 나머지 튜닝 엘리먼트들의 특성들, 예를 들어, 커패시턴스들, 인덕턴스들, 등은 제 2 회로 (202B) 를 통해 전달된 ㎒ RF 신호로부터 미리결정된 양의 분리를 제공하고 x1 ㎑ RF 생성기에 의해 척 (108) 으로 전달된 미리 결정된 양의 전력을 달성하기 위해 조정되거나 설정된다. 예를 들어, 나머지 튜닝 엘리먼트들 중 하나인 커패시터의 커패시턴스는 미리 결정된 양의 분리를 달성하고 x1 ㎑ RF 생성기로부터 척 (108) 으로 전달된 미리 결정된 양의 전력을 달성하기 위해 수동으로 또는 액추에이터 (109) 와 유사한 액추에이터를 통해 호스트 시스템에 의해 변화된다. 커패시터의 커패시턴스는 사용자가 또 다른 커패시터로 커패시터를 교체할 때 또는 사용자가 또 따른 커패시터를 커패시터에 직렬 또는 병렬로 추가할 때 사용자에 의해 수동으로 변화된다. 또 다른 예로서, 나머지 튜닝 엘리먼트들 중 하나인 인덕터의 인덕턴스는 미리 결정된 양의 분리를 달성하고 x1 ㎑ RF 생성기로부터 척 (108) 으로 전달된 미리 결정된 양의 전력을 달성하기 위해 수동으로 또는 액추에이터 (109) 와 유사한 액추에이터를 통해 호스트 시스템에 의해 변화된다. 인덕터의 인덕턴스는 사용자가 또 다른 인덕터로 인덕터를 교체할 때 또는 사용자가 또 다른 인덕터를 인덕터에 직렬 또는 병렬로 추가할 때 사용자에 의해 수동으로 변화된다. 나머지 튜닝 엘리먼트들의 특성들은, 제 2 회로 (202B) 를 통해 전달된 ㎒ RF 신호로부터 미리 결정된 양의 분리를 제공하고 x1 ㎑ RF 생성기로부터 척 (108) 으로 전달된 미리 결정된 양의 전력을 달성하기 위해 UCC (103) 의 특성이 변화되는 이하에 기술된 방식과 유사한 방식으로 제 2 회로 (202B) 를 통해 전달된 ㎒ RF 신호로부터 미리 결정된 양의 분리를 제공하고 x1 ㎑ RF 생성기로부터 척 (108) 으로 전달된 미리 결정된 양의 전력을 달성하기 위해 변화된다.
일 실시예에서, 처음에, UCC (103) 의 커패시턴스는 하나 이상의 파라미터들의 하나 이상의 미리 결정된 값들이 달성되도록 조정되거나 설정된다. 일단 하나 이상의 파라미터들의 하나 이상의 미리 결정된 값들이 달성되면, 회로 (202A), 예를 들어, 병렬 회로 엘리먼트 (204A), 직렬 회로 엘리먼트 (204B), 병렬 회로 엘리먼트 (204C), UCC (103), 직렬 회로 엘리먼트 (204D), 병렬 회로 엘리먼트 (204E), 및 직렬 회로 엘리먼트 (204F), 등의 튜닝 엘리먼트들의 특성들, 예를 들어, 커패시턴스들, 인덕턴스들, 등은 제 2 회로 (202B) 를 통해 전달된 ㎒ RF 신호로부터 미리 결정된 양의 분리를 제공하고 x1 ㎑ RF 생성기에 의해 척 (108) 으로 전달된 미리 결정된 양의 전력을 달성하기 위해 조정되거나 설정된다.
일 실시예에서, 회로 (202A) 의 나머지 튜닝 엘리먼트들의 특성들은 제 2 회로 (202B) 를 통해 전달된 ㎒ RF 신호로부터 미리 결정된 양의 분리로부터 미리 결정된 문턱값 내의 분리량이 달성되도록 변화된다. 더구나, 회로 (202A) 의 나머지 튜닝 엘리먼트들의 특성들은 x1 ㎑ RF 생성기로부터 척 (108) 으로 전달된 미리 결정된 양의 전력으로부터 미리 결정된 문턱값 내의 전달된 전력량이 달성되도록 변화된다.
일 실시예에서, 본 명세서에서 사용될 때 전송은 RF 생성기로부터 RF 전송선으로의 전송 방향 또는 플라즈마 챔버 및 RF 전송선으로부터 RF 생성기로 반사된 방향이다.
일 실시예에서, y ㎒ RF 생성기에 연결되는 대신, 회로 (202B) 는 x ㎒ RF 생성기에 연결된다.
일 실시예에서, 병렬 회로 엘리먼트는 에칭 레이트의 균일도를 제어하도록 사용될 수 없다. 예를 들어, 병렬 회로 엘리먼트의 특성의 변화는 에칭 레이트의 균일도에 영향을 주지 않거나 최소의 영향을 갖는다.
일 실시예에서, UCC (103) 는 x ㎒ RF 생성기에 연결된 경로, 예를 들어, 경로 (155) (도 1b) 의 일부가 아니다. 예를 들어, UCC (103) 는 회로 (202B) 의 일부가 아니다. x ㎒ RF 생성기와 함께 사용될 때 UCC (103) 는 장시간 동안 전하를 저장할 수 없고, UCC (103) 가 경로 (153) (도 1b) 의 일부일 때 UCC (103) 는 x1 ㎑ RF 생성기에 연결될 수 있다. 이와 같이, 경로 (153) 내에 통합될 때 x1 ㎑ RF 생성기에 연결된 UCC (103) 는 하나 이상의 파라미터들을 제어하도록 사용된다. 더구나, UCC (103) 가 x ㎒ RF 생성기에 연결된 경로의 일부일 때 UCC (103) 는 에칭 레이트 균일도를 제어하도록 사용될 수 없다. 유사하게, UCC (103) 는 y ㎒ RF 생성기에 연결된 경로의 일부가 아니다.
도 2ba는 UCC (272) 의 실시예를 예시하기 위해, IMC (200) (도 2a) 의 예인 IMC (270) 의 실시예의 회로도이다. UCC (272) 는 UCC (103) (도 2a) 의 예이다. IMC (270) 는 인덕터들 및 커패시터들을 포함한다. 예를 들어, x1 ㎑ RF 생성기에 연결된 IMC (270) 의 회로 (274A) 는 커패시터 CA, 인덕터 LA, 가변 커패시터 VCB, 커패시터 CC, 인덕터 LB, 커패시터 CD, 커패시터 CE, 커패시터 CF, 및 인덕터 LC를 포함한다. 또 다른 예로서, y ㎒ RF 생성기에 연결된 IMC (270) 의 회로 (274B) 는 인덕터 LD, 가변 커패시터 VCG, 가변 커패시터 VGH, 및 인덕터 LE를 포함한다.
커패시터 CE 및 커패시터 CF는 고정 커패시턴스들을 갖는다. 예를 들어, 커패시터 CE는 3000 ㎊의 커패시턴스를 갖고 커패시터 CF는 3000 ㎊의 커패시턴스를 갖는다. 또 다른 예로서, 커패시터 CE는 ㎊의 커패시턴스를 갖고 커패시터 CF는 B ㎊의 커패시턴스를 갖고, 여기서 및 B는 0보다 큰 정수들이다. 커패시터 CE 및 커패시터 CF는 UCC (272) 의 일부들이다. 일 실시예에서, 커패시터 CE 및 커패시터 CF의 커패시턴스들은 척 (108) 의 두께에 기초하여 설정, 예를 들어, 선택, 등이 된다.
커패시터 CA는 병렬 회로 엘리먼트 (204A) (도 2a) 의 예이고, 인덕터 LA는 직렬 회로 엘리먼트 (204B) (도 2a) 의 예이고, 커패시터 VCB 및 커패시터 CC에 의해 형성된 병렬 회로는 병렬 회로 엘리먼트 (204C) 의 예이고, 인덕터 LB는 직렬 회로 엘리먼트 (204D) (도 2a) 의 예이고, 커패시터 CD는 병렬 회로 엘리먼트 (204E) 의 예이고, 인덕터 LC는 직렬 회로 엘리먼트 (204F) (도 2a) 의 예이다. 인덕터 LD 및 커패시터 VCG에 의해 형성된 병렬 회로는 병렬 회로 엘리먼트 (204G) (도 2a) 의 예이고, 커패시터 VCH 및 인덕터 LE에 의해 형성된 직렬 회로 엘리먼트는 직렬 회로 엘리먼트 (202B) (도 2a) 의 예이다.
커패시터 CE 및 커패시터 CD 중 하나 또는 커패시터 CE 및 커패시터 CF 양자의 커패시턴스는 결합된 커패시턴스를 달성, 예를 들어, 설정, 등을 하기 위해 변화된다. 결합된 커패시턴스는 x1 ㎑ RF 생성기로부터 전달된 미리 결정된 양의 전력을 달성하고 하나 이상의 파라미터들의 하나 이상의 미리 결정된 값들을 달성하는 동시에 회로 (274B) 를 통해 전달된 RF 신호로부터 회로 (274A) 를 통해 전달된 RF 신호의 미리 결정된 양의 분리를 달성하도록 설정된다.
일 실시예에서, IMC의 일 컴포넌트, 예를 들어, 커패시터, 인덕터, 등을 사용하는 대신, 컴포넌트의 특성, 예를 들어, 커패시턴스, 인덕턴스, 등을 달성하기 위해 복수의 컴포넌트들이 서로 연결된다. 예를 들어, 커패시터 CF 대신, 복수의 커패시터들은 커패시터의 커패시턴스 CF를 달성하기 위해 서로 병렬 또는 직렬로 커플링된다. 또 다른 예로서, 커패시터 CE 대신, 커패시터의 커패시턴스 CE를 달성하기 위해 복수의 커패시터들이 병렬 또는 직렬로 커플링된다.
일 실시예에서, IMC의 복수의 컴포넌트들, 예를 들어, 복수의 커패시터들, 복수의 인덕터들, 등을 사용하는 대신, 복수의 컴포넌트들의 특성, 예를 들어, 커패시턴스, 인덕턴스, 등을 달성하기 위해 일 컴포넌트가 사용된다. 예를 들어, 커패시터 CE 및 커패시터 CF 대신, 커패시터 CE 및 커패시터 CF의 결합된 커패시턴스들을 달성하기 위해 일 커패시터가 사용된다.
일 실시예에서, 본 명세서에서 참조된 바와 같은 가변 커패시터가 커패시터는 고정 커패시터이고, 고정 커패시터의 고정 커패시턴스는 수동으로, 예를 들어, 사용자가 고정 커패시터를 고정 커패시턴스를 갖는 또 다른 고정 커패시터로 교체할 때, 등에 변화된다.
일 실시예에서, 고정 커패시터 CE를 사용하는 대신, 가변 커패시터가 사용된다. 일 실시예에서, 고정 커패시터 CF를 사용하는 대신, 가변 커패시터가 사용된다.
일 실시예에서, 커패시터 CA, 커패시터 CC, 커패시터 CD 중 어느 하나가 사용되는 대신, 가변 커패시터가 사용된다. 더구나, 일 실시예에서, 가변 커패시터 VCB, 가변 커패시터 VCG, 및 가변 커패시터 VCH 중 하나 대신, 고정 커패시터가 사용된다. 일 실시예에서, 고정 인덕터 LA, 고정 인덕터 LB, 고정 인덕터 LC, 고정 인덕터 LD, 및 고정 인덕터 LE 중 어느 하나가 사용되는 대신, 가변 인덕터가 사용된다.
도 2bb는 UCC (273) 를 예시하기 위한 IMC (271) 의 실시예의 회로도이다. IMC (271) 는 IMC (200) (도 2a) 의 예이다. IMC는 UCC (273) 를 더 포함하는 회로 (275) 를 포함한다. 회로 (275) 가 UCC (273) 를 더 포함하는 것을 제외하고 회로 (275) 는 회로 (274A) (도 2ba) 와 유사하다. UCC (273) 는 고정 커패시턴스를 갖는 커패시터 CCF이다. 커패시터 CCF는 인덕터 LB와 인덕터 LC 사이에 직렬로 위치된다. 예를 들어, 인덕터 LB의 노드는 커패시터 CCF의 노드에 연결되고 인덕터 LC의 노드는 커패시터 CCF의 또 다른 노드에 연결된다. 더구나, 커패시터 CCF는 병렬 커패시터 CD와 인덕터 LC 사이에 위치된다. 예를 들어, 커패시터 CCF의 노드는 커패시터 CD의 노드에 연결되고 커패시터 CCF의 또 다른 노드는 인덕터 LC의 또 다른 노드에 연결된다.
일 실시예에서, 고정 커패시터 CCF 대신, 가변 커패시터가 사용된다. 일 실시예에서, 고정 커패시터 CCF 대신, 직렬 연결된 복수의 커패시터들이 사용된다. 일 실시예에서, 고정 커패시터 CCF 대신, 직렬 연결된 복수의 가변 커패시터들이 사용된다. 일 실시예에서, 고정 커패시터 CCF 대신, 병렬 연결된 복수의 가변 커패시터들이 사용된다.
도 3a는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기와 함께 사용되는 IMC (300) 의 실시예의 도면이다. IMC (300) 는 IMC (300) 가 회로 (202C) 를 포함하는 것을 제외하고 IMC (200) (도 2a) 와 유사하다. 회로 (202C) 는 회로 (202A) 에 인접하다. 예를 들어, 회로 (202A) 와 회로 (202C) 사이에회로, 예를 들어, 회로 (202B), 등이 없다. 회로 (202C) 는 IMC (300) 의 입력부, 예를 들어, 단부 E4, 등에서 x ㎒ RF 생성기의 출력부에 연결된다. 회로 (202C) 는 병렬 회로 엘리먼트 (302A) 및 직렬 회로 엘리먼트 (302B) 를 포함한다. 회로 (202C) 는 IMC (300) 의 단부 E3를 통해 RF 전송선 (106) (도 1a) 에 연결된다.
x ㎒ RF 생성기에 의해 생성된 RF 신호는 회로 (202C) 를 통해 전송되고 회로들 (202A 및 202B) 을 통해 전송된 RF 신호들과 단부 E3에서 결합된다. 유사하게, 플라즈마 챔버 (110) (도 1a) 로부터 RF 전송선 (106) 을 통해 반사된 RF 신호는 회로들 (202A, 202B, 및 202C) 사이에 분할된다. 반사된 RF 신호의 일부는 단부 E4 및 회로 (202C) 를 통해 x ㎒ RF 생성기를 향해 전송된다. 더구나, 회로 (202C) 를 통해 전송된 RF 신호의 효과는 회로 (202A) 의 튜닝 엘리먼트들을 튜닝, 예를 들어, 특성들, 등을 수정함으로써 감소된다.
도 3b는 도 3a의 IMC (300) 의 예인, IMC (320) 의 실시예의 회로도이다. IMC (320) 는 회로 (322A), 회로 (322B), 및 회로 (322C) 를 포함한다. 회로 (322A) 는 회로 (202A) (도 3a) 의 예이고, 회로 (322B) 는 회로 (202B) (도 3a) 의 예이고, 회로 (322C) 는 회로 (202C) (도 3a) 의 예이다. 회로 (322A) 가 도 2ba의 가변 커패시터 VCB 대신 고정 커패시터 CB를 포함하는 것을 제외하고 회로 (322A) 는 도 2ba의 회로 (274A) 와 유사하다. 더구나, 회로 (322B) 가 도 2ba의 가변 커패시터 VCG 대신 고정 커패시터 CG를 포함하고 도 2ba의 가변 커패시터 VCH 대신 고정 커패시터 CH를 포함하는 것을 제외하고 회로 (322B) 는 회로 (274B) 와 유사하다.
회로 (322C) 는 인덕터 LF, 커패시터 CI, 커패시터 CJ, 및 인덕터 LG를 포함한다. 인덕터 LF 및 커패시터 CI에 의해 형성된 병렬 회로는 병렬 회로 엘리먼트 (302A) (도 3a) 의 예이고, 커패시터 CJ 및 인덕터 LG의 조합에 의해 형성된 직렬 회로 엘리먼트는 직렬 회로 엘리먼트 (302B) (도 3a) 의 예이다.
일 실시예에서, 고정 커패시터 CB 대신, 가변 커패시터가 사용된다. 더구나, 이 예에서, 고정 커패시터 CI 대신, 가변 커패시터가 사용되고 고정 커패시터 CJ 대신, 가변 커패시터가 사용된다.
일 실시예에서, 고정 커패시터 CB 대신, 가변 커패시터가 사용된다. 더구나, 이 예에서, 고정 커패시터 CG 대신, 가변 커패시터가 사용되고 고정 커패시터 CH 대신, 가변 커패시터가 사용된다.
일 실시예에서, 커패시터들 CA, CB, CC, CD, CI, CJ, CG, 및 CH 중 어느 하나 대신, 가변 커패시터가 사용된다. 일 실시예에서, 인덕터들 LA, LB, LC, LF, LG, LD, 및 LE 중 어느 하나 대신, 가변 인덕터가 사용된다.
도 4a는 도 2a의 회로 (202A) 내 UCC (103) (도 2a) 의 위치와 비교된 IMC (400) 의 회로 (404) 내 UCC (103) 의 위치의 변화를 예시하기 위한 IMC (400) 의 실시예의 도면이다. IMC (400) 는 회로 (404) 및 회로 (202B) 를 포함한다. 회로 (404) 는 단부 E1에서 x1 ㎑ RF 생성기에 연결되고 회로 (202B) 는 단부 E2에서 y ㎒ RF 생성기에 연결된다. 회로 (404) 및 회로 (202B) 양자는 단부 E3에서 RF 전송선 (106) (도 1a) 에 연결되고, 이는 플라즈마 챔버 (110) (도 1a) 에 더 연결된다. 회로 (404) 는 병렬 회로 엘리먼트 (406A), 직렬 회로 엘리먼트 (406B), 병렬 회로 엘리먼트 (406C), UCC (103), 병렬 회로 엘리먼트 (406D), 및 직렬 회로 엘리먼트 (406E) 를 포함한다. 회로 (404) 는 회로 (202B) 에 인접하다.
UCC (103) 는 병렬 회로 엘리먼트 (406C) 와 병렬 회로 엘리먼트 (406D) 사이에 연결된다. 예를 들어, UCC (103) 는 병렬 회로 엘리먼트 (406C) 의 노드 및 병렬 회로 엘리먼트 (406D) 의 노드에 연결된다. UCC (103) 는 직렬 회로 엘리먼트 (406B) 및 직렬 회로 엘리먼트 (406E) 와 직렬로 연결된다. 또한, UCC (103) 는 직렬 회로 엘리먼트 (406B) 와 직렬 회로 엘리먼트 (406E) 사이에 연결된다. 예를 들어, UCC (103) 는 직렬 회로 엘리먼트 (406B) 의 노드 및 직렬 회로 엘리먼트 (406E) 의 노드에 연결된다. 게다가, UCC (103) 는 직렬 회로 엘리먼트 (406B) 와 병렬 회로 엘리먼트 (406D) 사이, 그리고 직렬 회로 엘리먼트 (406E) 와 병렬 회로 엘리먼트 (406C) 사이에 연결된다. 예를 들어, UCC (103) 는 직렬 회로 엘리먼트 (406E) 의 노드 및 병렬 회로 엘리먼트 (406C) 의 노드에 연결된다.
하나 이상의 파라미터들의 하나 이상의 미리 결정된 값들을 수정하거나 설정하기 위해 회로 (404) 의 UCC (103) 의 커패시턴스는 사용자에 의해 수동으로 또는 호스트 시스템 (도 1a) 에 의해 제어된다. 더구나, 회로 (202B) 를 통해 전송된 RF 신호로부터 회로 (404) 를 통해 전송된 RF 신호의 미리 결정된 양의 분리를 달성하기 위해 회로 (404) 의 나머지 튜닝 엘리먼트들, 예를 들어, 병렬 회로 엘리먼트 (406A), 직렬 회로 엘리먼트 (406B), 병렬 회로 엘리먼트 (406C), 병렬 회로 엘리먼트 (406D), 및/또는 직렬 회로 엘리먼트 (406E), 등의 특성들이 사용자에 의해 수동으로 또는 호스트 시스템 (도 1a) 에 의해 제어된다. 회로 (404) 를 통해 전송된 RF 신호의 예는 x1 ㎑ RF 생성기로부터 생성되고 전송되고, RF 전송선 (106) (도 1a) 을 통해 플라즈마 챔버 (110) (도 1a) 로 더 전송되도록 회로 (404) 를 통해 단부 E3로 전송되는 RF 신호를 포함한다. 회로 (404) 를 통해 전송된 RF 신호의 또 다른 예는 플라즈마 챔버 (110) 내의 플라즈마로부터 RF 전송선 (106) 및 회로 (404) 를 통해 단부 E1로 그리고 추가로 RF 케이블 (112A) (도 1a) 을 통해 x1 ㎑ RF 생성기 반사되는 RF 신호를 포함한다. 또한, 회로 (404) 의 나머지 튜닝 엘리먼트들의 특성들은 x1 ㎑ RF 생성기에 의해 전달된 미리 결정된 양의 전력을 달성하도록 변화된다. 나머지 튜닝 엘리먼트들의 특성들은 UCC (103) 의 특성들이 변화되는 동안 동시에 또는 UCC (103) 의 특성들이 변화된 후 변화된다.
일 실시예에서, 회로 (404) 의 나머지 튜닝 엘리먼트들의 특성들은 제 2 회로 (202B) 를 통해 전달된 ㎒ RF 신호로부터 미리 결정된 양의 분리로부터 미리 결정된 문턱값 내의 분리량이 달성되도록 변화된다. 더구나, 회로 (404) 의 나머지 튜닝 엘리먼트들의 특성들은 x1 ㎑ RF 생성기로부터 척 (108) 으로 전달된 미리 결정된 양의 전력으로부터 미리 결정된 문턱값 내의 전달된 전력량이 달성되도록 변화된다.
도 4b는 UCC의 예를 예시하기 위해, IMC (400) (도 4a) 의 예인 IMC (410) 의 실시예의 회로도이다. IMC (410) 는 회로 (404) (도 4a) 의 예인 회로 (412) 를 포함한다. 회로 (412) 는 커패시터 C1, 인덕터 L1, 커패시터 C2, 커패시터 C3, 커패시터 C4, 및 인덕터 L2를 포함한다. 커패시터 C3은 UCC (103) (도 4a) 의 예인 UCC (403) 를 포함한다. 커패시터의 커패시턴스 C3는 고정되고, 예를 들어, 호스트 시스템 및 액추에이터 (109) (도 1a), 등에 의해서가 아니라 사용자에 의해 수동으로 변화가능하다.
커패시터 C1은 병렬 회로 엘리먼트 (406A) (도 4a) 의 예이고, 인덕터 L1은 직렬 회로 엘리먼트 (406B) (도 4a) 의 예이고, 커패시터 C2는 병렬 회로 엘리먼트 (406C) (도 4a) 의 예이고, 커패시터 C4는 병렬 회로 엘리먼트 (406D) (도 4a) 의 예이고, 인덕터 L2는 직렬 회로 엘리먼트 (406E) (도 4a) 의 예이다.
일 실시예에서, 커패시터 C3 대신, 직렬의 복수의 커패시터들이 사용되거나 병렬의 복수의 커패시터들이 UCC (403) 를 형성하도록 사용된다.
일 실시예에서, 고정 커패시터들 C1, C2, 및 C4 중 어느 하나 대신, 가변 커패시터가 사용된다. 일 실시예에서, 고정 인덕터 L1 및 인덕터 L2 대신, 가변 인덕터가 사용된다.
도 4c는 UCC의 예를 예시하기 위해, IMC (400) (도 4a) 의 예인 IMC (420) 의 실시예의 회로도이다. IMC (420) 가 UCC (424) 인 가변 커패시터 VC3을 포함하는 것을 제외하고 IMC (420) 는 IMC (410) 와 구조적으로 유사하다. UCC (424) 는 UCC (103) (도 4a) 의 예이다. 가변 커패시터 VC3은 액추에이터 (109) 를 통해 호스트 시스템에 의해 가변되는 커패시턴스를 갖는다.
일 실시예에서, 가변 커패시터 VC3 대신, UCC (424) 를 형성하기 위해 복수의 가변 커패시터들은 서로 직렬 연결되거나 병렬 연결된다.
도 4d는 UCC를 예시하기 위해, IMC (400) (도 4a) 의 예인 IMC (430) 의 실시예의 회로도이다. IMC (430) 는 회로 (432) 및 회로 (322B) 를 포함한다. IMC (430) 가 UCC (434) 인 2 개의 커패시터 C31 및 커패시터 C32를 포함하는 것을 제외하고, IMC (430) 는 IMC (410) (도 4b) 의 구조와 유사하다. UCC (434) 는 UCC (103) (도 4a) 의 예이다. 커패시터 C31 커패시터 C32는 서로 병렬로 커플링되고 고정되고, 예를 들어, 고정 커패시턴스들, 등을 갖는다. 일 실시예에서, 커패시터 C31 및 커패시터 C32 각각은 동일한 커패시턴스를 갖는다. 일 실시예에서, 커패시터 C31 및 커패시터 C32는 상이한 커패시턴스들을 갖는다.
도 4e는 UCC의 예를 예시하기 위해, IMC (400) (도 4a) 의 예인, IMC (440) 의 실시예의 회로도이다. IMC (440) 는 회로 (442) 및 회로 (322B) 를 포함한다. IMC (440) 의 UCC (444) 가 커패시터 C32와 병렬인 가변 커패시터 VC31을 포함하는 것을 제외하고 IMC (440) 는 IMC (430) (도 4d) 와 유사하다. UCC (444) 는 UCC (103) (도 4a) 의 예이다. 하나 이상의 파라미터들의 하나 이상의 값들을 더 조정하거나 설정하기 위해 가변 커패시터 VC31의 커패시턴스는 액추에이터 (109) 를 통해 호스트 시스템에 의해 조정되거나 설정된다.
일 실시예에서, 고정 커패시터 C32 대신, UCC (444) 내에 가변 커패시터가 사용된다.
일 실시예에서, 고정 커패시터 C32 대신, 임의의 수의 고정 커패시터들은 서로 직렬로 커플링되거나 서로 병렬로 커플링된다. 일 실시예에서, 가변 커패시터 VC31 대신, 임의의 수의 가변 커패시터들이 서로 직렬로 커플링되거나 서로 병렬로 커플링된다.
도 5는 도 4a의 회로 (404) 내 UCC (103) (도 4a) 및 도 2a의 회로 (202A) 내 UCC (103) (도 2a) 의 위치와 비교된 IMC (500) 의 UCC (103) 의 위치의 변화를 더 예시하기 위한 IMC (500) 의 실시예의 도면이다. IMC (500) 는 회로 (504) 및 회로 (202B) 를 포함한다. 회로 (504) 는 병렬 회로 엘리먼트 (506A), UCC (103), 병렬 회로 엘리먼트 (506B), 직렬 회로 엘리먼트 (506C), 병렬 회로 엘리먼트 (506E), 및 직렬 회로 엘리먼트 (506F) 를 포함한다. 회로 (504) 는 회로 (202B) 에 인접하다. 회로 (504) 는 단부 E1과 단부 E3 사이에 연결된다.
UCC (103) 는 병렬 회로 엘리먼트 (506A) 와 병렬 회로 엘리먼트 (506B) 사이에 위치된다. 예를 들어, UCC (103) 는 병렬 회로 엘리먼트 (506A) 의 노드 및 병렬 회로 엘리먼트 (506B) 의 노드에 연결된다. 더구나, UCC (103) 는 직렬 회로 엘리먼트 (506C) 와 병렬 회로 엘리먼트 (506A) 사이에 연결된다. 예를 들어, UCC (103) 는 직렬 회로 엘리먼트 (506C) 의 노드 및 병렬 회로 엘리먼트 (506A) 의 노드에 연결된다. UCC (103) 는 직렬 회로 엘리먼트 (506C) 와 그리고 단부 E1에 직렬로 연결된다.
일 실시예에서, UCC (103) 는 하나 이상의 고정 커패시터들, 예를 들어, 서로 직렬로 커플링된 2 이상의 커패시터들, 서로 병렬로 커플링된 2 이상의 커패시터들, 등을 포함한다. 일 실시예에서, UCC (103) 는 하나 이상의 가변 커패시터들, 예를 들어, 서로 직렬로 커플링된 2 이상의 가변 커패시터들, 서로 병렬로 커플링된 2 이상의 가변 커패시터들, 등을 포함한다.
일 실시예에서, UCC (103) 는 고정 커패시터 및 가변 커패시터를 포함한다. 고정 커패시터는 가변 커패시터와 병렬로 커플링된다. 일 실시예에서, 고정 커패시터는 가변 커패시터와 직렬로 커플링된다. 일 실시예에서, 고정 커패시터 대신, 서로 직렬 또는 병렬로 커플링된 임의의 수의 고정 커패시터들이 사용된다. 일 실시예에서, 가변 커패시터 대신, 서로 직렬 또는 병렬로 커플링된 임의의 수의 가변 커패시터들이 사용된다.
하나 이상의 파라미터들의 하나 이상의 값들을 설정하기 위해UCC (103) 의 커패시턴스는 액추에이터 (109) 를 통해 호스트 제어기에 의해 또는 수동으로 조정되거나 설정된다. 더구나, 회로 (202B) 를 통해 전송된 RF 신호로부터 미리 결정된 양의 분리를 달성하고, x1 ㎑ RF 생성기에 의해 전달된 미리 결정된 양의 전력을 달성하기 위해 UCC (103) 의 커패시턴스의 조정 또는 설정과 동시에, 회로 (504) 의 하나 이상의 나머지 튜닝 엘리먼트들, 예를 들어, 병렬 회로 엘리먼트 (506A), 병렬 회로 엘리먼트 (506B), 직렬 회로 엘리먼트 (506C), 병렬 회로 엘리먼트 (506E), 및 직렬 회로 엘리먼트 (506F), 등의 특성들이 조정된다.
일 실시예에서, UCC (103) 의 커패시턴스의 조정 또는 설정 후에, 회로 (202B) 를 통해 전송된 RF 신호로부터 미리 결정된 양의 분리를 달성하고, x1 ㎑ RF 생성기에 의해 전달된 미리 결정된 양의 전력을 달성하기 위해 회로 (504) 의 하나 이상의 나머지 튜닝 엘리먼트들의 특성들이 조정된다.
일 실시예에서, 제 2 회로 (202B) 를 통해 전송된 ㎒ RF 신호로부터 미리 결정된 양의 분리로부터 미리 결정된 문턱값 내의 분리량이 달성되도록 회로 (504) 의 나머지 튜닝 엘리먼트들의 특성들이 변화된다. 더구나, x1 ㎑ RF 생성기로부터 척 (108) 으로 전달된 미리 결정된 양의 전력으로부터 미리 결정된 문턱값 내의 전달된 전력량이 달성되도록 회로 (504) 의 나머지 튜닝 엘리먼트들의 특성들이 변화된다.
도 6은 복수의 상태들, 예를 들어, 상태 S1, 상태 S0, 등에서 동작되는 RF 생성기 (600) 의 실시예의 도면이다. RF 생성기 (600) 는 DSP (612), 상태 S1을 위한 전력 제어기 PWRS1, 상태 S0을 위한 전력 제어기 PWRS0, 상태 S1 자동 주파수 튜너 AFTS1, 상태 S0을 위한 자동 주파수 튜너 AFTS0를 포함한다. RF 생성기 (600) 는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 중 어느 하나의 예이다. 호스트 시스템의 프로세서는 호스트 시스템의 통신 디바이스, DSP (612) 를 호스트 시스템에 연결하기 위한 케이블, 및 RF 생성기 (600) 의 통신 디바이스를 통해 상태 S1 및 상태 S0에 대한 전력 레벨들 및 타이밍들을 DSP (612) 로 제공한다. 일 실시예에서, 통신 디바이스는 직렬 인터페이스, 병렬 인터페이스, USB (universal serial bus) 인터페이스, 또는 네트워크 인터페이스 제어기, 등이다.
DSP (612) 는 RF 생성기 (600) 의 통신 디바이스로부터 상태 S1 및 상태 S0에 대한 전력 레벨들 및 타이밍들을 수신하고, 상태 S0에 대한 전력 레벨 및 타이밍들 및 상태 S1에 대한 전력 레벨 및 타이밍들을 식별한다. 예를 들어, DSP (612) 는 상태 S0에 대한 전력 레벨 및 타이밍들과 상태 S1에 대한 전력 레벨 및 타이밍들을 구별한다.
상태 S1 동안, DSP (612) 는 상태 S1에 대한 전력 레벨 및 타이밍들을 RF 생성기 (600) 의 전력 제어기 PWRS1로 전송한다. 더구나, 상태 S0 동안, DSP (612) 는 상태 S0에 대한 전력 레벨 및 타이밍들을 RF 생성기 (600) 의 전력 제어기 PWRS0으로 전송한다. 전력 제어기 PWRS1 및 전력 제어기 PWRS0 각각은 예를 들어, 트랜지스터, 하나 이상의 트랜지스터들, 등을 통해 RF 생성기 (600) 의 RF 전력 공급부 (614) 를 구동한다. 예를 들어, 전력 제어기 PWRS1은 상태 S1에 대한 전력 레벨 및 타이밍들을 RF 전력 공급부 (614) 로 제공함으로써 상태 S1 동안 RF 전력 공급부 (614) 를 구동하고, 전력 제어기 PWRS0은 상태 S0에 대한 전력 레벨 및 타이밍들을 RF 전력 공급부 (614) 로 제공함으로써 상태 S0 동안 RF 전력 공급부 (614) 를 구동한다. RF 전력 공급부 (614) 는 상태 S1과 상태 S0 사이, 예를 들어, 두 전력 레벨들 사이, 등을 스위칭하는 RF 펄스 신호를 생성하도록 상태 S1 동안 및 상태 S0 동안 구동된다. RF 펄스 신호는 RF 생성기 (600) 의 출력부에 연결된 RF 케이블을 통해 IMC (104) (도 1a) 로 전송된다. 일 실시예에서, 상태 S0 및 상태 S1은 DSP (612) 로 공급된 클록 신호의 상태들이라는 것을 주의한다. 예를 들어, 호스트 시스템은 상태 S1 및 상태 S0을 갖는 클록 신호를 DSP (612) 로 공급한다.
일 실시예에서, 상태 S0에 대한 전력 레벨에 포함된 모든 전력량들은 상태 S1의 전력 레벨에 포함된 전력량들보다 낮다. 예를 들어, 상태 S1은 하이 상태이고 상태 S0은 로우 상태이다. 또 다른 예로서, 상태 S1는 비트 1로 식별된 상태 1, 예를 들어, 하이 상태, 등이고, 상태 S0은 비트 0으로 식별된 상태 0, 예를 들어, 로우 상태, 등이다.
일 실시예에서, RF 생성기 (600) 는 2보다 많은 상태들, 예를 들어, 3 상태들, 4 상태들, 등에서 동작하도록 수정된다.
일 실시예에서, 상태 S0 동안, 증착 동작이 기판 (120) (도 1a) 상에서 먼저 수행되고, 상태 S1 동안, 에칭 동작이 기판 (120) 상에서 먼저 수행된다.
도 7은 단일 상태, 예를 들어, 상태 S, 등에서 동작하는 RF 생성기 (700) 의 실시예의 도면이다. RF 생성기 (700) 는 DSP (702), 전력 제어기 PWRS, 및 자동 주파수 튜너 (AFT) AFTS를 포함한다. RF 생성기 (700) 는 x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기 중 어느 하나의 예이다. 호스트 시스템의 프로세서는 호스트 시스템의 통신 디바이스 및 RF 생성기 (700) 의 통신 디바이스를 통해 DSP (702) 로 전력 레벨을 제공한다. DSP (702) 는 상태 S에 대한 전력 레벨을 수신하고, 상태 S와 연관된 전력 레벨을 식별한다.
DSP (702) 는 RF 생성기 (700) 의 전력 제어기 PWRS로 전력 레벨을 전송한다. 전력 제어기 PWRS는, 예를 들어, 트랜지스터, 하나 이상의 트랜지스터들, 등을 통해 RF 생성기 (700) 의 RF 전력 공급부 (704) 를 구동한다. RF 전력 공급부 (704) 는 RF 생성기 (700) 의 출력부에 연결된 RF 케이블을 통해 IMC (104) (도 1a) 로 전송된 RF 연속파 신호를 생성하도록 상태 S 동안 구동된다. 연속파 신호는 전력 레벨을 갖고, 복수의 전력 레벨들을 갖지 않고, 예를 들어, 두 상태 S1과 상태 S0 사이, 등을 스위칭하지 않는다.
도 8a는 척 (108) 에서 기판 (120) 의 DC 바이어스 전압을 측정하기 위해 DC 바이어스 전압 센서 (802) 및 전극 (804), 예를 들어, DC 전압 픽업 핀, 등의 사용을 예시하기 위한 플라즈마 시스템 (800) 의 실시예의 블록도이다. 전극 (804) 은 척 (108) 의 측면 표면에 부착, 예를 들어, 납땜, 등이 된다. 전극 (804) 은 DC 바이어스 전압 센서 (802) 에 연결된다. 기판 (120) 은 플라즈마가 플라즈마 챔버 (110) 내에서 생성되고 그리고/또는 유지될 때, DC 바이어싱되고, 예를 들어, DC 전위, 등을 갖는다.
전극 (804) 은 기판 (120) 이 DC 바이어싱될 때 척 (108) 으로부터 전기 신호를 캡처한다. 전기 신호는 웨이퍼 DC 바이어스 전압량을 나타낸다. DC 바이어스 전압 센서 (802) 는 전기 신호를 수신하고 웨이퍼 DC 바이어스 전압의 측정된 값을 생성하고, 이는 DC 바이어스 전압 센서 (802) 에 의해 호스트 시스템의 프로세서로 제공된다.
호스트 시스템의 프로세서는 측정된 DC 바이어스 전압이 미리 결정된 DC 바이어스 전압 미리 결정된 문턱값 이내인지 여부를 결정한다. 측정된 DC 바이어스 전압이 미리 결정된 DC 바이어스 전압의 미리 결정된 문턱값 이내가 아니라는 결정시, 호스트 시스템의 프로세서는 액추에이터 (109) 의 드라이버, 예를 들어, 하나 이상의 트랜지스터들, 등에 의해 생성되는 전류량을 식별한다. 전류량은 UCC (103) 의 커패시턴스에 대응한다. 더구나, 커패시턴스는 설정되거나 달성될 웨이퍼 DC 바이어스 전압의 값, 예를 들어, 미리 결정된 DC 바이어스 전압, 미리 결정된 DC 바이어스 전압의 미리 결정된 문턱값, 등에 대응하고, 예를 들어, 맵핑 관계를 갖고, 일-대-일 대응 관계를 갖는다. 전류량은 명령 신호 내에 임베딩되어 호스트 시스템의 프로세서로부터 액추에이터 (109) 의 드라이버로 제공된다. 액추에이터 (109) 의 드라이버는 예를 들어, 이 전류량을 갖는 전류 신호를 모터의 스테이터 와인딩, 등에 제공함으로써 액추에이터 (109) 의 기계적 컴포넌트들을 구동한다. 기계적 컴포넌트들은 UCC (103) 의 커패시턴스, 예를 들어, UCC (103) 의 대응하는 하나 이상의 가변 커패시터들의 하나 이상의 커패시턴스들, 등을 달성하기 위해 액추에이팅, 예를 들어, 회전, 턴 (turn), 이동, 등이 된다. UCC (103) 의 커패시턴스는 미리 결정된 DC 바이어스 전압의 미리 결정된 문턱값에 대응하는 커패시턴스를 달성하기 위해 조정된다. UCC (103) 의 조정된 커패시턴스는 기판 (120) 을 프로세싱하기 위해 척 (108) 으로 제공되는 수정된 신호 (114) 를 생성하기 위해 x1 ㎑ RF 생성기로부터 공급된 RF 신호가 IMC (104) 에 의해 수정되도록 IMC (104) 의 임피던스를 변화시킨다.
일 실시예에서, 호스트 시스템의 프로세서는 달성될 웨이퍼 DC 바이어스 전압, 예를 들어, 미리 결정된 DC 바이어스 전압, 미리 결정된 DC 바이어스 전압의 미리 결정된 문턱값, 등에 대응하는 커패시턴스를 식별하고, 디스플레이를 위해 호스트 시스템의 디스플레이 디바이스로 커패시턴스를 제공한다. 디스플레이 디바이스의 예들은 플라즈마 디스플레이 디바이스, 액정 디스플레이, LED (light emitting diode) 디스플레이, 등을 포함한다. 사용자는 디스플레이 디바이스를 보고, 웨이퍼 DC 바이어스 전압을 더 달성하기 위해 디스플레이 디바이스 상에 디스플레이된 UCC (103) 의 커패시턴스를 달성하도록 UCC (103) 의 하나 이상의 커패시터들을 변화시키고, 예를 들어, 일 커패시터를 또 다른 커패시터로 교체하고, 부가적인 커패시터들을 UCC (103) 의 커패시터에 연결하고, UCC (103) 로부터 커패시터들을 제거한다.
도 8b는 IMC (104) 의 UCC (103) 의 커패시턴스를 제어하기 위한 RF 막대 전위의 사용을 예시하기 위한 플라즈마 시스템 (810) 의 실시예의 도면이다. 플라즈마 시스템 (810) 이 RF 막대 전위를 측정하는 전압 센서 (812) 를 포함하는 것을 제외하고 플라즈마 시스템 (810) 은 플라즈마 시스템 (100) (도 1a) 와 유사하다. 전압 센서 (812) 는 RF 전송선 (106) 의 RF 막대에 연결된다.
기판 (120) 이 플라즈마 챔버 (110) 내에서 프로세싱될 때, 예를 들어, 에칭되고, 스퍼터링되고, 모노머들 또는 폴리머들을 증착, 등을 할 때, 전압 센서 (812) 는 RF 막대 상의 지점에서 RF 막대 전위를 측정한다. 측정된 RF 막대 전위는 전압 센서 (812) 에 의해 호스트 시스템의 프로세서로 제공된다. 측정된 RF 막대 전위가 미리 결정된 막대 전위의 미리 결정된 문턱값 이내인지 여부를 결정하기 위해 호스트 시스템의 프로세서는 측정된 RF 막대 전위를 미리 결정된 막대 전위와 비교한다.
측정된 RF 막대 전위가 미리 결정된 막대 전위의 미리 결정된 문턱값 이내가 아니라는 결정시, 호스트 시스템의 프로세서는 액추에이터 (109) 의 드라이버에 의해 생성되는 전류량을 식별한다. 이 전류량은 UCC (103) 의 커패시턴스에 대응한다. 더구나, 커패시턴스는 설정되거나 달성될 RF 막대 전위의 값, 예를 들어, 미리 결정된 막대 전위, 미리 결정된 막대 전위의 미리 결정된 문턱값, 등에 대응한다. 이 전류량은 명령 신호 내에서 호스트 시스템의 프로세서로부터 액추에이터 (109) 의 드라이버로 제공된다. 액추에이터 (109) 의 드라이버는 액추에이터 (109) 의 기계적 컴포넌트들을 구동한다. 기계적 컴포넌트들은 UCC (103) 의 커패시턴스를 달성하기 위해 액추에이팅된다. UCC (103) 의 커패시턴스는 미리 결정된 막대 전위의 미리 결정된 문턱값에 대응하는 커패시턴스를 달성하도록 조정된다. UCC (103) 의 조정된 커패시턴스는 기판 (120) 을 프로세싱하기 위해 척 (108) 으로 제공되는, 수정된 신호 (114) 를 생성하기 위해, x1 ㎑ RF 생성기로부터 공급된 RF 신호가 IMC (104) 에 의해 수정되도록 IMC (104) 의 임피던스를 변화시킨다.
일 실시예에서, 호스트 시스템의 프로세서는 달성될 RF 막대 전위, 예를 들어, 미리 결정된 RF 막대 전위, 미리 결정된 RF 막대 전위의 미리 결정된 문턱값, 등에 대응하는 커패시턴스를 식별하고, 디스플레이를 위해 호스트 시스템의 디스플레이 디바이스로 커패시턴스를 제공한다. 사용자는 디스플레이 디바이스를 보고, RF 막대 전위를 더 달성하기 위해 디스플레이 디바이스 상에 디스플레이된 UCC (103) 의 커패시턴스를 달성하도록 UCC (103) 의 하나 이상의 커패시터들을 변화시킨다.
일 실시예에서, UCC (103) 의 커패시턴스를 변화시키기 위해 RF 막대 전위를 사용하는 대신 또는 부가적으로, 호스트 시스템의 프로세서는 커패시턴스를 변화시키도록 이온 에너지량을 계산한다. 이온 에너지량은 호스트 시스템의 프로세서에 의해 실행되는 이온 에너지 계산기에 의해 계산된다. 예를 들어, 이온 에너지 계산기는 식:
Figure pat00001
(1)
여기서
Figure pat00002
(2)
을 적용함으로써 RF 막대 전위 및 웨이퍼 DC 바이어스 전압 Vdc로부터 f(E)로 지정된 이온 에너지 분포 함수 (IEDF: ion energy distribution function) 를 계산한다.
식 (2) 에서, V KFRF(PEAK) 는 플라즈마 챔버 (110) 로 공급되고 RF 막대 전위로부터 호스트 시스템의 프로세서에 의해 계산된 저 주파수 RF 전압 V LF 의 피크 값이고, Vdc는 본 명세서에 기술된 방식으로 측정된 웨이퍼 DC 바이어스 전압이고, t는 시간이고, 그리고 ω는 호스트 시스템의 프로세서에 의해 계산된 저 주파수이다. 예시를 위해, 주파수 ω는 RF 막대 전위를 측정하기 위해 사용된 전압 센서 (812) (도 8b) 에 의해 생성되는 전압 신호로부터 고 주파수들을 필터링함으로써 계산된다. 고 주파수들의 필터링은 호스트 시스템의 프로세서에 의해 또는 필터에 의해 수행된다. 일 실시예에서, 피크 값 V KFRF(PEAK) 은 척 (108) 에 연결된 전압 센서를 사용하여 측정된 전압들로부터 호스트 시스템의 프로세서에 의해 계산된다.
호스트 시스템의 프로세서는 계산된 이온 에너지가 미리 결정된 양의 이온 에너지의 미리 결정된 문턱값 이내인지 여부를 결정한다. 계산된 이온 에너지가 미리 결정된 이온 에너지의 미리 결정된 문턱값 이내가 아니라는 결정시, 호스트 시스템의 프로세서는 액추에이터 (109) 의 드라이버에 의해 생성되는 전류량을 식별한다. 이 전류량은 UCC (103) 의 커패시턴스에 대응한다. 더구나, 커패시턴스는 달성되거나 설정될 이온 에너지의 값, 예를 들어, 이온 에너지의 미리 결정된 양, 이온 에너지의 미리 결정된 양의 미리 결정된 문턱값, 등에 대응한다. 이 전류량은 명령 신호 내에서 호스트 시스템의 프로세서로부터 액추에이터 (109) 의 드라이버로 제공된다. 액추에이터 (109) 의 드라이버는 액추에이터 (109) 의 기계적 컴포넌트들을 구동한다. 기계적 컴포넌트들은 본 명세서에 기술된 방식으로 UCC (103) 의 커패시턴스를 달성하도록 액추에이팅된다. UCC (103) 의 커패시턴스는 이온 에너지의 미리 결정된 양의 미리 결정된 문턱값에 대응하는 커패시턴스를 달성하도록 조정된다. UCC (103) 의 조정된 커패시턴스는 기판 (120) 을 프로세싱하기 위해 척 (108) 으로 제공되는, 수정된 신호 (114) 를 생성하기 위해, x1 ㎑ RF 생성기로부터 공급된 RF 신호가 IMC (104) 에 의해 수정되도록 IMC (104) 의 임피던스를 변화시킨다.
일 실시예에서, 호스트 시스템의 프로세서는 달성될 이온 에너지의 양, 예를 들어, 미리 결정된 이온 에너지, 이온 에너지의 미리 결정된 양의 미리 결정된 문턱값, 등에 대응하는 커패시턴스를 식별하고, 디스플레이를 위해 호스트 시스템의 디스플레이 디바이스로 커패시턴스를 제공한다. 사용자는 디스플레이 디바이스를 보고, 이온 에너지의 양을 더 달성하기 위해 디스플레이 디바이스 상에 디스플레이된 UCC (103) 의 커패시턴스를 달성하도록 UCC (103) 의 하나 이상의 커패시터들을 변화시킨다.
도 8c는 기판 (120) 의 표면으로부터 재료들, 예를 들어, 옥사이드들, 폴리머들, 모노머들, 등을 제거하는 제거 레이트, 예를 들어, 에칭 레이트, 스퍼터링 레이트, 등을 조정하거나 설정하기 위한 UCC (103) 의 커패시턴스의 사용을 예시하기 위한 플라즈마 시스템 (820) 의 실시예의 도면이다. 플라즈마 시스템 (820) 이 예를 들어, 기판 (120) 이 플라즈마 챔버 (110) 로부터 제거될 때 (taken out), 기판 (120) 의 두께를 엑스-시츄 (ex situ) 측정하기 위해 사용되는 광학 두께 측정 디바이스 (OTMD) (822), 예를 들어, 간섭계 (interferometer), 등을 포함하는 것을 제외하고 플라즈마 시스템 (820) 은 플라즈마 시스템 (100) (도 1a) 과 유사하다. 기판 (120) 의 두께를 측정하기 위해 플라즈마 챔버 (110) 의 캐비티로부터 기판 (120) 이 제거된다. OTMD (822) 는 기판 (120) 의 두께를 측정하고, 이 두께를 호스트 시스템에 제공한다. 호스트 시스템의 프로세서는 두께 및 기판 (120) 이 플라즈마 챔버 (110) 내에서 제거 동작, 예를 들어, 에칭 동작, 스퍼터링 동작, 등을 겪은 후로 경과된 시간 양에 기초하여, 기판 (120) 상에 증착된 재료, 예를 들어, 옥사이드 층, 마스크 층, 등의 제거 레이트를 계산한다.
호스트 시스템의 프로세서는 미리 결정된 제거 레이트와 계산된 에칭 제거 레이트를 비교한다. 계산된 제거 레이트가 미리 결정된 제거 레이트의 미리 결정된 문턱값 이내가 아니라는 결정시, 호스트 시스템의 프로세서는 액추에이터 (109) 의 드라이버에 의해 생성되는 전류량을 식별한다. 이 전류량은 UCC (103) 의 커패시턴스에 대응한다. 더구나, 커패시턴스는 달성되거나 설정될 제거 레이트의 값, 예를 들어, 미리 결정된 제거 레이트, 미리 결정된 제거 레이트의 미리 결정된 문턱값, 등에 대응한다. 이 전류량은 명령 신호 내에서 호스트 시스템의 프로세서로부터 액추에이터 (109) 의 드라이버로 제공된다. 액추에이터 (109) 의 드라이버는 액추에이터 (109) 의 기계적 컴포넌트들을 구동한다. 기계적 컴포넌트들은 UCC (103) 의 커패시턴스를 달성하도록 액추에이팅된다. 예를 들어, UCC (103) 의 커패시터의 플레이트는 커패시터의 커패시턴스를 달성하기 위해 커패시터의 또 다른 플레이트에 대해 회전된다. 또 다른 예로서, UCC (103) 의 복수의 커패시터들의 복수의 플레이트들은 커패시터들의 커패시턴스들을 달성하기 위해 커패시터들의 대응하는 플레이트들에 대해 회전된다. UCC (103) 의 커패시턴스는 미리 결정된 제거 레이트의 미리 결정된 문턱값에 대응하는 커패시턴스를 달성하도록 조정된다. UCC (103) 의 조정된 커패시턴스는 기판 (120) 을 프로세싱하기 위해 척 (108) 으로 제공되는, 수정된 신호 (114) 를 생성하기 위해, x1 ㎑ RF 생성기로부터 공급된 RF 신호가 IMC (104) 에 의해 수정되도록 IMC (104) 의 임피던스를 변화시킨다.
일 실시예에서, 호스트 시스템의 프로세서는 달성될 제거 레이트, 예를 들어, 미리 결정된 제거 레이트, 미리 결정된 제거 레이트의 미리 결정된 문턱값, 등에 대응하는 커패시턴스를 식별하고, 디스플레이를 위해 호스트 시스템의 디스플레이 디바이스로 커패시턴스를 제공한다. 사용자는 디스플레이 디바이스를 보고, 제거 레이트를 더 달성하기 위해 디스플레이 디바이스 상에 디스플레이된 UCC (103) 의 커패시턴스를 달성하도록 UCC (103) 의 하나 이상의 커패시터들을 변화시킨다.
도 8d는 UCC (103) 의 커패시턴스의 제어시 이온 포화 전류 밀도의 사용을 예시하기 위한 플라즈마 시스템 (830) 의 실시예의 블록도이다. 플라즈마 시스템 (830) 이 프로브 (832), 예를 들어, 플래너 이온 플럭스 프로브 (planar ion flux probe), Langmuir 프로브, 등 및 프로브 (832) 에 연결된 전류 센서 (834) 를 포함하는 것을 제외하고 플라즈마 시스템 (830) 은 플라즈마 시스템 (100) (도 1a) 과 유사하다. 전류 센서 (834) 는 또한 호스트 시스템에 커플링된다.
프로브 (832) 는 척 (108) 의 전극들과 상부 전극 (115) 사이의 플라즈마에 담궈진다. 프로브 (832) 는 표면적을 갖고, 예를 들어, 제곱 센티미터로 측정, 등이 되고, 플라즈마 챔버 (110) 내에서 회전된다. 프로브 (832) 는 회전될 때 전기 신호들을 생성하도록 프로브 (832) 의 표면적에 걸쳐 플라즈마 챔버 (110) 내에서 이온 전류를 수집하고 전기 신호들을 전류 센서 (834) 로 제공한다.
전류 센서 (834) 는 전기 신호들로부터 전류량을 측정하고 전류량을 호스트 시스템의 프로세서에 제공한다. 호스트 시스템의 프로세서는 이온 포화 전류 밀도를 계산하기 위해 프로브 (832) 의 단위 표면적 당 전류량을 계산한다.
호스트 시스템의 프로세서는 계산된 이온 포화 전류 밀도를 미리 결정된 이온 포화 전류 밀도와 비교한다. 계산된 이온 포화 전류 밀도가 미리 결정된 이온 포화 전류 밀도의 미리 결정된 문턱값 이내가 아니라는 결정시, 호스트 시스템의 프로세서는 액추에이터 (109) 의 드라이버에 의해 생성되는 전류량을 식별한다. 이 전류량은 UCC (103) 의 커패시턴스에 대응한다. 더구나, 커패시턴스는 달성되거나 설정될 이온 포화 전류 밀도의 양, 예를 들어, 미리 결정된 이온 포화 전류 밀도, 미리 결정된 이온 포화 전류 밀도의 미리 결정된 문턱값, 등에 대응한다. 이 전류량은 명령 신호 내에서 호스트 시스템의 프로세서로부터 액추에이터 (109) 의 드라이버로 제공된다. 액추에이터 (109) 의 드라이버는 액추에이터 (109) 의 기계적 컴포넌트들을 구동한다. 기계적 컴포넌트들은 UCC (103) 의 커패시턴스를 달성하도록 액추에이팅된다. 예를 들어, UCC (103) 의 커패시터의 플레이트는 커패시터의 커패시턴스를 달성하기 위해 커패시터의 또 다른 플레이트에 대해 회전된다. 또 다른 예로서, UCC (103) 의 복수의 커패시터들의 복수의 플레이트들은 커패시터들의 커패시턴스들을 달성하기 위해 커패시터들의 대응하는 플레이트들에 대해 회전된다. UCC (103) 의 커패시턴스는 미리 결정된 이온 포화 전류 밀도의 미리 결정된 문턱값에 대응하는 커패시턴스를 달성하도록 조정된다. UCC (103) 의 조정된 커패시턴스는 기판 (120) 을 프로세싱하기 위해 척 (108) 으로 제공되는, 수정된 신호 (114) 를 생성하기 위해, x1 ㎑ RF 생성기로부터 공급된 RF 신호가 IMC (104) 에 의해 수정되도록 IMC (104) 의 임피던스를 변화시킨다.
일 실시예에서, 호스트 시스템의 프로세서는 달성될 이온 포화 전류 밀도, 예를 들어, 미리 결정된 이온 포화 전류 밀도, 미리 결정된 이온 포화 전류 밀도의 미리 결정된 문턱값, 등에 대응하는 커패시턴스를 식별하고, 디스플레이를 위해 호스트 시스템의 디스플레이 디바이스로 커패시턴스를 제공한다. 사용자는 디스플레이 디바이스를 보고, 이온 포화 전류 밀도를 더 달성하기 위해 디스플레이 디바이스 상에 디스플레이된 UCC (103) 의 커패시턴스를 달성하도록 UCC (103) 의 하나 이상의 커패시터들을 변화시킨다.
도 8e는 x1 ㎑ RF 생성기에 의해 전달된 전력을 제어하기 위해 UCC (103) 의 사용을 예시하기 위한 플라즈마 시스템 (840) 의 실시예의 블록도이다. 플라즈마 시스템 (840) 이 x1 ㎑ RF 생성기의 출력부에 연결된 프로브 (842), 예를 들어, 전압 및 전류 프로브, 전달된 전력 측정 프로브, 등을 포함하는 것을 제외하고 플라즈마 시스템 (840) 은 플라즈마 시스템 (100) (도 1a) 과 유사하다. 프로브는 x1 ㎑ RF 생성기의 RF 전력 공급부의 출력부에 연결된다.
플라즈마 챔버 (110) 내에서 기판 (120) 의 프로세싱 동안, 프로브 (842) 는 복소 전압 및 전류를 측정하고, IMC (104) 를 통해 플라즈마 챔버 (110) 로 x1 ㎑ RF 생성기에 의해 전달되는 RF 신호의 복소 전압 및 전류를 제공한다. 일 실시예에서, 복소 전압 및 전류는 전류 크기, 전압 크기, 및 전류 크기 (magnitude) 와 전압 크기 간의 위상을 포함한다. 호스트 시스템의 프로세서는 예를 들어 복소 전압과 복소 전류의 적 (product), 등을 계산함으로써, 복소 전압 및 전류로부터 전달된 전력을 계산한다.
호스트 시스템의 프로세서는 계산된 전달된 전력을 미리 결정된 전달된 전력, 예를 들어, 미리 결정된 양의 전달된 전력, 등에 비교한다. 계산된 전달된 전력이 미리 결정된 전달된 전력의 미리 결정된 문턱값 이내가 아니라는 결정시, 호스트 시스템의 프로세서는 액추에이터 (109) 의 드라이버에 의해 생성되는 전류량을 식별한다. 이 전류량은 UCC (103) 의 커패시턴스에 대응한다. 더구나, 커패시턴스는 달성되거나 설정될 전달된 전력의 양, 예를 들어, 미리 결정된 전달된 전력, 미리 결정된 전달된 전력의 미리 결정된 문턱값, 등에 대응한다. 이 전류량은 명령 신호 내에서 호스트 시스템의 프로세서로부터 액추에이터 (109) 의 드라이버로 제공된다. 액추에이터 (109) 의 드라이버는 액추에이터 (109) 의 기계적 컴포넌트들을 구동한다. 기계적 컴포넌트들은 UCC (103) 의 커패시턴스를 달성하도록 액추에이팅된다. 예를 들어, UCC (103) 의 커패시터의 플레이트는 커패시터의 커패시턴스를 달성하기 위해 커패시터의 또 다른 플레이트에 대해 회전된다. 또 다른 예로서, UCC (103) 의 복수의 커패시터들의 복수의 플레이트들은 커패시터들의 커패시턴스들을 달성하기 위해 커패시터들의 대응하는 플레이트들에 대해 회전된다. 이 예에서, UCC (103) 의 커패시턴스는 커패시터들의 결합된 커패시턴스, 예를 들어, 합산된 커패시턴스, 등이다. UCC (103) 의 커패시턴스는 미리 결정된 전달된 전력의 미리 결정된 문턱값에 대응하는 커패시턴스를 달성하도록 조정된다. UCC (103) 의 조정된 커패시턴스는 기판 (120) 을 프로세싱하기 위해 척 (108) 으로 제공되는, 수정된 신호 (114) 를 생성하기 위해, x1 ㎑ RF 생성기로부터 공급된 RF 신호가 IMC (104) 에 의해 수정되도록 IMC (104) 의 임피던스를 변화시킨다. x1 ㎑ RF 생성기의 출력부에서 전달된 전력은 플라즈마 챔버 (110) 로 전달된 전력이다.
일 실시예에서, 호스트 시스템의 프로세서는 달성될 전달된 전력량, 예를 들어, 미리 결정된 전달된 전력, 미리 결정된 전달된 전력의 미리 결정된 문턱값, 등에 대응하는 커패시턴스를 식별하고, 디스플레이를 위해 호스트 시스템의 디스플레이 디바이스로 커패시턴스를 제공한다. 사용자는 디스플레이 디바이스를 보고, 전달된 전력량을 더 달성하기 위해 디스플레이 디바이스 상에 디스플레이된 UCC (103) 의 커패시턴스를 달성하도록 UCC (103) 의 하나 이상의 커패시터들을 변화시킨다.
도 8f는 단부 E4와 단부 E3 사이에 연결된 IMC (104) 내 회로를 통해 전달된 RF 신호로부터 단부 E1과 단부 E3 사이에 연결된 IMC (104) 내 회로를 통해 전달된 RF 신호의 데시벨로 측정된 분리량을 제어하기 위해 UCC (103) 의 사용을 예시하기 위한 플라즈마 시스템 (850) 의 실시예의 블록도이다. 플라즈마 시스템 (850) 이 단부 E1에 연결된 전력 센서 (852), 예를 들어, 전력 측정 프로브, 등을 포함하고 단부 E4에 연결된 전력 센서 (854) 를 포함하는 것을 제외하고, 플라즈마 시스템 (850) 은 플라즈마 시스템 (100) (도 1a) 과 유사하다.
플라즈마 챔버 (110) 내에서 기판 (120) 의 프로세싱 동안, 전력 센서 (852) 는 단부 E1에서 전송되는 전력량을 측정한다. 전력 센서 (852) 는 호스트 시스템의 프로세서로 측정된 전력량을 제공한다. 더구나, 전력 센서 (854) 는 단부 E4에서 전송되는 전력량을 측정한다. 전력 센서 (854) 는 호스트 시스템의 프로세서로 측정된 전력량을 제공한다.
호스트 시스템의 프로세서는 단부 E1에서 측정된 전력량과 단부 E4에서 측정된 전력량 간의 차를 계산하고, 이 차를 미리 결정된 양의 분리와 비교한다. 계산된 차가 미리 결정된 분리량의 미리 결정된 문턱값 이내가 아니라는 결정시, 호스트 시스템의 프로세서는 액추에이터 (109) 의 드라이버에 의해 생성되는 전류량을 식별한다. 이 전류량은 UCC (103) 의 커패시턴스에 대응한다. 더구나, 커패시턴스는 달성되거나 설정될 분리량, 예를 들어, 미리 결정된 분리량, 미리 결정된 분리량의 미리 결정된 문턱값, 등에 대응한다. 이 전류량은 명령 신호 내에서 호스트 시스템의 프로세서로부터 액추에이터 (109) 의 드라이버로 제공된다. 액추에이터 (109) 의 드라이버는 액추에이터 (109) 의 기계적 컴포넌트들을 구동한다. 기계적 컴포넌트들은 UCC (103) 의 커패시턴스를 달성하도록 액추에이팅된다. 예를 들어, UCC (103) 의 커패시터의 플레이트는 커패시터의 커패시턴스를 달성하기 위해 커패시터의 또 다른 플레이트에 대해 회전된다. 또 다른 예로서, UCC (103) 의 복수의 커패시터들의 복수의 플레이트들은 커패시터들의 커패시턴스들을 달성하기 위해 커패시터들의 대응하는 플레이트들에 대해 회전된다. UCC (103) 의 커패시턴스는 미리 결정된 분리량의 미리 결정된 문턱값에 대응하는 커패시턴스를 달성하도록 조정된다. UCC (103) 의 조정된 커패시턴스는 기판 (120) 을 프로세싱하기 위해 척 (108) 으로 제공되는, 수정된 신호 (114) 를 생성하기 위해, x1 ㎑ RF 생성기로부터 공급된 RF 신호가 IMC (104) 에 의해 수정되도록 IMC (104) 의 임피던스를 변화시킨다.
일 실시예에서, 호스트 시스템의 프로세서는 단부 E1과 단부 E4 사이에서 달성될 분리량에 대응하는 커패시턴스를 식별하고, 디스플레이를 위해 호스트 시스템의 디스플레이 디바이스로 커패시턴스를 제공한다. 사용자는 디스플레이 디바이스를 보고, 단부 E1과 단부 E4 사이의 분리가 미리 결정된 분리량의 미리 결정된 문턱값 이내이도록 디스플레이 디바이스 상에 디스플레이된 UCC (103) 의 커패시턴스를 달성하기 위해 UCC (103) 의 하나 이상의 커패시터들을 변화시킨다.
일 실시예에서, x1 ㎑ RF 생성기 및 y ㎒ RF 생성기가 사용될 때, 전력 센서 (854) 는 단부 E2에 연결되고 UCC (103) 의 커패시턴스는 단부 E1과 단부 E3 간의 분리가 미리 결정된 분리량의 미리 결정된 문턱값 이내이도록 상기 실시예들에 기술된 방식과 유사한 방식으로 제어된다.
일 실시예에서, 파라미터의 레벨은 레벨의 하나 이상의 양들을 포함한다. 예를 들어, 전달된 미리 결정된 레벨의 전력은 미리 결정된 양의 전달된 전력의 미리 결정된 문턱값 이내의 하나 이상의 전달된 전력량들을 포함한다. 또 다른 예로서, 미리 결정된 레벨의 분리는 미리 결정된 분리량의 미리 결정된 문턱값 이내의 하나 이상의 분리량들을 포함한다.
도 9는 x1 ㎑ RF 생성기에 의해 생성된 RF 신호들의 전력량 차에 대해 웨이퍼 DC 바이어스와 UCC (103) (도 1a) 의 커패시턴스 간의 관계를 예시하기 위한 그래프 (900) 의 실시예이다. 플롯들 (1102, 1104, 및 1106) 로 도시된 바와 같이, 커패시턴스의 상승에 따른 웨이퍼 DC 바이어스의 증가가 볼트로 측정되었다. 예를 들어, x1 ㎑ 생성기가 4 ㎾의 전력을 공급할 때, 약 800 피코패럿 (㎊) 으로부터 12000 ㎊으로 UCC (103) 의 커패시턴스의 상승에 따른 웨이퍼 DC 바이어스는 -900 V 내지 -1400 V로 상승한다. 또 다른 예로서, x1 ㎑ 생성기가 8 ㎾의 전력을 공급할 때, 약 800 ㎊ 내지 12000 ㎊의 상승에 따른 웨이퍼 DC 바이어스는 -700 V만큼 상승된다.
도 10a는 x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 상태 S0 동안 UCC (103) (도 1a) 의 커패시턴스 의 상승에 따른 킬로볼트 (㎸) 로 측정된 RF 막대 전위의 상승을 예시하기 위한 그래프 (1000) 의 실시예이다. 예를 들어, x1 ㎑ RF 생성기에 의해 생성된 RF 신호의 상태 S0 동안, RF 전송선 (106) (도 1a) 에서 RF 막대 전위가 음의 양으로 상승된다. 이러한 감소는 플롯들 (1002 및 1004) 로 예시된다. 플롯 (1004) 은 UCC (103) 의 커패시턴스가 800 ㎊일 때 플롯팅되고, 플롯 (1002) 은 UCC (103) 의 커패시턴스가 6 나노패럿 (㎋) 일 때 플롯팅된다. 그래프 (1000) 는 RF 막대 전위 대 시간 t을 플롯팅한다. 상태 S0 동안 플롯들 (1002 및 1004) 의 확대도가 RF 막대 전위 대 시간 t을 플롯팅하는 그래프 (1006) 로 예시된다. 또한, 도 10c의 그래프 (1008) 의 실시예에 도시된 바와 같이, UCC (103) 의 커패시턴스가 800 ㎊ 으로부터 6 ㎋로 상승할 때 상태 S1 동안 RF 막대 전위의 변화가 최소이거나 변화가 없다. 그래프 (1008) 는 x1 ㎑ RF 생성기에 의해 생성된 RF 신호가 상태 S1에 있을 때 그래프 (1000) 의 확대도이다.
그래프들 (1000, 1006, 및 1008) 은 x1 ㎑ RF 생성기에 의해 생성된 RF 신호가 펄싱될 때, 예를 들어, 상태 S1과 상태 S0 사이에서 주기적으로 전이할 때, 상태 S1로부터 상태 S0으로 전이하고 이어서 다시 상태 S1로 돌아가고 이렇게 계속할 때, 플롯팅된다는 것을 주의해야 한다. 더구나, 그래프들 (1000, 1006, 및 1008) 은 x1 ㎑ RF 생성기에 의해 생성된 RF 신호가 상태 S0 동안 0의 전력 레벨을 가질 때 플롯팅된다.
일 실시예에서, x1 ㎑ RF 생성기에 의해 생되는 RF 신호가 상태 S0 동안 0이 아닌 전력 레벨을 가질 때, UCC (103) 의 커패시턴스의 상승에 따른 RF 전송선 (106) 에서 음의 양의 RF 막대 전위는 상승한다.
도 10d는 RF 전송선 (106) (도 1a) 상의 지점에서 RF 막대 전위 (1004) 대 시간을 예시하기 위한 그래프의 실시예이다. RF 막대 전위 (1004) 는 UCC (103) (도 1a) 의 커패시턴스가 값 CX로 수동으로 설정되거나 액추에이터 (109) (도 1a) 를 통해 조정될 때 플롯팅된다. RF 막대 전위 (1004) 는 상태 S1 동안 하이 레벨 (1054A) 과 로우 레벨 (1054B) 사이이다. 더구나, RF 막대 전위 (1004) 는 상태 S0 동안 레벨 (1056) 에 있다.
도 10e는 UCC (103) (도 1a) 의 커패시턴스의 변화와 함께 RF 막대 전위의 변화를 예시하기 위한 그래프의 실시예이다. 그래프는 RF 전송선 (106) (도 1a) 의 지점에서 RF 막대 전위 (1002) 대 시간을 플롯팅한다. RF 막대 전위 (1002) 는 도 10d를 사용하여 상기 참조된 값 CX보다 큰 값 CY으로 설정되거나 액추에이터 (109) (도 1a) 를 통해 조정될 때 플롯팅된다. 상태 S1 동안, RF 막대 전위 (1002) 는 하이 레벨 (1054A) 과 로우 레벨 (1054B) 사이이다. 더구나, 상태 S0 동안, RF 막대 전위 (1002) 는 CX로부터 CY로 UCC (103) 의 커패시턴스의 상승의 결과로서 레벨 (1056) 이하이다.
도 10f는 RF 막대 전위 (1004) 와 RF 막대 전위 (1002) 간의 비교를 예시하기 위한 그래프의 실시예이다. 이 그래프는 RF 막대 전위 (1004) 대 시간 그리고 RF 막대 전위 (1002) 대 시간을 플롯팅한다. RF 막대 전위 (1004) 와 RF 막대 전위 (1002) 간의 차들은 도 10f에서 가시적이다. 일 실시예에서, RF 막대 전위의 음의 양의 상승에 따른, 플라즈마 챔버 (110) (도 1a) 내 플라즈마의 이온 밀도의 상승이 있다는 것을 주의해야 한다.
도 11은 UCC (103) (도 1a) 의 커패시턴스의 상승에 따른 RF 전송선 (106) (도 1a) 에서 RF 막대 전위가 상승한다는 것을 예시하기 위한 그래프 (1100) 의 실시예의 도면이다. RF 막대 전위는 시간에 대해 플롯팅된다. 그래프 (1100) 로부터, UCC (103) 의 커패시턴스가 800 ㎊으로부터 6 ㎋으로 그리고 12 ㎋으로 더 상승할 때 RF 막대 전위는 음으로 상승한다는 것을 주의한다.
도 12는 x1 ㎑ RF 생성기가 펄싱된 신호가 아닌 연속파 신호를 생성할 때 플라즈마 챔버 (110) (도 1a) 내 중심 영역에서 옥사이드 에칭 레이트가 UCC (103) (도 1a) 의 커패시턴스를 제어함으로써 제어되는 것을 예시하기 위한 그래프 (1200) 의 실시예이다. 그래프 (1200) 는 기판 (120) 상의 옥사이드의 에칭의 에칭 레이트 대 기판 (120) 의 반경을 플롯팅한다. UCC (103) 의 커패시턴스는 중심 영역에서 에칭 레이트를 변화시키기 위해 값 CV1과 값 CV210 또는 값 CV220 사이에서 가변한다. 값 CV210 및 값 CV220은 서로 같다.
일 실시예에서, 중심 영역은 상부 전극 (115) (도 1a) 과 척 (108) (도 1a) 사이의 영역으로서 규정된다. 일 실시예에서, 기판 (120) (도 1a) 의 중심은 척 (108) 의 중심과 일치한다. 더구나, 플라즈마 챔버 (110) 내 에지 영역은 상부 전극 (115) 아래가 아니고 척 (108) 위가 아닌 영역으로 규정된다. 에지 영역은 중심 영역보다 플라즈마 챔버 (110) 의 C-슈라우드에 보다 가깝다. 예를 들어, 에지 영역은 C-슈라우드, 상부 전극 (115) 을 둘러싸는 상부 전극 연장부, 척 (108) 을 둘러싸는 하부 전극 연장부, 및 중심 영역으로 둘러싸인다.
그래프 (1200) 는 에칭 레이트 프로파일의 플롯 (1202), 에칭 레이트 프로파일의 플롯 (1204), 및 에칭 레이트 프로파일의 플롯 (1206) 을 포함한다. 플롯 (1202) 은 2 개의 RF 생성기들, 예를 들어, x1 ㎑ RF 생성기 및 y ㎒ RF 생성기, 등이 RF 신호들을 생성하기 위해 사용되고 UCC (103) 의 커패시턴스가 CV10일 때 생성된다. 더구나, 플롯 (1204) 은 2 개의 RF 생성기들, 예를 들어, x1 ㎑ RF 생성기 및 y ㎒ RF 생성기, 등이 RF 신호들을 생성하기 위해 사용되고 UCC (103) 의 커패시턴스가 CV210일 때 생성된다. 게다가, 플롯 (1206) 은 3 개의 RF 생성기들, 예를 들어, x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기, 등이 RF 신호들을 생성하기 위해 사용되고 UCC (103) 의 커패시턴스가 CV220일 때 생성된다. 커패시턴스 CV210는 커패시턴스 CV220과 동일하다는 것을 주의해야 한다. 플롯들 (1204 및 1206) 로부터 알 수 있는 바와 같이, 다수의 RF 생성기들의 변화는 UCC (103) 의 커패시턴스가 동일할 때 에칭 레이트 프로파일에 영향을 갖지 않거나 최소의 영향을 갖는다. 더구나, 플롯들 (1202 및 1204) 로부터 또는 플롯들 (1202 및 1206) 로부터 알 수 있는 바와 같이 CV10으로부터 CV210으로 또는 CV10으로부터 CV220으로의 UCC (103) 의 커패시턴스의 변화는 기판 (120) (도 1a) 을 에칭하기 위한 에칭 레이트 프로파일에 영향을 준다.
도 13a는 UCC (103) (도 1a) 의 커패시턴스의 변화와 함께 에칭 레이트의 변화를 예시하기 위한 그래프 (1300) 의 실시예이다. 그래프 (1300) 는 플라즈마 챔버 (110) (도 1a) 내에서 기판 (120) (도 1a) 의 에칭의 에칭 레이트 프로파일이다. 그래프 (1300) 는 기판 (120) (도 1a) 상의 옥사이드 에칭의 에칭 레이트 대 기판 (120) 의 반경을 플롯팅한다. 그래프 (1300) 는 x1 ㎑ RF 생성기가 RF 신호를 생성할 때 플롯팅된다. 그래프 (1300) 는 에칭 레이트 프로파일들의 3 개의 플롯들 (1304, 1306, 및 1308) 을 포함한다. 3 개의 플롯들 (1304, 1306, 및 1308) 로부터 알 수 있는 바와 같이, UCC (103) 의 커패시턴스가 CV21 또는 CV22로부터 CV1로 감소할 때, 기판 (120) 상의 옥사이드의 에칭의 에칭 레이트는 보다 균일해진다. 예를 들어, 커패시턴스가 CV1일 때, 옥사이드의 에칭의 에칭 레이트는 커패시턴스가 CV21 또는 CV22일 때와 비교하여, 특히, 에지 영역에서 보다 균일하다. 커패시턴스 CV21 및 커패시턴스 CV22는 서로 같다.
플롯 (1304) 은 2 개의 RF 생성기들, 예를 들어, x1 ㎑ RF 생성기 및 y ㎒ RF 생성기, 등이 RF 신호들을 생성하도록 사용되고 UCC (103) 의 커패시턴스가 CV1일 때 생성된다. 더구나, 플롯 (1306) 은 2 개의 RF 생성기들, 예를 들어, x1 ㎑ RF 생성기 및 y ㎒ RF 생성기, 등이 RF 신호들을 생성하도록 사용되고 UCC (103) 의 커패시턴스가 CV21일 때 생성된다. 게다가, 플롯 (1308) 은 3 개의 RF 생성기들, 예를 들어, x1 ㎑ RF 생성기, x ㎒ RF 생성기, 및 y ㎒ RF 생성기, 등이 RF 신호들을 생성하도록 사용되고 UCC (103) 의 커패시턴스가 CV22일 때 생성된다. 플롯들 (1306 및 1308) 로부터 알 수 있는 바와 같이, 다수의 RF 생성기들의 변화는 UCC (103) 의 커패시턴스가 동일할 때 에칭 레이트 프로파일에 영향을 갖지 않거나 최소의 영향을 갖는다. 더구나, 플롯들 (1304 및 1306) 로부터 또는 플롯들 (1304 및 1308) 로부터 알 수 있는 바와 같이 CV1로부터 CV21로 또는 CV1로부터 CV22로의 UCC (103) 의 커패시턴스의 변화는 기판 (120) (도 1a) 을 에칭하기 위한 에칭 레이트 프로파일에 영향을 준다.
도 13b는 UCC (103) (도 1a) 의 커패시턴스의 변화와 함께 에칭 레이트의 변화를 예시하기 위한 그래프 (1302) 의 실시예이다. 그래프 (1302) 는 그래프 (1302) 의 에칭 레이트가 정규화된다는 것을 제외하고 그래프 (1300) (도 13a) 와 유사하다. 그래프 (1302) 는 기판 (120) (도 1a) 을 에칭하기 위한 에칭 레이트 프로파일들의 플롯들 (1310, 1312, 및 1314) 을 포함한다. 플롯 (1310) 은 플롯 (1304) (도 13a) 의 정규화된 버전이고, 플롯 (1312) 은 플롯 1306 (도 13a) 의 정규화된 버전이고, 플롯 (1314) 은 플롯 1308 (도 13a) 의 정규화된 버전이다. 다수의 RF 생성기들의 변화는 UCC (103) 의 커패시턴스가 동일할 때 에칭 레이트 프로파일에 영향을 갖지 않거나 최소의 영향을 갖는다는 것이 플롯들 (1312 및 1314) 로부터 가시적이다. 더구나, CV1로부터 CV21로 또는 CV1로부터 CV22로의 UCC (103) 의 커패시턴스의 변화는 기판 (120) 을 에칭하기 위한 에칭 레이트 프로파일에 영향을 준다는 것이 플롯들 (1310 및 1312) 또는 플롯들 (1310 및 1314) 로부터 가시적이다.
일 양태에서, 본 명세서에 기술된 일부 실시예들은 핸드-핼드 하드웨어 유닛, 마이크로프로세서 시스템, 마이크로프로세서-기반 또는 프로그래밍 가능한 가전 제품, 미니컴퓨터, 메인프레임 컴퓨터 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시된다. 일 양태에서, 본 명세서에 기술된 일부 실시예들은 작업들이 컴퓨터 네트워크를 통해 링크된 원격 프로세싱 하드웨어 유닛에 의해 수행되는 분산된 컴퓨팅 환경에서 실시된다.
다양한 실시예들에서, 제어기는 시스템의 일부이다. 시스템은 프로세싱 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템 등) 을 위한 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 플랫폼 또는 플랫폼들을 포함하는 반도체 프로세싱 장비를 포함한다. 시스템은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 동안에 또는 이후에 그의 동작을 제어하기 위한 전자 장치들과 통합된다. 이 전자 장치들은 시스템의 다양한 컴포넌트들 또는 하위부품들을 제어하는 "제어기"로서 지칭된다. 제어기는, 프로세싱 요건들 및/또는 시스템 유형에 따라, 이러한 프로세스는 프로세스 가스들, 온도 설정사항 (예를 들어, 가열 및/또는 냉각), 압력 설정사항, 진공 설정사항, 전력 설정사항, RF 생성기 설정사항, RF 매칭 회로 설정사항, 주파수 설정사항, 플로우 레이트 설정사항, 유체 전달 설정사항, 위치 및 동작 설정사항, 및 시스템에 연결되거나 시스템과 인터페이싱하는 툴 및 다른 전달 툴들 및/또는 로드록들 내외로의 웨이퍼 이송 등을 포함하는 레시피를 사용하여 본 명세서에 개시된 임의의 프로세스를 제어하도록 프로그램된다.
일반적으로 말하면, 다양한 실시예들에서, 제어기는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치들로서 규정되며, 이들은 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고 엔드포인트 측정, 등을 인에이블한다. 집적 회로는 프로그램 인스트럭션들을 저장하는 펌웨어 형태로 된 칩들, DSP들, ASIC들로서 규정되는 칩들, PLD들, 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 를 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 반도체 웨이퍼 상의 또는 이에 대한 프로세스를 실행하기 위한 동작 파라미터들을 규정하는 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 통신되는 인스트럭션들이다. 동작 파라미터들은, 일부 실시예들에서, 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 이산화물, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하기 위해서 프로세스 엔지니어들에 의해서 규정된 레시피의 일부이다.
제어기는 일부 실시예들에서, 시스템에 통합되거나 시스템에 커플링되거나 이와 달리 시스템에 네트워킹되거나 이들의 조합으로 된 컴퓨터에 커플링되거나 컴퓨터의 일부이다. 예를 들어, 제어기는 "클라우드" 내에 있거나 팹 (fab) 호스트 컴퓨터 시스템의 일부 또는 전부이며, 이는 웨이퍼 프로세싱을 위한 원격 액세스를 가능하게 한다. 제어기는 제조 동작들의 현 진행 사항을 모니터링하기 위해서 시스템으로의 원격 액세스를 인에이블하며, 지난 제조 동작들의 이력을 검사하고, 복수의 제조 동작들로부터의 경향성들 또는 성능 계측사항들을 검사하고, 현 프로세싱의 파라미터를 변화시키게 하며 현 프로세싱을 따르도록 프로세싱 단계들을 설정하게 하고, 새로운 프로세스를 시작하게 한다.
일부 실시예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 프로세스 레시피들을 컴퓨터 네트워크를 통해 시스템에 제공하며, 이 네트워크는 로컬 네트워크 또는 인터넷을 포함한다. 원격 컴퓨터는 사용자 인터페이스들을 포함하며 이 인터페이스는 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하며, 이들은 이어서 원격 컴퓨터로부터 시스템으로 통신된다. 다양한 실시예들에서, 제어기는 웨이퍼를 프로세싱하기 위한 설정사항들의 형태로 인스트럭션들을 수신한다. 설정사항들은 웨이퍼 상에서 수행될 프로세스 타입 및 제어기가 인터페이싱하거나 제어하는 툴의 타입에 특정된다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예를 들어 서로 네트워킹된 하나 이상의 개별 제어기들을 포함시키고 예를 들어 본 명세서에서 기술된 프로세스들을 충족하는 공통 목적을 위해서 작동시킴으로써 분산된다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 내의 프로세스를 제어하도록 서로 결합되는 이격되게 위치한 (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시예들에서, 시스템은 플라즈마 에칭 챔버, 증착 챔버, 스핀-린스 챔버, 금속 도금 챔버, 세정 챔버, 베벨 에지 에칭 챔버, PVD (physical vapor deposition) 챔버, CVD (chemical vapor deposition) 챔버, ALD (atomic layer deposition) 챔버, ALE (atomic layer etch) 챔버, 이온 주입 챔버, 트랙 (track) 챔버, 및/또는 반도체 웨이퍼들을 제조 및/또는 제작시에 사용되거나 연관된 임의의 다른 반도체 프로세싱 챔버를 포함한다.
전술된 동작들이 병렬의 플레이트 플라즈마 챔버, 예를 들어, 용량 결합 플라즈마 챔버 등을 참조하여 기술되었으나, 일부의 실시예들에서, 전술된 동작들은 다른 유형들의 플라즈마 챔버들, 예를 들어, ICP (inductively coupled plasma) 반응기, TCP (transformer coupled plasma) 반응기, 전도체 툴들, 유전체 툴들을 포함하는 플라즈마 챔버, ECR (electron-cyclotron resonance) 반응기를 포함하는 플라즈마 챔버 등에 적용된다는 것을 또한 주의한다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 프로세스 동작에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신한다.
상기 실시예들을 염두에 두고, 일부 실시예들은 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터 구현 동작들을 채용한다는 것이 이해되어야 한다. 이들 컴퓨터 구현 동작들은 물리량을 조작하는 동작들이다.
일부 실시예들은 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터용으로 특수하게 구성된다. 특수 목적 컴퓨터로 규정될 때, 컴퓨터는 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행하는 한편, 여전히 특수 목적을 위해 동작할 수 있다.
일부 실시예들에서, 본 명세서에 기술된 동작들은 컴퓨터 선택적으로 활성화되어 수행되거나, 컴퓨터 메모리에 저장된 하나 이상의 컴퓨터 프로그램들에 의해 구성되거나, 컴퓨터 네트워크를 통해 획득된다. 데이터가 컴퓨터 네트워크를 통해 획득될 때, 데이터는 컴퓨터 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
본 명세서에 기술된 하나 이상의 실시예들은, 또한 비일시적인 컴퓨터-판독가능 매체 상의 컴퓨터 판독가능 코드로서 제작될 수 있다. 비일시적인 컴퓨터-판독가능 매체는 이후에 컴퓨터 시스템에 의해 판독되는 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스이다. 비일시적인 컴퓨터-판독가능 매체의 예들은 하드 드라이브, NAS (network attached storage), RAM, ROM, CD-ROMs (compact disc-ROMs), CD-Rs (CD-recordables), CD-RWs (CD-rewritables), 자기 테이프들, 및 다른 광학 및 비광학 데이터 저장 하드웨어 유닛들을 포함한다. 일부 실시예들에서, 비일시적인 컴퓨터-판독가능 매체는 컴퓨터 판독가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크 커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터-판독가능 유형의 매체를 포함한다.
상기 기술된 일부 방법 동작들이 특정한 순서로 제시되었지만, 다양한 실시예들에서, 다른 하우스키핑 동작들이 방법 동작들 사이에 수행되거나 방법 동작들이 약간 상이한 시간들에 일어나도록 조정되거나, 다양한 인터벌들로 방법 동작들의 발생을 허용하는 시스템 내에 분산되거나, 상기 기술된 것과 상이한 순서로 수행된다는 것이 이해되어야 한다.
일 실시예에서, 상기 기술된 임의의 실시예로부터의 하나 이상의 특징들은 본 개시에 기술된 다양한 실시예들에 기술된 범위로부터 벗어나지 않고 임의의 다른 실시예의 하나 이상의 특징들과 조합된다는 것을 또한 주의해야 한다.
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않지만, 첨부된 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (26)

  1. 경로를 따라 규정된 제 1 복수의 튜닝 엘리먼트들을 포함하는 제 1 회로로서, 상기 제 1 회로는 킬로헤르츠 (㎑) RF (radio frequency) 생성기에 커플링된 입력부를 갖고, 상기 제 1 회로는 출력부에 커플링되는 상기 제 1 회로;
    제 2 복수의 튜닝 엘리먼트들을 갖는 제 2 회로로서, 상기 제 2 회로는 메가헤르츠 (㎒) RF 생성기에 커플링된 입력부를 갖고 출력부에 커플링되는, 상기 제 2 회로; 및
    상기 제 1 회로의 상기 복수의 튜닝 엘리먼트들 중 적어도 하나로부터 구성된 균일도 제어 회로 (UCC: uniformity control circuit) 로서, 상기 UCC는 플라즈마 챔버에 의해 생성된 에칭 레이트의 방사상 균일도 프로파일에 적어도 부분적으로 영향을 주는 커패시턴스를 규정하도록 상기 제 1 회로의 경로를 따라 직렬로 연결되는, 상기 UCC를 포함하고,
    상기 제 1 회로 및 상기 제 2 회로의 상기 출력부는 반도체 기판들을 프로세싱하기 위해 사용된 상기 플라즈마 챔버의 전극에 커플링된 RF 전송선의 입력부에 커플링되고,
    상기 커패시턴스의 변화는 상기 방사상 균일도 프로파일의 조정을 유발하고, 상기 ㎑ RF 생성기는 50 ㎑ 내지 1000 ㎑ 미만의 범위 내에서 동작하도록 구성되는, 임피던스 매칭 회로.
  2. 제 1 항에 있어서,
    상기 커패시턴스의 상기 변화는 상기 방사상 균일도 프로파일의 상기 조정을 유발함과 동시에 상기 RF 전송선에서 RF 막대 전위의 조정을 유발하는, 임피던스 매칭 회로.
  3. 제 1 항에 있어서,
    상기 커패시턴스는 상기 제 2 회로를 통해 전송된 ㎒ RF 신호로부터 미리 결정된 레벨의 분리를 제공하고 상기 플라즈마 챔버의 상기 전극으로 전달된 미리 결정된 레벨의 전력을 달성하도록 규정되는, 임피던스 매칭 회로.
  4. 제 3 항에 있어서,
    상기 제 1 회로는 RF 케이블을 통해 프로브에 커플링되고, 상기 프로브는 상기 제 1 회로를 통해 전달된 전력량을 계산하도록 사용되고, 상기 전달된 전력량 및 상기 미리 결정된 레벨의 전력은 상기 UCC의 상기 커패시턴스를 설정하도록 사용되는, 임피던스 매칭 회로.
  5. 제 3 항에 있어서,
    상기 제 1 회로는 전력 센서에 커플링되고, 상기 제 2 회로는 전력 센서에 커플링되고, 상기 전력 센서들은 상기 제 2 회로를 통해 전달된 상기 ㎒ RF 신호로부터 상기 제 1 회로를 통해 전달된 ㎑ RF 신호의 분리량을 계산하도록 사용되고, 상기 계산된 분리량 및 상기 미리 결정된 레벨의 분리는 상기 UCC의 상기 커패시턴스를 설정하도록 사용되는, 임피던스 매칭 회로.
  6. 제 3 항에 있어서,
    상기 제 1 회로는 RF 케이블을 통해 프로브에 커플링되고, 상기 프로브는 상기 제 1 회로를 통해 전달된 전력량을 계산하도록 사용되고, 상기 전달된 전력량은 상기 UCC의 상기 커패시턴스를 설정하도록 사용되고,
    상기 제 1 회로는 전력 센서에 커플링되고, 상기 제 2 회로는 전력 센서에 커플링되고, 상기 전력 센서들은 상기 제 2 회로를 통해 전달된 상기 ㎒ RF 신호로부터 상기 제 1 회로를 통해 전달된 ㎑ RF 신호의 분리량을 계산하도록 사용되고, 상기 계산된 분리량 및 상기 미리 결정된 레벨의 분리는 상기 UCC의 상기 커패시턴스를 설정하도록 사용되는, 임피던스 매칭 회로.
  7. 제 1 항에 있어서,
    상기 커패시턴스는 하나 이상의 커패시터들에 의해 규정되는, 임피던스 매칭 회로.
  8. 제 7 항에 있어서,
    상기 커패시턴스는 하나 이상의 교체 커패시터들로 상기 하나 이상의 커패시터들을 교체함으로써 조정되는, 임피던스 매칭 회로.
  9. 제 7 항에 있어서,
    상기 커패시턴스는 상기 하나 이상의 커패시터들의 변수 제어를 동적으로 가변함으로써 조정되는, 임피던스 매칭 회로.
  10. 제 1 항에 있어서,
    상기 제 1 회로는 하나 이상의 직렬 (series) 회로 엘리먼트 및 하나 이상의 병렬 (shunt) 회로 엘리먼트들을 포함하고, 상기 제 2 회로는 하나 이상의 직렬 회로 엘리먼트들 및 하나 이상의 병렬 회로 엘리먼트들을 포함하고, 상기 UCC는 상기 제 1 회로의 상기 하나 이상의 직렬 회로 엘리먼트들과 직렬 커플링되고, 상기 UCC는 병렬 회로 엘리먼트가 아닌, 임피던스 매칭 회로.
  11. 제 1 항에 있어서,
    상기 UCC는 600 ㎊ 내지 15000 ㎊ 범위의 커패시턴스를 갖는 하나 이상의 커패시터들을 포함하는, 임피던스 매칭 회로.
  12. 제 1 항에 있어서,
    상기 UCC는 가변 커패시터를 포함하고, 상기 커패시턴스는 액추에이터를 통해 호스트 시스템에 의해 제어되는, 임피던스 매칭 회로.
  13. 제 1 항에 있어서,
    상기 UCC는 고정 커패시터를 포함하는, 임피던스 매칭 회로.
  14. 제 1 항에 있어서,
    상기 플라즈마 챔버의 상기 전극은 측정 전극에 커플링되도록 구성되고, 상기 측정 전극은 웨이퍼 DC (direct current) 바이어스를 나타내는 신호를 생성하도록 구성되고, 상기 웨이퍼 DC 바이어스는 상기 UCC의 상기 커패시턴스를 설정하도록 사용되고,
    상기 플라즈마 챔버의 상기 전극은 상기 RF 전송선을 통해 상기 임피던스 매칭 회로에 커플링되고, 상기 RF 전송선은 전압 센서에 커플링되도록 구성되고, 상기 전압 센서는 상기 RF 전송선의 RF 막대 전위를 측정하도록 구성되고, 상기 RF 막대 전위는 상기 UCC의 상기 커패시턴스를 설정하도록 사용되고,
    상기 플라즈마 챔버는 웨이퍼 상에 증착된 재료들을 제거하도록 구성되고, 상기 재료들을 제거하는 제거 레이트는 광학 두께 측정 디바이스 및 호스트 시스템을 사용하여 측정되고, 상기 제거 레이트는 상기 UCC의 상기 커패시턴스를 설정하도록 사용되고,
    상기 플라즈마 챔버는 전기 신호를 생성하기 위해 상기 플라즈마 챔버 내 이온 전류를 수집하기 위한 프로브를 수용하도록 구성되고, 상기 프로브는 상기 전기 신호로부터 전류량을 측정하기 위한 전류 센서에 연결하도록 구성되고, 상기 호스트 시스템은 상기 전류량으로부터 이온 포화 전류 밀도를 측정하도록 구성되고, 상기 이온 포화 전류 밀도는 상기 UCC의 상기 커패시턴스를 설정하도록 사용되는, 임피던스 매칭 회로.
  15. ㎑ RF 신호를 생성하고 공급하기 위한 ㎑ RF 생성기로서, 상기 ㎑ RF 생성기는 50 ㎑ 내지 1000 ㎑ 미만의 범위 내에서 동작하도록 구성되는, 상기 ㎑ RF 생성기;
    ㎒ RF 신호를 생성하고 공급하기 위한 ㎒ RF 생성기;
    상기 ㎑ RF 신호를 수신하기 위해 상기 ㎑ RF 생성기의 출력부에 연결된 제 1 RF 케이블;
    상기 ㎒ RF 신호를 수신하기 위해 상기 ㎒ RF 생성기의 출력부에 연결된 제 2 RF 케이블;
    상기 제 1 RF 케이블을 통해 상기 ㎑ RF 생성기에 커플링된 임피던스 매칭 회로;
    상기 임피던스 매칭 회로에 커플링된 RF 전송선;
    전극을 포함하는 플라즈마 챔버로서, 상기 전극은 상기 RF 전송선에 연결되는, 상기 플라즈마 챔버를 포함하고,
    상기 임피던스 매칭 회로는 상기 제 2 RF 케이블을 통해 상기 ㎒ RF 생성기에 커플링되고, 상기 임피던스 매칭 회로는 출력부를 갖고, 그리고
    상기 ㎑ RF 신호를 전송하기 위한 경로를 따라 위치된 제 1 복수의 튜닝 엘리먼트들을 포함하는 제 1 회로;
    상기 ㎒ RF 신호를 전송하기 위한 제 2 복수의 튜닝 엘리먼트들을 갖는 제 2 회로로서, 상기 제 1 회로 및 제 2 회로는 상기 출력부에 커플링되는, 상기 제 2 회로; 및
    상기 제 1 복수의 튜닝 엘리먼트들 중 적어도 하나로부터 구성된 UCC를 포함하고,
    상기 UCC는 상기 플라즈마 챔버에 의해 생성된 에칭 레이트의 방사상 균일도 프로파일을 조정하기 위해 커패시턴스를 규정하도록 상기 제 1 회로의 상기 경로를 따라 직렬로 위치되는, 시스템.
  16. 제 15 항에 있어서,
    상기 커패시턴스는 상기 ㎒ RF 신호로부터 미리 결정된 레벨의 분리를 제공하고, 상기 플라즈마 챔버의 상기 전극으로 상기 ㎑ RF 생성기에 의해 전달된 미리 결정된 레벨의 전력을 달성하도록 규정되는, 시스템.
  17. 제 16 항에 있어서,
    상기 제 1 회로는 RF 케이블을 통해 프로브에 커플링되고, 상기 프로브는 상기 제 1 회로를 통해 전달된 전력량을 계산하도록 사용되고, 상기 전달된 전력량 및 상기 전달된 미리 결정된 레벨의 전력은 상기 UCC의 상기 커패시턴스를 설정하도록 사용되는, 시스템.
  18. 제 16 항에 있어서,
    상기 제 1 회로는 전력 센서에 커플링되고, 상기 제 2 회로는 전력 센서에 커플링되고, 상기 전력 센서들은 상기 제 2 회로를 통해 전달된 상기 ㎒ RF 신호로부터 상기 제 1 회로를 통해 전달된 ㎑ RF 신호의 분리량을 계산하도록 사용되고, 상기 계산된 분리량 및 상기 미리 결정된 레벨의 분리는 상기 UCC의 상기 커패시턴스를 설정하도록 사용되는, 시스템.
  19. 제 16 항에 있어서,
    상기 제 1 회로는 RF 케이블을 통해 프로브에 커플링되고, 상기 프로브는 상기 제 1 회로를 통해 전달된 전력량을 계산하도록 사용되고, 상기 전달된 전력량 및 상기 전달된 미리 결정된 레벨의 전력은 상기 UCC의 상기 커패시턴스를 설정하도록 사용되고,
    상기 제 1 회로는 전력 센서에 커플링되고, 상기 제 2 회로는 전력 센서에 커플링되고, 상기 전력 센서들은 상기 제 2 회로를 통해 전달된 상기 ㎒ RF 신호로부터 상기 제 1 회로를 통해 전달된 ㎑ RF 신호의 분리량을 계산하도록 사용되고, 상기 계산된 분리량 및 상기 미리 결정된 레벨의 분리는 상기 UCC의 상기 커패시턴스를 설정하도록 사용되는, 시스템.
  20. 제 15 항에 있어서,
    상기 제 1 회로는 하나 이상의 직렬 회로 엘리먼트들 및 하나 이상의 병렬 회로 엘리먼트들을 포함하고, 상기 제 2 회로는 하나 이상의 직렬 회로 엘리먼트들 및 하나 이상의 병렬 회로 엘리먼트들을 포함하고, 상기 UCC는 상기 제 1 회로의 상기 하나 이상의 직렬 회로 엘리먼트들과 직렬로 커플링되고, 상기 UCC는 병렬 회로 엘리먼트가 아닌, 시스템.
  21. 제 15 항에 있어서,
    상기 UCC는 600 ㎊ 내지 15000 ㎊의 범위의 커패시턴스를 갖는 하나 이상의 커패시터들을 포함하는, 시스템.
  22. 제 15 항에 있어서,
    상기 UCC는 가변 커패시터를 포함하고, 상기 커패시턴스는 액추에이터를 통해 호스트 시스템에 의해 제어되는, 시스템.
  23. 제 15 항에 있어서,
    상기 UCC는 고정 커패시터를 포함하는, 시스템.
  24. 에칭 레이트의 방사상 균일도를 달성하도록 UCC의 특성을 제어하는 단계로서, 상기 UCC는 제 1 회로의 일부이고, 상기 제 1 회로는 제 1 복수의 튜닝 엘리먼트들을 포함하고, 상기 제 1 복수의 튜닝 엘리먼트들은 나머지 튜닝 엘리먼트들을 포함하고, 상기 제 1 회로는 ㎑ RF 생성기에 커플링된 입력부를 갖고, 상기 제 1 회로는 제 2 복수의 튜닝 엘리먼트들을 갖는 제 2 회로에 커플링된 출력부를 갖고, 상기 제 2 회로는 ㎒ RF 생성기에 커플링된 입력부를 갖고, 상기 제 1 회로 및 상기 제 2 회로의 출력부는 RF 전송선의 입력부에 커플링되고, 상기 RF 전송선의 출력부는 상기 플라즈마 챔버의 전극에 커플링되는, 상기 UCC의 특성을 제어하는 단계,
    상기 UCC의 상기 특성을 제어한 후 상기 제 1 회로의 상기 나머지 튜닝 엘리먼트들의 특성들을 조정하는 단계로서, 상기 나머지 튜닝 엘리먼트들의 상기 특성들을 조정하는 단계는 상기 제 2 회로를 통해 전달된 ㎒ RF 신호로부터 미리 결정된 레벨의 분리를 제공하고 상기 플라즈마 챔버의 상기 전극으로 전달될 미리 결정된 레벨의 전력을 달성하도록 수행되는, 상기 나머지 튜닝 엘리먼트들의 특성들을 조정하는 단계를 더 포함하는, 방법.
  25. 제 24 항에 있어서,
    상기 제 2 회로를 통해 전달된 상기 ㎒ RF 신호로부터 미리 결정된 레벨의 분리를 제공하고 상기 플라즈마 챔버의 상기 전극으로 전달된 미리 결정된 레벨의 전력을 달성하도록 상기 UCC의 상기 특성을 더 제어하는 단계를 더 포함하고, 상기 더 제어하는 단계는 상기 나머지 튜닝 엘리먼트들의 상기 특성들을 조정하는 단계와 동시에 수행되는, 방법.
  26. 제 24 항에 있어서,
    상기 UCC는 커패시턴스를 갖고,
    상기 방법은,
    상기 제 1 회로를 통해 상기 플라즈마 챔버로 전달된 전력량을 계산하는 단계로서, 상기 전달된 전력의 계산량과 상기 미리 결정된 레벨의 전력 간의 매칭이 있도록 상기 나머지 튜닝 엘리먼트들의 상기 특성들을 조정하는 단계 및 상기 UCC의 상기 커패시턴스를 제어하는 단계가 수행되는, 상기 전달된 전력량을 계산하는 단계; 및
    상기 제 1 회로에 커플링된 전력 센서 및 상기 제 2 회로에 커플링된 전력 센서를 사용하여 상기 제 2 회로를 통해 전달된 상기 ㎒ RF 신호로부터의 분리량을 계산하는 단계로서, 상기 계산된 분리량과 미리 결정된 레벨의 분리 간의 매칭이 있도록 상기 나머지 튜닝 엘리먼트들의 상기 특성들을 조정하는 단계 및 상기 UCC의 상기 커패시턴스를 제어하는 단계가 수행되는, 상기 ㎒ RF 신호로부터의 분리량을 계산하는 단계를 포함하는, 방법.
KR1020160125703A 2015-10-08 2016-09-29 임피던스 매칭 회로 내에서 사용되는 균일도 제어 회로 KR102668918B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/878,666 2015-10-08
US14/878,666 US9761414B2 (en) 2015-10-08 2015-10-08 Uniformity control circuit for use within an impedance matching circuit

Publications (2)

Publication Number Publication Date
KR20170044010A true KR20170044010A (ko) 2017-04-24
KR102668918B1 KR102668918B1 (ko) 2024-05-24

Family

ID=58499861

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160125703A KR102668918B1 (ko) 2015-10-08 2016-09-29 임피던스 매칭 회로 내에서 사용되는 균일도 제어 회로

Country Status (4)

Country Link
US (2) US9761414B2 (ko)
KR (1) KR102668918B1 (ko)
CN (1) CN107017145B (ko)
TW (1) TWI704592B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020023780A1 (en) * 2018-07-25 2020-01-30 Lam Research Corporation Maintenance mode power supply system
US10796883B2 (en) 2018-06-28 2020-10-06 Samsung Electronics Co., Ltd. Discrete capacitance switching circuit and capacitor array circuit including the same

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR20180099776A (ko) 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
CN111758145B (zh) * 2018-02-23 2024-06-25 朗姆研究公司 等离子体辅助的半导体处理方法及其装置以及一种用于等离子体辅助的半导体沉积的装置
US10224183B1 (en) * 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
KR102438864B1 (ko) * 2018-09-28 2022-08-31 램 리써치 코포레이션 플라즈마 챔버의 전극으로 전력 전달 최적화를 위한 방법들 및 시스템들
US11289310B2 (en) * 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11804362B2 (en) * 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
US11515123B2 (en) * 2018-12-21 2022-11-29 Advanced Energy Industries, Inc. Apparatus and system for modulated plasma systems
KR20200086808A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 균일성 제어 방법 및 플라즈마 프로세싱 시스템
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
US11282679B2 (en) 2019-05-22 2022-03-22 Samsung Electronics Co., Ltd. Plasma control apparatus and plasma processing system including the same
US11158488B2 (en) * 2019-06-26 2021-10-26 Mks Instruments, Inc. High speed synchronization of plasma source/bias power delivery
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
JP2023515934A (ja) * 2020-02-27 2023-04-17 ラム リサーチ コーポレーション ビニングを使用して低周波サイクル中に電力を増加させるシステム及び方法
JP2023548929A (ja) * 2020-11-18 2023-11-21 ラム リサーチ コーポレーション インピーダンス整合器のための均一性制御回路
CN112530773B (zh) * 2020-11-27 2023-11-14 北京北方华创微电子装备有限公司 半导体工艺设备
KR20230133757A (ko) * 2021-02-05 2023-09-19 램 리써치 코포레이션 균일성을 달성하기 위한 듀티 사이클 제어
US11823869B2 (en) * 2021-10-15 2023-11-21 Mks Instruments, Inc. Impedance matching in a RF power generation system
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US20230360886A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Control and prediction of multiple plasma coupling surfaces and corresponding power transfer
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
US20240162008A1 (en) * 2022-11-16 2024-05-16 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070206652A1 (en) * 1999-08-27 2007-09-06 Hill Alan E Matched Impedance Controlled Avalanche Driver
KR20080071493A (ko) * 2007-01-30 2008-08-04 어플라이드 머티어리얼스, 인코포레이티드 다수의 vhf 소스들을 이용하는 이온 분포 균일성제어기를 갖는 플라즈마 반응기
US20120285658A1 (en) * 2011-05-13 2012-11-15 Roy Shambhu N High temperature electrostatic chuck with radial thermal chokes
US20140214395A1 (en) * 2013-01-31 2014-07-31 Lam Research Corporation Segmenting A Model Within A Plasma System
JP2015097197A (ja) * 2013-10-01 2015-05-21 ラム リサーチ コーポレーションLam Research Corporation Rf伝送路のインピーダンスの制御

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6356097B1 (en) 1997-06-20 2002-03-12 Applied Materials, Inc. Capacitive probe for in situ measurement of wafer DC bias voltage
TW511158B (en) * 2000-08-11 2002-11-21 Alps Electric Co Ltd Plasma processing apparatus and system, performance validation system thereof
TW200300649A (en) * 2001-11-27 2003-06-01 Alps Electric Co Ltd Plasma processing apparatus, its driving method, matching circuit design system, and plasma processing method
TW200300650A (en) * 2001-11-27 2003-06-01 Alps Electric Co Ltd Plasma processing apparatus
US7015703B2 (en) * 2003-08-12 2006-03-21 Scientific Systems Research Limited Radio frequency Langmuir probe
US7421973B2 (en) * 2003-11-06 2008-09-09 Axcelis Technologies, Inc. System and method for performing SIMOX implants using an ion shower
US7241361B2 (en) * 2004-02-20 2007-07-10 Fei Company Magnetically enhanced, inductively coupled plasma source for a focused ion beam system
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
JP4564430B2 (ja) 2005-09-05 2010-10-20 シャープ株式会社 通信装置、通信方法、無線通信システムおよび無線通信方法
US7413672B1 (en) 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
CN101989524B (zh) * 2009-08-03 2012-09-05 北京北方微电子基地设备工艺研究中心有限责任公司 一种阻抗匹配器及等离子体处理设备
US8816281B2 (en) 2011-03-28 2014-08-26 Tokyo Electron Limited Ion energy analyzer and methods of manufacturing the same
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9881772B2 (en) * 2012-03-28 2018-01-30 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
GB201210607D0 (en) * 2012-06-14 2012-08-01 Welding Inst Plasma source apparatus and method for generating charged particle beams
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US10410889B2 (en) * 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070206652A1 (en) * 1999-08-27 2007-09-06 Hill Alan E Matched Impedance Controlled Avalanche Driver
KR20080071493A (ko) * 2007-01-30 2008-08-04 어플라이드 머티어리얼스, 인코포레이티드 다수의 vhf 소스들을 이용하는 이온 분포 균일성제어기를 갖는 플라즈마 반응기
US20120285658A1 (en) * 2011-05-13 2012-11-15 Roy Shambhu N High temperature electrostatic chuck with radial thermal chokes
US20140214395A1 (en) * 2013-01-31 2014-07-31 Lam Research Corporation Segmenting A Model Within A Plasma System
JP2015097197A (ja) * 2013-10-01 2015-05-21 ラム リサーチ コーポレーションLam Research Corporation Rf伝送路のインピーダンスの制御

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10796883B2 (en) 2018-06-28 2020-10-06 Samsung Electronics Co., Ltd. Discrete capacitance switching circuit and capacitor array circuit including the same
WO2020023780A1 (en) * 2018-07-25 2020-01-30 Lam Research Corporation Maintenance mode power supply system
US10896808B2 (en) 2018-07-25 2021-01-19 Lam Research Corporation Maintenance mode power supply system
CN112470248A (zh) * 2018-07-25 2021-03-09 朗姆研究公司 维护模式电源系统

Also Published As

Publication number Publication date
US9761414B2 (en) 2017-09-12
TWI704592B (zh) 2020-09-11
US10115564B2 (en) 2018-10-30
TW201729234A (zh) 2017-08-16
KR102668918B1 (ko) 2024-05-24
CN107017145B (zh) 2018-11-23
US20170372872A1 (en) 2017-12-28
US20170103870A1 (en) 2017-04-13
CN107017145A (zh) 2017-08-04

Similar Documents

Publication Publication Date Title
KR102668918B1 (ko) 임피던스 매칭 회로 내에서 사용되는 균일도 제어 회로
US9984859B2 (en) Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10916409B2 (en) Active control of radial etch uniformity
CN111489952B (zh) 通过同步主和边缘rf发生器实现预定因子的系统和方法
US10755895B2 (en) Ion energy control by RF pulse shape
US10469108B2 (en) Systems and methods for using computer-generated models to reduce reflected power towards a high frequency RF generator during a cycle of operations of a low frequency RF generator
US10020168B1 (en) Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
US10276350B2 (en) Systems and methods for using computer-generated models to reduce reflected power towards an RF generator during state transitions of the RF generator by controlling RF values of the RF generator
US20180097520A1 (en) Frequency and match tuning in one state and frequency tuning in the other state
US20230253184A1 (en) Uniformity control circuit for impedance match
US20230007885A1 (en) Systems and methods for using binning to increase power during a low frequency cycle
KR20170117311A (ko) Rf 생성기의 복수의 상태들 동안 단계적 방식으로 임피던스 매칭 네트워크를 튜닝하기 위한 시스템들 및 방법들
US20230245874A1 (en) Systems and methods for controlling a plasma sheath characteristic
WO2023129366A1 (en) Substrate processing tool with high-speed match network impedance switching for rapid alternating processes
WO2023003832A1 (en) Plasma systems and methods for using square-shaped pulse signals

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant