KR20160027185A - 검사 장치 및 방법, 리소그래피 장치, 리소그래피 처리 셀 및 디바이스 제조 방법 - Google Patents

검사 장치 및 방법, 리소그래피 장치, 리소그래피 처리 셀 및 디바이스 제조 방법 Download PDF

Info

Publication number
KR20160027185A
KR20160027185A KR1020167002988A KR20167002988A KR20160027185A KR 20160027185 A KR20160027185 A KR 20160027185A KR 1020167002988 A KR1020167002988 A KR 1020167002988A KR 20167002988 A KR20167002988 A KR 20167002988A KR 20160027185 A KR20160027185 A KR 20160027185A
Authority
KR
South Korea
Prior art keywords
target
substrate
diffraction orders
characteristic
illumination
Prior art date
Application number
KR1020167002988A
Other languages
English (en)
Other versions
KR101830850B1 (ko
Inventor
리차드 퀸타닐라
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20160027185A publication Critical patent/KR20160027185A/ko
Application granted granted Critical
Publication of KR101830850B1 publication Critical patent/KR101830850B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95623Inspecting patterns on the surface of objects using a spatial filtering method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70158Diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70833Mounting of optical systems, e.g. mounting of illumination system, projection system or stage systems on base-plate or ground
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70941Stray fields and charges, e.g. stray light, scattered light, flare, transmission loss
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/08Optical fibres; light guides
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing

Abstract

본 발명은 웨이퍼의 격자와 같은 기판(W)의 타겟(30)의 특성을 결정한다. 검사 장치는 높은 개구수 대물 렌즈(L3)의 퓨필 평면에 2 이상의 조명 빔들(716, 716', 716'', 716''')을 갖는 조명 소스(702, 710)를 갖는다. 기판 및 타겟은 기판의 평면에 대하여 상이한 입사각으로부터 대물 렌즈를 통해 조명된다. 4 개의 조명 빔들의 경우, 쿼드 웨지 광학 디바이스(QW)가 기판으로부터 산란된 방사선의 회절 차수들을 별개로 전향하기 위해 사용되며, 2 이상의 조명 빔들로부터 회절 차수들을 분리시킨다. 예를 들어, 4 개의 회절 차수들이 4 개의 입사 방향에 대해 분리된다. 다중모드 섬유(MF)의 캡처 후, 파장의 함수로서 별개로 전향된 0차 회절 차수들의 세기를 측정하기 위해 분광계들(S1 내지 S4)이 사용된다. 이후, 이는 타겟의 특성을 결정하는 데 사용될 수 있다.

Description

검사 장치 및 방법, 리소그래피 장치, 리소그래피 처리 셀 및 디바이스 제조 방법{INSPECTION APPARATUS AND METHOD, LITHOGRAPHIC APPARATUS, LITHOGRAPHIC PROCESSING CELL AND DEVICE MANUFACTURING METHOD}
본 출원은 2013년 7월 3일에 출원된 미국 가출원 61/842,430의 이익을 주장하며, 이는 본 명세서에서 전문이 인용 참조된다.
본 발명은, 예를 들어 리소그래피 기술들에 의한 디바이스의 제조에 사용가능한 마이크로구조체들의 특성을 결정하는 장치 및 방법에 관한 것이다.
리소그래피 장치는 기판 상으로, 통상적으로는 기판의 타겟부 상으로 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별 층에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼)의 (예를 들어, 다이의 일부분, 한 개 또는 수 개의 다이들을 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 한번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는, 소위 스테퍼들, 및 방사선 빔을 통해 주어진 방향("스캐닝"- 방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향(같은 방향으로 평행한 방향) 또는 역-평행 방향(반대 방향으로 평행한 방향)으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는, 소위 스캐너들을 포함한다. 또한, 기판 상으로 패턴을 임프린트(imprint)함으로써, 패터닝 디바이스로부터 기판으로 패턴을 전사할 수도 있다.
리소그래피 공정을 모니터링하기 위해, 패터닝된 기판의 파라미터들이 측정된다. 파라미터들은, 예를 들어 패터닝된 기판 내에 또는 기판 상에 형성된 연속한 층들 간의 오버레이 오차, 및 현상된 감광성 레지스트의 임계 선폭(critical line width)을 포함할 수 있다. 이 측정은 제품 기판(product substrate) 및/또는 지정된 메트롤로지 타겟(dedicated metrology target) 상에서 수행될 수 있다. 리소그래피 공정 시 형성된 미세한 구조체들의 측정을 수행하기 위해, 주사 전자 현미경(scanning electron microscope) 및 다양한 특수 툴의 사용을 포함하는 다양한 기술들이 존재한다. 고속 및 비파괴(non-invasive) 형태의 특수 검사 툴은, 기판 표면의 타겟부 상으로 방사선 빔이 지향되어, 산란 또는 반사된 빔의 특성들이 측정되는 스캐터로미터(scatterometer)이다. 빔이 기판에 의해 반사 또는 산란된 전후에 빔의 특성들을 비교함으로써, 기판의 특성들이 결정될 수 있다. 이는, 예를 들어 알려진 기판 특성들과 연계된 알려진 측정들의 라이브러리(library)에 저장된 데이터와 반사된 빔을 비교함으로써 수행될 수 있다. 스캐터로미터의 두 가지 주요 형태가 알려져 있다. 분광 스캐터로미터(spectroscopic scatterometer)는 기판 상으로 광대역 방사선 빔을 지향하고, 특정한 좁은 각도 범위로 산란되는 방사선의 스펙트럼(파장의 함수로서 세기)을 측정한다. 각도 분해 스캐터로미터(angularly resolved scatterometer)는 단색 방사선 빔(monochromatic radiation beam)을 이용하고, 각도의 함수로서 산란된 방사선의 세기를 측정한다.
리소그래피 공정들에서는, 예를 들어 공정 제어 및 검증을 위해, 생성된 구조체들의 측정을 자주 수행할 필요가 있다. 흔히 임계 치수(CD)를 측정하는 데 사용되는 주사 전자 현미경을 포함하여, 이러한 측정들을 수행하기 위한 다양한 툴들이 알려져 있다. 다양한 형태의 스캐터로미터들이 리소그래피 분야에서 사용하기 위해 개발되었다. 이러한 디바이스들은 타겟 상으로 방사선 빔을 지향시키고, 산란된 방사선의 1 이상의 특성 - 예를 들어, 파장의 함수로서 단일 반사 각도에서의 세기; 반사된 각도의 함수로서 1 이상의 파장들에서의 세기; 또는 반사된 각도의 함수로서 편광 - 을 측정하여 "스펙트럼"을 얻으며, 이 스펙트럼으로부터 타겟의 관심 특성(property of interest)이 결정될 수 있다. 관심 특성의 결정은, 다양한 기술들: 예를 들어, 주성분분석(principal component analysis); 라이브러리 검색들; 유한 요소법(finite element methods) 또는 RCWA(rigorous coupled wave analysis)와 같은 반복적 접근들에 의한 타겟 구조체의 재구성에 의해 수행될 수 있다.
또한, 오버레이 또는 CD와 같은 격자들의 특성들이 측정 디바이스의 측정 브랜치(measurement branch)의 이미지 평면에서 측정될 수 있다. 이는 ARIM(Angle Resolved Imaging Microscopy)에 대한 경우이다. ARIM 방법에서는, 측정된 이미지를 유도하는 특정 입사각(angle of incidence: AOI) 하에서 광이 타겟으로 지향된다. 이 측정 후, 입사각이 변경되고, 변경된 각도로 타겟에 입사하는 광을 이용하여 또 다른 측정이 행해진다. 이러한 방식으로 캡처(capture)된 이미지들은 측정된 타겟의 재구성에 사용될 수 있다. ARIM 방법은 비교적 작은 타겟들을 측정할 수 있게 한다.
본 발명의 목적은, 기판의 타겟의 특성을 결정하는 장치 및 방법, 리소그래피 장치, 리소그래피 셀 및 디바이스 제조 방법에 관한 것이다.
본 발명의 일 실시형태에 따르면, 기판의 타겟의 특성을 결정하는 장치가 제공되며, 상기 장치는: 방사선을 제공하도록 구성되는 조명 시스템; 대물렌즈(objective)를 포함하고, 대물렌즈를 통해 2 이상의 조명 빔들로 타겟을 조명하도록 구성되는 광학 시스템; 2 이상의 조명 빔들로의 타겟의 조명으로부터 발생한 회절 차수들을 별개로 전향(separately redirect)하도록 구성되는 광학 디바이스; 이미지 평면의 1 이상의 검출기 - 1 이상의 검출기는 별개로 전향된 회절 차수들의 1 이상의 특성을 측정하도록 구성됨 -; 별개로 전향된 회절 차수들의 측정된 1 이상의 특성을 이용하여 타겟의 특성을 결정하도록 구성되는 프로세서를 포함한다.
본 발명의 또 다른 실시형태에 따르면, 기판의 타겟의 특성을 결정하는 방법이 제공되며, 상기 방법은: 대물렌즈를 통해 2 이상의 조명 빔들의 방사선으로 타겟을 조명하는 단계, 상기 기판으로부터 산란된 방사선의 0차 회절 차수들을 별개로 전향하는 단계; 1 이상의 검출기를 이용하여 별개로 전향된 0차 회절 차수들의 1 이상의 특성을 측정하는 단계; 및 별개로 전향된 0차 회절 차수들의 측정된 1 이상의 특성을 이용하여 타겟의 특성을 결정하는 단계를 포함한다.
본 발명의 또 다른 실시형태에 따르면, 리소그래피 장치가 제공되며, 상기 장치는: 패턴을 조명하도록 배치되는 조명 시스템; 기판 상으로 패턴의 이미지를 투영하도록 배치되는 투영 시스템; 및 기판의 타겟의 특성을 결정하기 위한 검사 장치를 포함한다. 상기 검사 장치는: 방사선을 제공하도록 구성되는 조명 시스템; 대물렌즈를 포함하고, 대물렌즈를 통해 2 이상의 조명 빔들로 타겟을 조명하도록 구성되는 광학 시스템; 2 이상의 조명 빔들로의 타겟의 조명으로부터 발생한 회절 차수들을 별개로 전향하도록 구성되는 광학 디바이스; 이미지 평면의 1 이상의 검출기 - 1 이상의 검출기는 별개로 전향된 회절 차수들의 1 이상의 특성을 측정하도록 구성됨 -; 별개로 전향된 회절 차수들의 측정된 1 이상의 특성을 이용하여 타겟의 특성을 결정하도록 구성되는 프로세서를 포함한다.
본 발명의 또 다른 실시형태에 따르면, 리소그래피 셀이 제공되며, 상기 셀은: 기판들을 방사선 감응 층으로 코팅하도록 배치되는 코터(coater); 코터에 의해 코팅된 기판들의 방사선 감응 층 상으로 이미지들을 노광시키도록 배치되는 리소그래피 장치; 리소그래피 장치에 의해 노광된 이미지들을 현상하도록 배치되는 디벨로퍼(developer); 및 기판의 타겟의 특성을 결정하기 위한 검사 장치를 포함한다. 상기 검사 장치는: 방사선을 제공하도록 구성되는 조명 시스템; 대물렌즈를 포함하고, 대물렌즈를 통해 2 이상의 조명 빔들로 타겟을 조명하도록 구성되는 광학 시스템; 2 이상의 조명 빔들로의 타겟의 조명으로부터 발생한 회절 차수들을 별개로 전향하도록 구성되는 광학 디바이스; 이미지 평면의 1 이상의 검출기 - 1 이상의 검출기는 별개로 전향된 회절 차수들의 1 이상의 특성을 측정하도록 구성됨 -; 별개로 전향된 회절 차수들의 측정된 1 이상의 특성을 이용하여 타겟의 특성을 결정하도록 구성되는 프로세서를 포함한다.
본 발명의 또 다른 실시형태에 따르면, 디바이스 제조 방법이 제공되고, 상기 방법은: 리소그래피 장치를 이용하여 기판에 패턴을 형성하는 단계; 및 방사선을 제공하고, 대물렌즈를 통해 2 이상의 조명 빔들의 방사선으로 타겟을 조명하며, 상기 기판으로부터 산란된 방사선의 0차 회절 차수들을 별개로 전향하고, 1 이상의 검출기를 이용하여 별개로 전향된 0차 회절 차수들의 1 이상의 특성을 측정하며, 별개로 전향된 0차 회절 차수들의 측정된 1 이상의 특성을 이용하여 패턴의 파라미터와 관련된 값을 결정함으로써, 패턴의 파라미터와 관련된 값을 결정하는 단계를 포함한다.
첨부한 도면들을 참조하여, 본 발명의 다양한 실시예들의 구조 및 작동뿐만 아니라, 본 발명의 또 다른 특징들 및 장점들이 아래에 자세히 설명된다. 본 발명은 여기에 설명된 특정 실시예들로 제한되지 않음을 유의한다. 이러한 실시예들은 본 명세서에서 단지 예시의 목적으로만 제시된다. 관련 기술(들)의 당업자라면, 본 명세서에 담긴 기술적 내용에 기초하여 추가 실시예들이 행해질 수 있음을 알 수 있을 것이다.
이하, 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 리소그래피 장치를 도시한 도면;
도 2는 리소그래피 셀 또는 클러스터(cluster)를 도시한 도면;
도 3은 제 1 스캐터로미터를 도시한 도면;
도 4는 제 2 스캐터로미터를 도시한 도면;
도 5는 본 발명의 일 실시예를 도시한 도면;
도 6은 기판 상에서 상이한 입사각을 갖는 광선들을 예시한 도면;
도 7은 기판의 타겟 격자에 입사하는 2 개의 광 빔들 및 결과적인 산란된 회절 차수들을 예시한 도면;
도 8은 조명 퓨필에 걸친 쿼드러플(quadruple)의 스캐닝을 예시한 도면; 및
도 9는 본 발명의 또 다른 실시예를 예시한 도면이다.
본 발명의 특징들 및 장점들은 도면들과 연계될 때 아래에 설명된 상세한 설명으로부터 더 잘 이해할 수 있을 것이며, 동일한 참조 부호들은 전반에 걸쳐 대응하는 요소들과 동일하게 취급된다. 도면들에서, 동일한 참조 번호들은 일반적으로 동일한, 기능적으로 유사한, 및/또는 구조적으로 유사한 요소들을 나타낸다. 요소가 가장 먼저 나타난 도면은 대응하는 참조 번호의 맨 앞자리 수(들)에 의해 나타내어진다.
본 명세서는 본 발명의 특징들을 포함하는 1 이상의 실시예들을 개시한다. 개시된 실시예(들)는 단지 본 발명을 예시한다. 본 발명의 범위는 개시된 실시예(들)로 제한되지 않는다. 본 발명은 본 명세서에 첨부된 청구항들에 의해 정의된다.
본 명세서에서, "하나의 실시예", "일 실시예", "예시적인 실시예" 등으로 설명된 실시예(들) 및 이러한 언급들은, 설명된 실시예(들)가 특정한 특징, 구조 또는 특성을 포함할 수 있지만, 모든 실시예가 특정한 특징, 구조 또는 특성을 반드시 포함하는 것은 아닐 수 있음을 나타낸다. 또한, 이러한 어구들이 반드시 동일한 실시예를 칭하는 것은 아니다. 또한, 특정한 특징, 구조 또는 특성이 일 실시예와 연계하여 설명되는 경우, 이러한 특징, 구조 또는 특성의 다른 실시예들에 대한 연계성은 명시적으로 설명되든지 그렇지 않든지 간에 당업자의 지식 내에 있음을 이해한다.
본 발명의 실시예들은 하드웨어, 펌웨어, 소프트웨어, 또는 이의 여하한의 조합으로 구현될 수 있다. 또한, 본 발명의 실시예들은 1 이상의 프로세서에 의해 판독 및 실행될 수 있는 기계-판독가능한 매체에 저장된 명령어들로서 구현될 수 있다. 기계-판독가능한 매체는 기계(예를 들어, 컴퓨팅 디바이스)에 의해 판독가능한 형태로 정보를 저장하거나 전송하는 여하한의 메커니즘을 포함할 수 있다. 예를 들어, 기계-판독가능한 매체는 ROM(read only memory); RAM(random access memory); 자기 디스크 저장 매체; 광학 저장 매체; 플래시 메모리 디바이스; 전기적, 광학적, 음향적 또는 다른 형태의 전파 신호 등을 포함할 수 있다. 더욱이, 펌웨어, 소프트웨어, 루틴, 명령어들은 본 명세서에서 소정 작업을 수행하는 것으로서 설명될 수 있다. 하지만, 이러한 설명들은 단지 편의를 위한 것이며, 이러한 작업들은 실제로 컴퓨팅 디바이스, 프로세서, 제어기, 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 디바이스들에 기인한다는 것을 이해하여야 한다.
하지만, 이러한 실시예들을 더 상세히 설명하기 전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
본 발명의 실시예들은 [조정가능한 단색 광원(tunable monochromatic light source)을 이용하여 연속적으로(in series) 또는 광대역 광원과 병렬적으로(in parallel)] 복수의 파장들을 이용하고, 공간적으로 분리된 회절 차수에 대한 상이한 파장들에 대한 세기를 검출한다.
도 1은 본 발명의 일 실시예에 따른 소스 컬렉터 모듈(SO)을 포함하는 리소그래피 장치(LAP)를 개략적으로 도시한다. 상기 장치는: 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성된 조명 시스템(일루미네이터)(IL); 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 투영하도록 구성된 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 이의 여하한의 조합과 같은 다양한 타입의 광학 구성요소들을 포함할 수 있다.
지지 구조체는 패터닝 디바이스를 지지, 즉 그 무게를 견딘다. 이는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서, 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입, 및 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭, 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있거나, 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 담그어져야 함을 의미하는 것이라기보다는, 노광 시 액체가 투영 시스템과 기판 사이에 놓이기만 하면 된다는 것을 의미한다.
도 1을 참조하면, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 소스는 리소그래피 장치의 통합부일 수 있다. 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(integrator: IN) 및 콘덴서(condenser: CO)와 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT)에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 마스크(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PL)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)[예를 들어, 간섭계 디바이스(interferometric device), 리니어 인코더(linear encoder), 2-D 인코더(2-D encoder) 또는 용량성 센서(capacitive sensor)]의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 마스크 테이블(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 마스크 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 마스크(MA) 에 1 이상의 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 마스크 테이블(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PL)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 마스크 테이블(MT)은 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
도 2에 도시된 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 클러스터라고도 칭해지는 리소그래피 셀(LC)의 일부분을 형성하며, 이는 기판에 전-노광(pre-exposure) 및 후-노광(post-exposure) 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층들을 증착시키는 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 디벨로퍼(developer: DE), 칠 플레이트(chill plate: CH) 및 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판들을 집어올리고, 상기 기판들을 상이한 공정 장치들 사이에서 이동시킨 후, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이러한 디바이스들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판들이 올바르고 일관성있게(consistently) 노광되기 위해서는, 후속한 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 특성들을 측정하도록 노광된 기판들을 검사하는 것이 바람직하다. 오차가 검출되는 경우, 특히 검사가 동일한 뱃치(batch)의 다른 기판이 여전히 노광되도록 충분히 빠르게 행해질 수 있다면, 후속한 기판들의 노광에 대해 조정이 이루어질 수 있다. 또한, 이미 노광된 기판들은 벗기고 재가공되어 - 수율을 개선하거나 - 폐기될 수 있음에 따라, 결함이 있다고 판단된 기판들에 노광을 수행하지 않는다. 기판의 일부 타겟부들에만 결함이 있는 경우, 양호한 타겟부들에만 추가 노광이 수행될 수 있다.
기판들의 특성을 결정하고, 특히 상이한 기판들 또는 동일한 기판의 상이한 층들의 특성들이 층에서 층으로 어떻게 변하는지를 결정하기 위해, 검사 장치가 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC) 안으로 통합될 수 있거나, 독립형 디바이스(stand-alone device)일 수 있다. 가장 신속한 측정을 가능하게 하기 위하여, 검사 장치는 노광 직후에 노광된 레지스트 층의 특성들을 측정하는 것이 바람직하다. 하지만, 레지스트의 잠상(latent image)은 매우 낮은 콘트라스트(contrast)를 가지며 - 방사선에 노출된 레지스트 부분들과 방사선에 노출되지 않은 레지스트 부분들 사이에는 매우 작은 굴절률 차이만이 존재함 -, 모든 검사 장치가 잠상의 유용한 측정을 행할만큼 충분한 민감도를 갖는 것은 아니다. 그러므로, 레지스트의 노광된 부분들과 노광되지 않은 부분들 사이의 콘트라스트를 증가시키며, 통상적으로 노광된 기판들에 수행되는 제 1 단계인 후-노광 베이크(PEB) 단계 이후에 측정들이 행해질 수 있다. 이 단계에서, 레지스트의 이미지는 반-잠상(semi-latent)이라고 칭해질 수 있다. 또한, - 레지스트의 노광된 부분들 또는 노광되지 않은 부분들이 제거된 시점에 - 또는 에칭과 같은 패턴 전사 단계 후에, 현상된 레지스트 이미지의 측정을 수행할 수도 있다. 후자의 가능성은 결함이 있는 기판들의 재작업 가능성을 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
도 3은 본 발명에서 사용될 수 있는 스캐터로미터를 도시한다. 이는 기판(W) 상으로 방사선을 투영하는 광대역(백색 광) 방사선 투영기(2)를 포함한다. 반사된 방사선은 정반사된 방사선(specular reflected radiation)의 스펙트럼(10)(파장의 함수로서 세기)을 측정하는 분광계 검출기(spectrometer detector: 4)로 통과된다. 이 데이터로부터, 검출된 스펙트럼을 발생시킨 구조 또는 프로파일은 처리 유닛(PU)에 의해, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀(non-linear regression)에 의해, 또는 도 3의 아래에 도시된 시뮬레이션된 스펙트럼의 라이브러리와의 비교에 의해 재구성될 수 있다. 일반적으로, 재구성을 위해 구조체의 일반적인 형태가 알려지며, 스캐터로메트리 데이터로부터 결정될 구조체의 소수의 파라미터들만을 제외하고는, 구조체가 만들어진 공정의 정보로부터 몇몇 파라미터들이 가정된다. 이러한 스캐터로미터는 수직 입사 스캐터로미터(normal-incidence scatterometer) 또는 사선 입사 스캐터로미터(oblique-incidence scatterometer)로서 구성될 수 있다.
본 발명과 함께 사용될 수 있는 또 다른 스캐터로미터가 도 4에 도시된다. 이 디바이스에서, 방사선 소스(2)에 의해 방출된 방사선은 렌즈 시스템(12)을 이용하여 시준되고, 간섭 필터(interference filter: 13) 및 편광기(polarizer: 17)를 통해 전달되며, 부분 반사 표면(16)에 의해 반사되고, 적어도 0.9 또는 적어도 0.95의 높은 개구수(NA)를 갖는 현미경 대물 렌즈(15)를 통해 기판(W) 상으로 포커스된다. 침지 스캐터로미터(immersion scatterometer)들은 심지어 개구수가 1보다 큰 렌즈들을 가질 수 있다. 이후, 산란 스펙트럼이 검출되게 하기 위해, 반사된 방사선은 부분 반사 표면(16)을 통해 검출기(18) 안으로 투과된다. 검출기는 렌즈 시스템(15)의 초점 길이에 있는 후방-투영된(back-projected) 퓨필 평면(11)에 위치될 수 있지만, 그 대신 퓨필 평면은 보조 광학기(도시되지 않음)를 이용하여 검출기 상에 재-이미징(re-image)될 수 있다. 퓨필 평면은, 방사선의 반경방향 위치(radial position)가 입사각을 정의하고 각도 위치가 방사선의 방위각(azimuth angle)을 정의하는 평면이다. 대안적으로, 검출기는 이미지 평면에 위치될 수 있다. 일 예시에서, 검출기는 기판 타겟(30)의 2-차원 각도 산란 스펙트럼이 측정될 수 있도록 2-차원 검출기인 것이 바람직하다. 검출기(18)는, 예를 들어 CCD 또는 CMOS 센서들의 어레이일 수 있으며, 예를 들어 프레임당 40 밀리초(millisecond)의 통합 시간(integration time)을 이용할 수 있다.
예를 들어, 입사 방사선의 세기를 측정하기 위해, 흔히 기준 빔이 사용된다. 이를 행하기 위하여, 방사선 빔이 빔 스플리터(16)에 입사될 때, 그 일부분이 기준 빔으로서 빔 스플리터를 통해 기준 거울(14)로 투과된다. 그 후, 기준 빔은 동일한 검출기(18)의 상이한 부분 상으로 또는 대안적으로 상이한 검출기(도시되지 않음) 상으로 투영된다.
가령 405 내지 790 nm의 범위, 또는 200 내지 300 nm와 같이 훨씬 낮은 범위에서 관심 파장을 선택하기 위해, 간섭 필터들(13)의 세트가 이용될 수 있다. 간섭 필터는 상이한 필터들의 세트를 포함하기보다는 조절가능(tunable)할 수 있다. 간섭 필터들 대신에, 격자가 사용될 수 있다.
검출기(18)는 단파장(또는 협파장 범위)에서의 산란 광의 세기, 다수 파장들에서의 별도 세기, 또는 파장 범위에 걸쳐 통합된 세기를 측정할 수 있다. 또한, 검출기는 횡자기(transverse magnetic)-편광 및 횡전기(transverse electric)-편광의 세기, 및/또는 횡자기-편광 및 횡전기-편광 간의 위상차를 따로 측정할 수 있다.
광대역 광 소스(즉, 광범위한 광 주파수들 또는 파장들 - 및 이에 따른 컬러들을 갖는 광 소스)를 이용할 수 있으며, 이는 넓은 에텐듀(etendue)를 제공하여 다수 파장들의 혼합(mixing)을 허용한다. 광대역에서의 복수의 파장들은 각각 Δλ의 대역폭 및 적어도 2Δλ(즉, 대역폭의 두 배)의 간격을 갖는다. 방사선의 수 개의 "소스들"은 섬유 다발(fiber bundle)을 이용하여 분할되었던 연장된 방사선 소스의 상이한 부분들일 수 있다. 이러한 방식으로, 각도 분해된 산란 스펙트럼들이 다수 파장들에서 병렬적으로(in parallel) 측정될 수 있다. 2-D 스펙트럼보다 더 많은 정보를 포함하는 3-D 스펙트럼(파장 및 2 개의 상이한 각도들)이 측정될 수 있다. 이는 메트롤로지 프로세스 견고성(metrology process robustness)을 증가시키는 더 많은 정보가 측정되게 한다.
기판(W)의 타겟(30)은 현상 이후에 바아(bar)들이 솔리드 레지스트 라인들(solid resist lines)로 형성되도록 프린트되는 1-D 격자일 수 있다. 타겟(30)은 현상 이후에 격자가 레지스트 내에 솔리드 레지스트 필라(pillar) 또는 비아(via)들로 형성되도록 프린트되는 2-D 격자일 수 있다. 대안적으로, 바아들, 필라들 또는 비아들은 기판 안으로 에칭될 수 있다. 이 패턴은 리소그래피 투영 장치, 특히 투영 시스템(PL)의 색수차(chromatic aberrations) 및 조명 대칭성에 민감할 수 있으며, 이러한 수차들의 존재는 프린트된 격자의 변동에서 드러날 것이다. 따라서, 프린트된 격자의 스캐터로메트리 데이터가 격자들을 재구성하는 데 사용된다. 프린팅 단계 및/또는 다른 스캐터로메트리 공정들의 정보로부터, 라인 폭 및 형상과 같은 1-D 격자의 파라미터들, 또는 필라나 비아의 폭 또는 길이 또는 형상과 같은 2-D 격자의 파라미터들이 처리 유닛(PU)에 의해 수행되는 재구성 프로세스에 입력될 수 있다.
앞서 설명된 바와 같이, 타겟은 기판의 표면에 있다. 이 타겟은 흔히 2-D 어레이로 실질적으로 직사각형인 구조체들 또는 격자 내의 일련의 라인들의 형상을 취할 것이다. 메트롤로지에서의 엄밀한 광학 회절 이론들의 목적은, 사실상 타겟으로부터 반사되는 회절 스펙트럼의 계산이다. 다시 말하면, CD(임계 치수) 균일성 메트롤로지를 위하여 타겟 형상 정보가 얻어진다. CD 균일성은 리소그래피 장치의 노광 시스템이 어떻게 기능하고 있는지를 결정하는 스펙트럼 상의 격자의 균일성의 측정이다. 구체적으로, CD 또는 임계 치수는 기판에 "기록되는(written)" 대상물의 폭이며, 리소그래피 장치가 기판 상에 물리적으로 기록할 수 있는 한계이다.
본 발명은 격자들과 같은 주기적인 타겟들의 임계 치수(CD)를 결정하는 장치의 실시예들에 관한 것이다.
도 5는 본 발명의 일 실시예에 따른 검사 장치를 예시한다. 도 5를 참조하면, 광대역 광원(702)은 백색 광의 좁은 펜슬 빔(pencil beam)을 제공하고, 방사선의 복수의 파장들을 제공한다. 따라서, 복수의 파장들은 장치에 의한 신속한 측정을 위해 동시에 제공된다. 또 다른 실시예에서, 조절가능한 광원은 상이한 시간에 상이한 파장들을 제공한다. 광원(702)은, 예를 들어 백색-광 레이저 또는 크세논 램프일 수 있다. 일루미네이터의 출구에서 조명 퓨필(706)은 하나의 스폿(spot: 708)을 갖는다. 펜슬 빔은 디바이스(710)를 통해 보내진다. 예를 들어, 디바이스(710)는 다수의(예를 들어, 4 개) 어퍼처들을 포함한다. 디바이스(710)를 빠져나가는 조명 퓨필 평면(714)은 4 개의 동일한 백색-광원들(716, 716', 716'' 및 716''')로 조명된다. 이는 타겟에 걸쳐 잘 정의된(well-defined) 조명 입사각을 제공하여 격자 재구성을 용이하게 한다. 이러한 이유로, 점광원(point source)들의 범위가 작게 유지된다. 백색-광원들(716, 716', 716'' 및 716''')의 위치는 정사각형 형상에서와 상이하게 선택될 수 있다. 예를 들어, 하나의 백색-광원이 각각의 퓨필 사분면(pupil quadrant)에 위치된 여하한의 구성이 바로 그 예(accurate)일 것이다. 또한, 본 발명은 4 개의 백색-광원들의 사용으로 제한되지 않는다. 또한, 여타의 개수의(예를 들어, 8 개) 백색-광원들이 바로 그 예일 것이다.
렌즈들(L1 및 L2)은 고-NA(개구수) 렌즈(L3)의 퓨필 평면 내로 조명 퓨필을 이미징하는 이중-텔레센트릭 시스템(double-telecentric system)을 형성한다. 이 대물 렌즈(L3)는 알려지지 않은 제품 패턴에 의해 둘러싸인 작은 격자일 수 있는 타겟(30)을 조명한다. 따라서, 렌즈들(L1, L2 및 L3)은 대물렌즈를 통해 타겟을 조명하는 광학 시스템을 형성한다. 웨이퍼 상의 조명 스폿은 통상적으로 격자보다 훨씬 더 크게 선택된다. 통상적인 값들은, 예를 들어 웨이퍼에 투영된 30 ㎛의 스폿 직경 및 10 × 10 ㎛2의 격자 크기이다. 본 실시예는, 조명 스폿이 격자보다 작을 때에도, 예를 들어 스크라이브 레인의 비교적 큰 격자에서도 여전히 구현될 것이다.
도 6은 4 개의 백색-광원들 중 2 개(즉, 716 및 716'')로부터 발생한 결과로서 기판에 입사하는 광선들을 예시한다. 실선의 화살표들은 조명 평면(714)의 지점(716)으로부터 오는 광선들을 나타낸다. 점선의 화살표들은 조명 평면(714)의 지점(716'')으로부터 오는 광선들을 나타낸다. 도시된 바와 같이, 지점 716으로부터 오는 광선들의 입사각은 716''으로부터 오는 광선들의 입사각과 상이하다. 기판(W)은 제품 영역들(802)에 의해 둘러싸인 타겟 격자(30)를 갖는다. 따라서, 조명 빔은 타겟 격자(30)에 오버필(overfill)된다. 도 7은 기판(W)의 타겟 격자(30)에 입사하는 2 개의 광 빔들 그리고 결과적인 산란된 회절 차수들을 예시한다. 실선의 화살표(902)는 조명 평면(714)의 지점(716)으로부터 오는 광선을 나타낸다. 실선의 화살표들 -1, 0 및 +1은 각각 입사 빔(902)으로부터 생긴 산란된 -1차, 0차 및 +1차 회절 빔들을 나타낸다. 점선의 화살표(902'')는 조명 평면(714)의 지점(716'')으로부터 오는 광선을 나타낸다. 점선의 화살표들 -1', 0 및 +1'은 각각 입사 빔(902')으로부터 생긴 산란된 -1차, 0차 및 +1차 회절 빔들을 나타낸다. 백색 광원이 사용되기 때문에, 산란된 빔들의 각각은 광 파장 대역을 갖는다. 타겟 격자(30)의 임계 치수와 같은 특성들을 측정하는 데 있어서, 특히 0차 회절 빔들이 관심사이다. 도 7에서는 0차 빔들 0 및 0''이 각각 상이한 각도로 격자 타겟(30)으로부터 반사됨을 알 수 있다. 지점들(716' 및 716''')(도 6 및 도 7에 도시되지 않음)로부터 오는 광선들의 결과로서의 0차 빔들에 대해서도 동일하게 적용된다. 반사각은 조명 퓨필의 각 사분면의 대응하는 백색-광원의 위치에 의존한다. 격자의 형상은 0차들 - 그 결과로서 임계 치수들(CD)이 측정될 수 있음 - 에 영향을 줄 것이다.
백색-광원들의 조명 퓨필의 상이한 위치들이 상이한 입사각들을 유도하기 때문에, 입사각들은 타겟 격자(30)의 측정될 특성들에 가장 민감하도록 선택될 수 있다. 부연하면, 타겟 격자(30)의 CD와 같은 특정 특성들에 대한 감응도(sensibility)를 증대시키는 0차 정보가 본 발명에 따라 선택될 수 있다.
다시 도 5를 참조하면, 타겟 격자(30) 및 둘러싼 제품 영역에 의해 산란되는 광은 렌즈(L3)에 의해 시준(collimate)되며, 이중 텔레센트릭 시스템(L3 및 L4)은 필드 스톱(field stop: FS)에 격자 및 제품 환경(product environment)의 확대된 이미지를 만든다. 필드 스톱(FS)은 대물 렌즈(L3)의 이미지 평면에 배치된다. 필드 스톱(FS)의 목적은, 중간 이미지의 공간 범위를 제한하고 검출 광학기 내에 미광(stray light)을 억제하는 데 있다. 따라서, 공간 필터는 타겟에 의해 산란된 방사선을 선택하기 위해 타겟에 인접한 기판의 표면으로부터 산란된 방사선을 공간적으로 필터링한다.
렌즈들(L4 및 L5)은 무채색의 쿼드러처 웨지(achromatic quadrature wedge: QW) 상으로 산란된 광의 퓨필 평면(PP)을 재-이미징한다. 이 퓨필 평면의 이미지(718)는 입사 빔들(716, 716', 716'' 및 716''')로부터 발생한 0차 회절 차수들을 갖는다. 쿼드러처 웨지(QW)는 4 개의 상이한 방향들로 퓨필 평면(718)의 4 개의 사분면들의 광을 전향한다. 따라서, 쿼드러처 웨지(QW)는 기판으로부터 산란된 방사선의 회절 차수들을 별개로 전향하도록 구성된 광학 디바이스이다. 쿼드러처 웨지(QW)는 4 개의 웨지들을 포함할 수 있다. 쿼드러처 웨지(QW)의 결과로, 렌즈(L6)는, 이미지 평면(IP)에, 어퍼처 스톱(FS)에 의해 투과된 광의 공간적으로 분리된 4 개의 서브 이미지들(720)을 생성한다. 4 개의 서브 이미지들(720)의 각각은 필드 스톱(FS)의 폭(WFS)이다. 각각의 서브-이미지의 중심 정사각형은 타겟 격자를 나타내며, 제품 회로에 의해 둘러싸인다. 타겟 격자가 정사각형으로 도시되어 있지만, 이는 직사각형과 같이 또 다른 형상을 가질 수 있다. 이미지들(720)은 각각의 입사 빔들(716, 716', 716'' 및 716''')로부터 발생한 0차 이미지(0, 0', 0'' 및 0''')를 포함한다. 당업자라면, 이미지 평면의 4 개의 서브 이미지들의 각각의 배치가 웨지 배치에 의존할 것임을 알 수 있을 것이다. 그러므로, 1 이상의 렌즈들(L6) 및/또는 웨지들의 상이한 상대 방위를 이용하여 서브 이미지들의 다른 배치가 달성될 수 있다. 또한, 서브 이미지들이 동일한 평면에 배치되어야 할 필요는 없다.
백색 광이 사용됨에 따라, 쿼드러처 웨지는 무채색이며, 그렇지 않으면 이미지 시프트가 색-의존적이 될 것이다. 무채색의 웨지들은 투과성으로 만들어질 수 있지만, 이들이 본질적으로 무채색이기 때문에 반사 웨지들도 적합하다.
이제, 0차 세기 성분들을 캡처하기 위해 4 개의 다중모드 검출 섬유들(MF)이 사용된다. 따라서, 이 섬유들은 별개로 전향된 0차 회절 차수들 중 1 이상을 캡처하도록 구성된 캡처링 디바이스이다. 이는 제품 환경으로부터의 광을 억제하는 "선택된 영역" 검출이다. 렌즈들에 대한 섬유들의 위치는 타겟 격자에 대응하는 각각의 서브 이미지(720)의 선택된 영역을 캡처하도록 구성된다. 선택적으로, 센서의 동적 조정을 위해 피에조 마이크로 조작기(piezo micro manipulator)들이 사용될 수 있다.
다중모드 섬유들은 통상적으로 200 ㎛의 코어 직경을 가지며, 이 직경은 둘러싼 제품 영역에 의해 산란된 광보다 우선적으로 격자에 의해 산란된 광을 선택하기 위해 격자의 이미지보다 작다. 격자가 10 ㎛의 길이를 갖는 경우, 이 실시예에서 렌즈 시스템(L3, L4, L5 및 L6)의 배율은 적어도 40이다.
웨지 각도는 4 개의 서브 이미지들(720)의 완전한 분리를 허용하도록 충분히 크다. 간격이 너무 작다면, 이미지들이 오버랩되어 제품 영역으로부터 격자 영역 내로 크로스토크(crosstalk)를 유발할 것이다.
검출 섬유들에 의해 캡처된 광대역 광은 공칭적으로 동일한 4 개의 분광계들(S1 내지 S4)로 보내진다. 이러한 4 개의 분광계들은 파장의 함수로서 4 개의 0차 회절 차수들의 세기들을 동시에(simultaneously) 그리고 병렬적으로(in parallel) 측정한다. 예를 들어, 통상적인 파장 범위는 5 nm의 스펙트럼 분해능으로 400 내지 800 nm일 수 있다. 이는 스펙트럼당 80 개의 픽셀들, 그러므로 총 약 320 개의 샘플들을 산출한다. 광대역 광원의 복수의 파장들(λ)에서의 이러한 측정은 높은 스루풋(throughput)을 가능하게 하는 매우 짧은 획득 시간으로 획득될 수 있다. 수 개의(이 예시에서는 4 개) 0차 회절 차수들이 동시에 측정될 수 있고, 0차 회절 차수들이 상이한 입사각(AOI)을 갖는 입사 빔들로부터 발생하기 때문에, 측정의 스루풋이 개선된다.
이제, 측정된 스펙트럼의 세트가 타겟 격자의 CD와 같은 특성을 계산하는 프로세서(PU)에 사용될 수 있다. 백색-광원을 사용하는 대신, 단일 파장원이 사용될 수 있다. 단일 파장원은 조절가능하거나 전환가능할 수 있어 복수의 파장들을 제공할 수 있다. 각각의 단일 파장에 대하여, 4 개의 0차 차수들에 의해 형성된 이미지들의 세기들을 측정하는 CCD 카메라와 같은 검출기에 이미지가 투영된다. 이러한 실시예에서는, 격자 이미지들이 위치된 영역을 식별하고 특정 파장에서 0차 세기들을 추출하기 위해, 처리 유닛(PU)에서 실행되는 패턴 인식 소프트웨어 모듈이 사용된다. 이에 따라, 파장이 조정되며, 복수의 단일 파장들에서 4 개의 0차 차수들의 세기를 결정하기 위해 측정들이 연속적으로(in series) 반복된다.
또한, 다중-웨지들의 프리즘과 연계된 더 복잡한 조명을 만들 수 있다. 예를 들어, 이 방법은 8-극 조명(octupole illumination)과 연계된 8 개의 웨지들의 프리즘으로 용이하게 확장될 수 있다. 이는 8 배(factor)만큼 스루풋의 개선을 가능하게 할 것이다(또한, 스캔의 수를 제한할 것이다). 원칙적으로, 특별한 다중-웨지들 및 다중-극들의 조명을 개발하여 여하한의 스캐닝을 회피하고 하나의 단일 측정으로 모든 요구되는 데이터의 획득을 수행하는 것이 실현가능하다. 선험적으로 이는 모든 이미지들을 맞추기 위해(fit) 큰 CCD 어레이를 필요로 할 것이다.
조명이 조명 퓨필 평면에서 스캐닝되는 경우, 더 많은 측정 정보가 얻어질 수 있다. 도 8은 쿼드러플 조명의 경우의 일 예시를 나타낸다. 4 개의 조명 빔들이 각각의 퓨필 사분면에서 단일 어퍼처에 의해 생성될 수 있다. 4 개의 어퍼처들의 위치는 정사각형 형상에서와 상이하게 선택될 수 있음은 물론이다. 쿼드러플의 스캐닝은 쿼드러플로 퓨필을 스캐닝할 수 있게 하는 툴의 조명 필드 스톱에 배치된 경사 거울에 의해 행해질 수 있다. 또 다른 선택은, 툴의 입구 퓨필에 배치된 휠에 상이한 쿼드러플의 세트를 갖게 하거나, 툴의 입구 퓨필에 배치된 어퍼처 홀더 휠을 이용하여 쿼드러플로 입구 퓨필을 스캐닝하는 것이다.
주파수의 함수로서 회절된 광의 세기의 측정 및 모델링이 도 5를 참조하여 설명되지만, 본 발명의 실시예들은 또한 적절한 타원편광계측(ellipsometric) 또는 편광계측(polarimetric) 기술들을 이용하여 주파수의 함수로서 편광 상태의 측정 및 모델링을 포함한다.
대안적인 실시예에서는, 백색-광원을 이용하는 대신 단일 파장원이 사용된다. 단일 파장원은 조절가능하거나 전환가능하여 복수의 파장들을 제공할 수 있으며, 서브 이미지들(720)은 수 개의 0차 회절 차수들에 의해 형성된 이미지들의 세기들을 측정한 CCD 카메라와 같은 검출기에 투영된다. 이러한 실시예에서, 서브 이미지들(720)이 위치된 영역을 식별하고 수 개의 0차 회절 차수들의 세기를 추출하기 위해 패턴 인식 소프트웨어 모듈이 사용될 수 있다.
광대역 소스(702)가 사용되고, 복수의 파장들(λ)에서 동시에 정보를 측정하는 분광계들(S1 내지 S4)이 사용될 때, 스루풋의 추가 개선이 달성될 수 있다(도 9 참조). 도 9에서는 도 5에서와 동일한 참조부호들이 사용된다. 이러한 구성에서는 AOI의 함수로서 다수의 이미지들을 획득하도록 요구되지 않을 것이다. 예를 들어, 분광계(S)가 5 nm의 스펙트럼 분해능을 갖고, 광대역 소스(702)가 400 nm 내지 750 nm의 범위로 사용되는 경우, 이는 각각의 AOI에 대해 70 개의 측정 지점들을 유도할 것이다. 각각의 분광계들(S1 내지 S4)에 의해 측정된 파장 의존적인 0차 세기들이 도 9의 아래 부분에 도시되어 있다.
수 개의(이 경우 4 개) 0차 세기들을 캡처하기 위해 다중모드 검출 섬유(MF)가 사용된다. 또한, 이 다중모드 검출 섬유(MF)는 "선택 영역" 검출기로서 기능할 수 있다. 이는 부분적으로 타겟 환경으로부터의 광이 억제됨을 보장한다. 다중모드 섬유(MF)의 섬유들은, 예를 들어 200 ㎛ 내지 2 mm의 코어를 가질 수 있지만, 다른 측정치들도 가능하다. 일반적으로, 이미지의 타겟 크기 및 툴 배율에 따라 적절한 직경 코어가 선택될 것이다. 상이한 타입의 푸리에 필터링(Fourier filtering)이 사용될 수 있다. 툴의 조명 입사 퓨필의 쿼드러플 및 검출기로서 무채색의 웨지 연계된 4 개의 광섬유들의 사용에 의해 스루풋이 증가될 수 있다. 이는 4 개의 상이한 입사각들을 동시에 측정할 수 있게 할 것이다.
본 명세서에 설명된 검사 실시예들의 방법 및 검사 장치는 디바이스 제조 방법에 사용될 수 있으며, 리소그래피 장치들 및 리소그래피 처리 셀들 내로 통합될 수 있다.
본 명세서에서는, IC 제조에 있어서 검사 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 설명된 검사 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
이상, 광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명은 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스의 토포그래피(topography)는 기판에 생성되는 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트로부터 이동되며, 레지스트는 레지스트가 경화된 후에 그 안에 패턴을 남긴다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 355, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV)방사선 및 (예를 들어, 5 내지 20 nm 범위의 파장을 갖는) 극자외(EUV)방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
이상, 본 발명의 특정 실시예가 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해할 것이다. 예를 들어, 본 발명은 앞서 개시된 바와 같은 방법을 구현하는 기계-판독가능한 명령어의 1 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해할 것이다.
요약 및 초록 부분(Summary and Abstract sectons)이 아닌, 발명의 상세한 설명 부분(Detailed Description section)이 청구항을 해석하는 데 사용되도록 의도된다는 것을 이해하여야 한다. 요약 및 초록 부분은 1 이상을 설명할 수 있지만, 발명자(들)에 의해 의도된 본 발명의 모든 예시적인 실시예를 설명하지는 않으므로, 어떠한 방식으로도 본 발명 및 첨부된 청구항을 제한하지는 않는다.
이상, 본 발명은 특정화된 기능들 및 그 관계들의 구현을 예시하는 기능 구성 요소(functional building block)들의 도움으로 설명되었다. 본 명세서에서, 이 기능 구성 요소들의 경계들은 설명의 편의를 위해 임의로 정의되었다. 특정화된 기능들 및 그 관계들이 적절히 수행되는 한, 대안적인 경계들이 정의될 수 있다.
특정 실시예들의 앞선 설명은, 당업계의 지식을 적용함으로써, 다양한 적용들을 위해 본 발명의 일반적인 개념을 벗어나지 않고 지나친 실험 없이 이러한 특정 실시예들을 쉽게 변형하고, 및/또는 적합하게 할 수 있도록 본 발명의 일반적인 성질을 전부 드러낼 것이다. 그러므로, 이러한 응용예 및 변형예들은 본 명세서에 나타낸 기술내용 및 안내에 기초하여, 개시된 실시예들의 균등물의 의미 및 범위 내에 있도록 의도된다. 본 명세서에서, 어구 또는 전문 용어는 설명을 위한 것이며 제한하려는 것이 아니므로, 당업자라면 본 명세서의 전문 용어 또는 어구가 기술내용 및 안내를 고려하여 해석되어야 한다는 것을 이해하여야 한다.
본 발명의 범위와 폭은 상술된 예시적인 실시예들 중 어느 것에 의해서도 제한되지 않아야 하며, 다음의 청구항 및 그 균등물에 따라서만 정의되어야 한다.

Claims (18)

  1. 기판의 타겟의 특성을 결정하는 장치에 있어서,
    - 방사선을 제공하도록 구성되는 조명 시스템;
    - 대물렌즈(objective)를 포함하고, 상기 대물렌즈를 통해 2 이상의 조명 빔들로 상기 타겟을 조명하도록 구성되는 광학 시스템;
    - 상기 2 이상의 조명 빔들로의 상기 타겟의 조명으로부터 발생한 회절 차수들을 별개로 전향(separately redirect)하도록 구성되는 광학 디바이스;
    - 이미지 평면의 1 이상의 검출기 - 상기 1 이상의 검출기는 상기 별개로 전향된 회절 차수들의 1 이상의 특성을 측정하도록 구성됨 -; 및
    - 상기 별개로 전향된 회절 차수들의 측정된 1 이상의 특성을 이용하여 상기 타겟의 특성을 결정하도록 구성되는 프로세서를 포함하는 타겟 특성 결정 장치.
  2. 제 1 항에 있어서,
    상기 2 이상의 조명 빔들은 상기 대물렌즈의 퓨필 평면에 대해 포인트-미러링되지(point- mirrored) 않는 타겟 특성 결정 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 광학 디바이스는 상기 2 이상의 조명 빔들의 각각으로부터 발생한 상기 기판으로부터의 산란된 방사선의 회절 차수들을 분리하도록 구성되는 타겟 특성 결정 장치.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 회절 차수들은 0차 회절 차수들인 타겟 특성 결정 장치.
  5. 제 4 항에 있어서,
    상기 광학 디바이스는 상기 1 이상의 검출기 상으로 분리된 회절 차수들을 투영하여, 상이한 분리된 회절 차수들에 기인하는 상기 타겟의 공간적으로 분리된 이미지들을 형성하도록 구성되는 타겟 특성 결정 장치.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 조명 시스템은 광대역 광원을 포함하는 타겟 특성 결정 장치.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 조명 시스템은 조절가능한(tunable) 단일-파장 광원을 포함하는 타겟 특성 결정 장치.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 장치는 4 개의 조명 빔들로 상기 타겟을 조명하도록 구성되고, 상기 광학 디바이스는 4 개의 사분면들의 각각으로부터 방사선을 별개로 전향하도록 구성되는 4 개의 웨지(wedge)들을 포함하는 타겟 특성 결정 장치.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 광학 디바이스는 무채색(achromatic)인 타겟 특성 결정 장치.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 별개로 전향된 회절 차수들 중 1 이상을 캡처하도록 구성되는 캡처링 디바이스를 더 포함하는 타겟 특성 결정 장치.
  11. 제 10 항에 있어서,
    상기 캡처링 디바이스는 1 이상의 광섬유를 포함하는 타겟 특성 결정 장치.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 측정된 특성은 세기를 포함하는 타겟 특성 결정 장치.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 1 이상의 검출기는 분광계(spectrometer)를 포함하는 타겟 특성 결정 장치.
  14. 제 13 항에 있어서,
    상기 분광계는 복수의 파장들에서 상기 별개로 전향된 회절 차수들의 1 이상의 특성을 동시에 측정하도록 구성되는 타겟 특성 결정 장치.
  15. 기판의 타겟의 특성을 결정하는 방법에 있어서,
    대물렌즈를 통해 2 이상의 조명 빔들의 방사선으로 상기 타겟을 조명하는 단계;
    상기 기판으로부터 산란된 방사선의 0차 회절 차수들을 별개로 전향하는 단계;
    1 이상의 검출기를 이용하여 상기 별개로 전향된 0차 회절 차수들의 1 이상의 특성을 측정하는 단계; 및
    상기 별개로 전향된 0차 회절 차수들의 측정된 1 이상의 특성을 이용하여 상기 타겟의 특성을 결정하는 단계를 포함하는 타겟 특성 결정 방법.
  16. 리소그래피 장치에 있어서,
    패턴을 조명하도록 배치되는 조명 시스템;
    기판 상으로 상기 패턴의 이미지를 투영하도록 배치되는 투영 시스템; 및
    기판의 타겟의 특성을 결정하기 위한 검사 장치를 포함하고, 상기 검사 장치는:
    - 방사선을 제공하도록 구성되는 조명 시스템;
    - 대물렌즈를 포함하고, 상기 대물렌즈를 통해 2 이상의 조명 빔들로 상기 타겟을 조명하도록 구성되는 광학 시스템;
    - 상기 2 이상의 조명 빔들로의 상기 타겟의 조명으로부터 발생한 회절 차수들을 별개로 전향하도록 구성되는 광학 디바이스;
    - 이미지 평면의 1 이상의 검출기 - 상기 1 이상의 검출기는 상기 별개로 전향된 회절 차수들의 1 이상의 특성을 측정하도록 구성됨 -; 및
    - 상기 별개로 전향된 회절 차수들의 측정된 1 이상의 특성을 이용하여 상기 타겟의 특성을 결정하도록 구성되는 프로세서를 포함하는 리소그래피 장치.
  17. 리소그래피 셀에 있어서,
    기판들을 방사선 감응 층으로 코팅하도록 배치되는 코터(coater);
    상기 코터에 의해 코팅된 기판들의 방사선 감응 층 상으로 이미지들을 노광시키도록 배치되는 리소그래피 장치;
    상기 리소그래피 장치에 의해 노광된 이미지들을 현상하도록 배치되는 디벨로퍼(developer); 및
    기판의 타겟의 특성을 결정하기 위한 검사 장치를 포함하고, 상기 검사 장치는:
    - 방사선을 제공하도록 구성되는 조명 시스템;
    - 대물렌즈를 포함하고, 상기 대물렌즈를 통해 2 이상의 조명 빔들로 상기 타겟을 조명하도록 구성되는 광학 시스템;
    - 상기 2 이상의 조명 빔들로의 상기 타겟의 조명으로부터 발생한 회절 차수들을 별개로 전향하도록 구성되는 광학 디바이스;
    - 이미지 평면의 1 이상의 검출기 - 상기 1 이상의 검출기는 상기 별개로 전향된 회절 차수들의 1 이상의 특성을 측정하도록 구성됨 -; 및
    - 상기 별개로 전향된 회절 차수들의 측정된 1 이상의 특성을 이용하여 상기 타겟의 특성을 결정하도록 구성되는 프로세서를 포함하는 리소그래피 셀.
  18. 디바이스 제조 방법에 있어서,
    리소그래피 장치를 이용하여 기판에 패턴을 형성하는 단계; 및
    방사선을 제공하고, 대물렌즈를 통해 2 이상의 조명 빔들의 방사선으로 타겟을 조명하며, 상기 기판으로부터 산란된 방사선의 0차 회절 차수들을 별개로 전향하고, 1 이상의 검출기를 이용하여 상기 별개로 전향된 0차 회절 차수들의 1 이상의 특성을 측정하며, 상기 별개로 전향된 0차 회절 차수들의 측정된 1 이상의 특성을 이용하여 상기 패턴의 파라미터와 관련된 값을 결정함으로써, 상기 패턴의 파라미터와 관련된 값을 결정하는 단계를 포함하는 디바이스 제조 방법.
KR1020167002988A 2013-07-03 2014-06-13 검사 장치 및 방법, 리소그래피 장치, 리소그래피 처리 셀 및 디바이스 제조 방법 KR101830850B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361842430P 2013-07-03 2013-07-03
US61/842,430 2013-07-03
PCT/EP2014/062338 WO2015000673A1 (en) 2013-07-03 2014-06-13 Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method

Publications (2)

Publication Number Publication Date
KR20160027185A true KR20160027185A (ko) 2016-03-09
KR101830850B1 KR101830850B1 (ko) 2018-02-21

Family

ID=50933178

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167002988A KR101830850B1 (ko) 2013-07-03 2014-06-13 검사 장치 및 방법, 리소그래피 장치, 리소그래피 처리 셀 및 디바이스 제조 방법

Country Status (8)

Country Link
US (1) US9904181B2 (ko)
JP (1) JP6275834B2 (ko)
KR (1) KR101830850B1 (ko)
CN (1) CN105359039B (ko)
IL (1) IL243175A0 (ko)
NL (1) NL2012996A (ko)
TW (1) TWI544287B (ko)
WO (1) WO2015000673A1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2016427A (en) 2015-06-05 2016-12-12 Asml Netherlands Bv Alignment system.
NL2017510A (en) * 2015-10-12 2017-04-24 Asml Netherlands Bv Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
KR102106937B1 (ko) 2016-02-19 2020-05-07 에이에스엠엘 네델란즈 비.브이. 구조체 측정 방법, 검사 장치, 리소그래피 시스템, 디바이스 제조 방법 및 그 안에 사용되는 파장-선택 필터
US20170256465A1 (en) * 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US9921104B2 (en) 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
EP3361315A1 (en) * 2017-02-09 2018-08-15 ASML Netherlands B.V. Inspection apparatus and method of inspecting structures
KR102544707B1 (ko) 2018-02-27 2023-06-16 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법
JP7261903B2 (ja) * 2019-05-06 2023-04-20 エーエスエムエル ネザーランズ ビー.ブイ. 暗視野顕微鏡
US10921261B2 (en) 2019-05-09 2021-02-16 Kla Corporation Strontium tetraborate as optical coating material
JP2023116048A (ja) * 2022-02-09 2023-08-22 キオクシア株式会社 計測装置および計測方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US7130039B2 (en) 2002-04-18 2006-10-31 Kla-Tencor Technologies Corporation Simultaneous multi-spot inspection and imaging
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7528953B2 (en) 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7863763B2 (en) 2005-11-22 2011-01-04 Asml Netherlands B.V. Binary sinusoidal sub-wavelength gratings as alignment marks
US7701577B2 (en) 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
CN101165597B (zh) 2007-10-11 2010-04-14 上海微电子装备有限公司 对准系统及使用该系统的光刻装置
NL1036245A1 (nl) * 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
IL217843A (en) * 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device

Also Published As

Publication number Publication date
IL243175A0 (en) 2016-02-29
CN105359039A (zh) 2016-02-24
KR101830850B1 (ko) 2018-02-21
TW201510672A (zh) 2015-03-16
TWI544287B (zh) 2016-08-01
CN105359039B (zh) 2018-08-10
US9904181B2 (en) 2018-02-27
JP2016523387A (ja) 2016-08-08
JP6275834B2 (ja) 2018-02-07
WO2015000673A1 (en) 2015-01-08
US20160377990A1 (en) 2016-12-29
NL2012996A (en) 2015-01-06

Similar Documents

Publication Publication Date Title
JP5280555B2 (ja) 検査装置および方法、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
KR101830850B1 (ko) 검사 장치 및 방법, 리소그래피 장치, 리소그래피 처리 셀 및 디바이스 제조 방법
US9128065B2 (en) Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method
KR101685041B1 (ko) 기판의 모델을 평가하는 방법, 검사 장치 및 리소그래피 장치
TWI453546B (zh) 檢查方法和裝置,微影裝置,微影製程單元及器件製造方法
EP1903397B1 (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
US7724370B2 (en) Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US9280065B2 (en) Inspection apparatus to detect a target located within a pattern for lithography
JP2008166755A (ja) 測定方法、検査装置、およびリソグラフィ装置
US10107761B2 (en) Method and device for focusing in an inspection system
WO2011012412A1 (en) Inspection method for lithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant