KR20150004261U - 기판 캐리어들을 위한 다이아몬드-라이크 탄소 코팅들 - Google Patents

기판 캐리어들을 위한 다이아몬드-라이크 탄소 코팅들 Download PDF

Info

Publication number
KR20150004261U
KR20150004261U KR2020150003172U KR20150003172U KR20150004261U KR 20150004261 U KR20150004261 U KR 20150004261U KR 2020150003172 U KR2020150003172 U KR 2020150003172U KR 20150003172 U KR20150003172 U KR 20150003172U KR 20150004261 U KR20150004261 U KR 20150004261U
Authority
KR
South Korea
Prior art keywords
carrier
diamond
sub
carbon
substrate
Prior art date
Application number
KR2020150003172U
Other languages
English (en)
Inventor
수란 셍
린 장
서 호 조
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150004261U publication Critical patent/KR20150004261U/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • H01L31/02366Special surface textures of the substrate or of a layer on the substrate, e.g. textured ITO/glass substrate or superstrate, textured polymer layer on glass substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1876Particular processes or apparatus for batch treatment of the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)

Abstract

다이아몬드-라이크 탄소 코팅이 위에 배치된 기판 캐리어가 제공된다. 다이아몬드-라이크 탄소 코팅은, nf3 플라즈마를 사용하는 세정 프로세스들과 같은, 광발전(photovoltaic) 셀들의 제조 동안에 수행되는 통상적으로 사용되는 세정 프로세스들에 대해 실질적으로 저항하는(resistant) 특성을 가질 수 있다.

Description

기판 캐리어들을 위한 다이아몬드-라이크 탄소 코팅들{DIAMOND-LIKE CARBON COATINGS FOR SUBSTRATE CARRIERS}
[0001] 본 개시의 실시예들은 일반적으로, 광발전(photovoltaic) 또는 솔라(solar) 셀들을 제조하기 위한 장비에 관한 것이다.
[0002] 광발전(PV) 셀들은, 태양광을 직류(DC) 전력으로 변환시키는 디바이스들이다. 전형적인 PV 셀은, 전형적으로 약 0.3 mm 미만의 두께인 p-타입 실리콘 기판을 포함하고, n-타입 실리콘 재료의 얇은 층이 p-타입 기판의 상단 상에 배치된다. 태양광에 노출되는 경우에, p-n 접합은 자유 전자들과 홀들의 쌍들을 생성한다. p-n 접합의 공핍 영역에 걸쳐 형성된 전기장은, 외부 회로 또는 전기 부하를 통해 유동할 수 있는 자유 전자들로부터 자유 홀들을 분리시킨다. PV 셀에 의해 생성되는 전압 및 전류는, p-n 접합의 재료 특성들, 증착된 층들 사이의 계면 특성들, 및 디바이스의 표면 면적에 좌우된다.
[0003] p-n 접합들을 형성하는 종래의 방법들은 전형적으로, 플라즈마 강화 화학 기상 증착(PECVD)과 같은 증착 프로세스들을 통해 n-타입 및/또는 p-타입 층들을 형성하는 단계를 포함한다. 증착 프로세스들의 처리량(throughput)을 증가시키기 위해, 증착 동안에, 기판 캐리어 상에 다수의 기판들을 배치함으로써, 다수의 기판들이 동시에 프로세싱된다. 그러나, 종래의 기판 캐리어들은 짧은 수명들로 시달릴 수 있다. 부가적으로, 기판 캐리어들을 사용하여 수행되는 증착 프로세스들은, 증착 프로세스들 동안에 입자 생성을 증가시킬 수 있다. 증착 프로세스들 동안의 입자 생성은 불량 또는 저 성능 PV 셀들을 초래할 수 있다.
[0004] 전술된 바와 같이, 개선된 기판 캐리어들에 대한 필요성이 본 기술분야에 존재한다.
[0005] 다이아몬드-라이크 탄소 코팅이 위에 배치된 기판 캐리어가 제공된다. 다이아몬드-라이크 탄소 코팅은, NF3 플라즈마를 사용하는 세정 프로세스들과 같은, 광발전 셀들의 제조 동안에 수행되는 통상적으로 사용되는 세정 프로세스들에 대해 실질적으로 저항하는(resistant) 특성을 가질 수 있다. 부가적으로, 기판 캐리어 상에 다이아몬드-라이크 탄소 코팅을 형성하는 방법이 제공된다. 방법은, 프로세싱 챔버에 기판 캐리어를 위치시키고, 기판 캐리어 상에 다이아몬드-라이크 탄소 코팅을 형성하는 단계를 포함한다. 다이아몬드-라이크 탄소 코팅을 형성하는 단계는, 프로세싱 챔버 내로 탄소-함유 가스를 유동시키고, 탄소-함유 가스를 해리(dissociating)시키는 단계를 포함한다.
[0006] 본 개시의 일 실시예는 기판 캐리어를 포함한다. 기판 캐리어는, 리테이닝(retaining) 프레임, 서브-캐리어 리테이닝 표면, 및 하나 또는 그 초과의 서브-캐리어들을 측면에서(laterally) 보유(retain)하도록 구성된 적어도 하나의 서브-캐리어 리테이닝 리세스를 포함한다. 기판 캐리어는 또한, 서브-캐리어 리테이닝 표면 상에 형성된 다이아몬드-라이크 탄소 코팅을 갖는다.
[0007] 본 개시의 다른 실시예는, 기판 캐리어를 코팅하는 방법을 포함한다. 방법은, 프로세싱 챔버에 기판 캐리어를 위치시키는 단계를 포함한다. 기판 캐리어는, 리테이닝 프레임, 서브-캐리어 리테이닝 표면, 및 하나 또는 그 초과의 서브-캐리어들을 측면에서 보유하도록 구성된 적어도 하나의 서브-캐리어 리테이닝 리세스를 포함한다. 방법은, 서브-캐리어 리테이닝 표면 위에 다이아몬드-라이크 탄소 코팅을 블랭킷 증착(blanket depositing)하는 단계를 더 포함한다.
[0008] 본 개시의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시의 단지 전형적인 실시예들을 도시하는 것이므로 본 고안의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시가 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시의 일 실시예에 따른, 기판들의 배치(batch)를 프로세싱하기 위한 프로세싱 챔버의 개략적인 단면도이다.
[0010] 도 2는 본원에서 설명되는 일 실시예에 따른 기판 캐리어의 상면 투시도이다.
[0011] 도 3은 본원에서 설명되는 일 실시예에 따른 서브-캐리어의 상면 투시도이다.
[0012] 도 4는 코팅을 증착하기 위한 방법의 일 실시예를 예시하는 흐름도이다.
[0013] 이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 부가적으로, 일 실시예의 엘리먼트들이 본원에서 설명되는 다른 실시예들에서 활용되도록 유리하게 적응될 수 있다.
[0014] 다이아몬드-라이크 탄소 코팅이 위에 배치된 기판 캐리어가 제공된다. 다이아몬드-라이크 탄소 코팅은, NF3 플라즈마를 사용하는 세정 프로세스들과 같은, 광발전 셀들의 제조 동안에 수행되는 통상적으로 사용되는 세정 프로세스들에 대해 실질적으로 저항하는 특성을 가질 수 있다. 부가적으로, 기판 캐리어 상에 다이아몬드-라이크 탄소 코팅을 형성하는 방법이 제공된다. 방법은, 프로세싱 챔버에 기판 캐리어를 위치시키고, 기판 캐리어 상에 다이아몬드-라이크 탄소 코팅을 형성하는 단계를 포함한다. 다이아몬드-라이크 탄소 코팅을 형성하는 단계는, 프로세싱 챔버 내로 탄소-함유 가스를 유동시키고, 탄소-함유 가스를 해리시키는 단계를 포함한다.
[0015] 도 1은 본 개시의 일 실시예에 따른, 기판들의 배치를 프로세싱하기 위한 프로세싱 챔버(100)의 개략적인 단면도이다. 본원에서 개시되는 실시예들로부터 이익을 얻을 수 있는 하나의 적합한 프로세싱 챔버는, 캘리포니아, 산타클라라에 위치된 Applied Materials, Inc.로부터 입수가능한 Gen 2 내지 Gen 8.5 프로세싱 플랫폼(Gen 2 to Gen 8.5 processing platform)의 부분인 프로세싱 챔버를 포함한다. 다른 제조자들로부터 입수가능한 다른 프로세싱 챔버들 및 프로세싱 시스템들이 마찬가지로, 본원에서 개시되는 실시예들로부터 이익을 얻을 수 있다.
[0016] 프로세싱 챔버(100)는 일반적으로, 벽들(102), 바닥(104), 샤워헤드(110), 및 기판 지지부(130)를 포함하며, 이들은 프로세스 볼륨(106)을 정의한다. 프로세스 볼륨(106)은, 프로세싱 챔버(100) 내외로 기판 캐리어(101)가 이송될 수 있도록, 개구(108)를 통해 접근된다(accessed). 웨이퍼 캐리어는 그 위에 배치된 하나 또는 그 초과의 서브-캐리어들(S)을 가질 수 있다. 각각의 서브-캐리어(S)는, 그 위에 배치된 (도 3에서 도시된) 하나 또는 그 초과의 기판들(W)을 가질 수 있다. 기판들(W)은, 예컨대, 유리 또는 반도체 재료로 제조될 수 있다. 캐리어(101)는, 그 내부에 형성된 (도 2에서 도시된) 적어도 하나의 서브-캐리어 리테이닝 리세스(101A)를 갖는다. 서브-캐리어 리테이닝 리세스(101A)는, 프로세싱 챔버(100) 내외로 이송하는 동안에 서브-캐리어들(S)을 홀딩(hold) 및 보유하도록 구성된다.
[0017] 기판 지지부(130)는, 기판 지지부(130)를 상승시키고 하강시키기 위해 리프트 시스템(136)에 커플링된 스템(134), 및 캐리어(101)를 지지하기 위한 기판 수용 표면(132)을 포함한다. 섀도우 프레임(133)이 선택적으로, 캐리어(101)의 주변부 위에 배치될 수 있다. 리프트 핀들(138)이, 기판 수용 표면(132)으로 그리고 기판 수용 표면(132)으로부터 캐리어(101)를 이동시키기 위해, 기판 지지부(130)를 통해 이동가능하게 배치된다. 기판 지지부(130)는 또한, 기판 지지부(130)를 원하는 온도로 유지하기 위해, 가열 및/또는 냉각 엘리먼트들(139)을 포함할 수 있다. 하나 또는 그 초과의 접지 어셈블리들(142)이, 부착 디바이스들(144)에 의해, 벽들(102), 기판 지지부(130), 및/또는 다른 챔버 컴포넌트들에 커플링된다.
[0018] 샤워헤드(110)는 서스펜션(suspension)(114)에 의해 샤워헤드(110)의 주변부에서 배킹 플레이트(112)에 커플링된다. 가스 소스(120)가 배킹 플레이트(112)에 커플링되고, 배킹 플레이트(112)를 통과하는 튜브(131)를 통해 가스들을 제공한다. 가스들은 튜브(131)를 빠져나가고, 샤워헤드(110)에서의 복수의 홀들(111)을 통과하여, 프로세스 볼륨(106)에 진입한다. 진공 펌프(109)가, 프로세스 볼륨(106)을 원하는 압력으로 제어하기 위해, 프로세싱 챔버(100)에 커플링된다. 전력 소스(122)가, 샤워헤드(110)에 전력을 제공하여, 기판 지지부(130)와 샤워헤드(110) 사이에 전기장을 생성하고, 프로세스 볼륨(106)에서의 가스들로부터 플라즈마를 생성하기 위해, 샤워헤드(110) 및/또는 배킹 플레이트(112)에 커플링된다. 전력 소스(122)는, 예컨대, RF 또는 VHF 전력을 공급하도록 구성될 수 있다. 전력 소스(122)는, 예컨대, 약 13.56 MHz로 RF 전력을 공급할 수 있다. 전력 소스(122)는, 예컨대, 약 20 MHz 내지 약 300 MHz로 VHF 전력을 공급할 수 있다.
[0019] 유도성 커플링된 원격 플라즈마 소스와 같은 원격 플라즈마 소스(124)가 선택적으로, 배킹 플레이트(112)와 가스 소스(120) 사이에 커플링될 수 있다. PV 셀들을 형성하기 위한 기판들(W)의 배치들의 프로세싱은, 원격 플라즈마 소스(124)에서 세정 가스로부터 플라즈마를 생성하는 것, 및 프로세스 볼륨(106) 내로 플라즈마로부터 생성된 여기된 종들을 유동시키는 것을 포함할 수 있다. 세정 가스는, 샤워헤드(110)에 제공된 전력 소스(122)에 의해 더 여기될 수 있다. 적합한 세정 가스들은, NF3, F2, 및 SF6를 포함하지만, 이에 제한되지는 않는다.
[0020] 도 2는 캐리어(101)의 전형적인 실시예의 상면 투시도이다. 도 2에서 도시된 바와 같이, 캐리어(101)는 리테이닝 프레임(203) 및 16개의 서브-캐리어 리테이닝 리세스들(101A)을 포함한다. 리테이닝 프레임(203)은 외부 벽들(223) 및 서브-캐리어 리테이닝 표면(213)을 포함한다. 외부 벽들(223)은, 서브-캐리어 리테이닝 표면(213)으로부터 연장되고, 상단 표면(224) 및 내부 표면(225)을 갖는다. 서브-캐리어 리테이닝 표면(213)으로부터 측정되는, 외부 벽들(223)의 높이는, 서브-캐리어 리테이닝 표면(213) 상에 지지될 하나 또는 그 초과의 서브-캐리어들(S)의 치수들에 기초하여 선택될 수 있다. 외부 벽 높이는, 서브-캐리어들(S)의 높이와 실질적으로 동일할 수 있거나, 서브-캐리어들(S)의 높이보다 더 클 수 있거나, 또는 서브-캐리어들(S)의 높이 미만일 수 있다. 예컨대, 서브-캐리어 리테이닝 표면(213)에 의해 지지될 서브-캐리어들이 624 mm x 624 mm x 0.2 mm의 치수들을 갖는 구성에서, 외부 벽들(223)의 높이는 약 0.1 mm 내지 약 0.3 mm일 수 있다.
[0021] 도 2에서 도시된 바와 같이, 각각의 서브-캐리어 리테이닝 리세스(101A)는, 리테이닝 프레임 센터 바(207) 또는 서브-캐리어 리테이닝 벽 부재(215)에 의해, 각각의 인접한 서브-캐리어 리테이닝 리세스(101A)로부터 분리된다. 서브-캐리어 리테이닝 벽 부재들(215)은, 캐리어(101) 상의 서브-캐리어들(S)을 분리시키고 보유하도록 기능한다. 리테이닝 프레임 센터 바들(207)은, 캐리어(101) 상의 서브-캐리어들(S)을 분리시키도록 기능하고, 또한, 캐리어(101)에 구조적인 안정성을 제공하도록 기능한다. 몇몇 실시예들에서, 리테이닝 프레임 센터 바들(207) 및 서브-캐리어 리테이닝 벽 부재들(215)은 동일한 높이이다. 다른 실시예들에서, 리테이닝 프레임 센터 바들(207) 및 서브-캐리어 리테이닝 벽 부재들(215)은, 예컨대, 도 2에서 도시된 바와 같이, 상이한 높이들을 갖는다.
[0022] 도 2에서 도시된 바와 같이, 교차하는 서브-캐리어 리테이닝 벽 부재들(215)의 쌍은, 리테이닝 프레임 센터 바들(207)에 의해 정의된 각각의 사분면에 위치된다. 다른 실시예들에서, 서브-캐리어 리테이닝 벽 부재들(215)의 쌍은 사분면에서 교차하지 않을 수 있거나, 또는 서브-캐리어 리테이닝 벽 부재들(215)의 쌍은 도 2에서 도시된 것과 상이한 각도들로 교차할 수 있다. 다른 실시예들에서, 2개보다 더 적은 서브-캐리어 리테이닝 벽 부재들(215)이 각각의 사분면에 위치될 수 있다. 예컨대, 하나 또는 0개(zero)의 리테이닝 벽 부재들(215)이 각각의 사분면에 위치될 수 있다. 다른 실시예들에서, 2개 초과의 서브-캐리어 리테이닝 벽 부재들(215)이 각각의 사분면에 위치될 수 있다. 2개 초과의 서브-캐리어 리테이닝 벽 부재들(215)이 각각의 사분면에 위치되는 실시예들에서, 서브-캐리어 리테이닝 벽 부재들(215) 중 몇몇은 교차할 수 있고, 다른 것들은 교차하지 않을 수 있다. 예컨대, 2개 초과의 서브-캐리어 리테이닝 벽 부재들(215)은 그리드(grid)를 형성할 수 있다. 도시된 바와 같이, 외부 벽들(223)의 내부 표면(225), 서브-캐리어 리테이닝 벽 부재들(215), 및 리테이닝 프레임 센터 바들(207)은, 서브-캐리어 리테이닝 표면(213)으로부터 연장되는 수직 에지들을 갖지만, 다른 실시예들에서, 에지들은 경사질 수 있다.
[0023] 도 2에서 도시된 바와 같이, 캐리어(101)는 16개의 서브-캐리어들(S)을 보유하도록 구성된다. 다른 실시예들에서, 캐리어(101)는 16개보다 더 적은 또는 더 많은 서브-캐리어들(S)을 홀딩하도록 구성될 수 있다. 예컨대, 일 실시예에서, 캐리어(101)는, 평면 어레이(planar array)로 한번에 약 30개까지의 서브-캐리어들(S)을 홀딩하도록 구성된다. 일 실시예에서, 캐리어(101)는, 평면 어레이로 한번에 약 2개 내지 약 4개의 서브-캐리어들(S)을 홀딩하도록 구성된다.
[0024] 몇몇 실시예들에서, 캐리어(101)는 서브-캐리어 리테이닝 벽 부재들(215)을 갖지 않는다. 다른 실시예들에서, 캐리어(101)는 리테이닝 프레임 센터 바들(207)을 갖지 않는다. 캐리어(101)가 리테이닝 프레임 센터 바들(207)을 갖지 않는 실시예들에서, 서브-캐리어 리테이닝 벽 부재들(215)은, 하나의 외부 벽(223)으로부터 대향하는 외부 벽(223)으로 연장될 수 있다. 몇몇 실시예들에서, 캐리어(101)는 완전히 평면인 상단 표면을 가지며; 즉, 캐리어(101)는, 외부 벽들(223), 리테이닝 프레임 센터 바들(207), 서브-캐리어 리테이닝 벽 부재들(215), 또는 서브-캐리어 리테이닝 리세스들(101A)을 갖지 않는다. 다른 실시예들에서, 캐리어(101)는 완전히 평면인 상단 표면을 갖고, 복수의 서브-캐리어 리세스들(101A)을 갖는다. 복수의 서브-캐리어 리세스들(101A)은, 약 125 mm 내지 약 156 mm x 약 125 mm 내지 약 156 mm의 측면 치수들을 가질 수 있다. 복수의 서브-캐리어 리세스들(101A)은 약 0.2 mm 내지 약 0.3 mm의 깊이를 가질 수 있다. 다른 실시예들에서, 복수의 서브-캐리어 리세스들(101A)의 치수들은 더 클 수 있거나 또는 더 작을 수 있다.
[0025] 도 2에서 도시된 바와 같이, 캐리어(101)는 정사각형이다. 다른 실시예들에서, 캐리어(101)는 직사각형일 수 있거나, 원형일 수 있거나, 또는 상이한 형상을 가질 수 있다. 도시된 바와 같이, 서브-캐리어 리테이닝 표면(213)은 실질적으로 평면이다. 몇몇 실시예들에서, 서브-캐리어 리테이닝 표면(213)은 오목하거나 또는 볼록하다. 캐리어(101)는, 알루미늄, 스테인리스 스틸, 그래파이트, 세라믹들, 탄소 섬유, 탄소 섬유 합성물, 다른 적합한 재료들, 또는 이들의 조합들로 제조될 수 있다. 캐리어(101)는 선택적으로, 그 위에 서브-캐리어들(S)을 보유하기 위해, 그로부터 연장되는 핀들 또는 돌기들(bosses)을 포함할 수 있다.
[0026] 도 3은, 기판(W)이 위에 위치된 전형적인 서브-캐리어(S)의 상면 투시도이다. 도시된 바와 같이, 서브-캐리어는 리테이닝 프레임(303) 및 복수의 기판-리테이닝 리세스들(301A)을 포함한다. 6개의 기판-리테이닝 리세스들(301A)이 도시되어 있지만, 다른 실시예들은 임의의 수의 기판-리테이닝 리세스들(301A)을 포함할 수 있다. 예컨대, 다른 실시예들은, 약 100개까지의 기판-리테이닝 리세스들(301A), 예컨대, 20개 내지 40개의 기판-리테이닝 리세스들(301A)을 포함할 수 있다. 다른 실시예들은 100개 초과의 기판-리테이닝 리세스들(301A)을 포함할 수 있다. 리테이닝 프레임(303) 내에 포함될 기판-리테이닝 리세스들(301A)의 수는, 예컨대, 기판 사이즈, 서브-캐리어 사이즈, 캐리어 사이즈, 프로세싱 챔버 사이즈, 기판 지지 표면 사이즈, 및 각각의 배치에서 프로세싱할 기판들(W)의 원하는 수에 따라 좌우될 것이다.
[0027] 기판-리테이닝 리세스들(301A)의 치수들은, 기판 리테이닝 리세스들(301A) 내에 위치될 기판들(W)의 치수들에 따라 좌우될 것이다. 기판 리테이닝 리세스들(301A)의 측면 치수들은 기판(W)의 측면 치수들보다 더 클 것이다. 예컨대, 기판-리테이닝 리세스(301A)의 각각의 측면 치수는, 기판(W)의 각각의 측면 치수보다 약 1 mm 더 클 수 있다. 기판-리테이닝 리세스들(301A)의 깊이는, 기판(W)의 두께보다 약 0.1 mm 내지 약 3 mm 더 깊을 수 있다.
[0028] 서브-캐리어(S)는, 알루미늄, 스테인리스 스틸, 그래파이트, 세라믹들, 탄소 섬유, 탄소 섬유 합성물, 다른 적합한 재료들, 또는 이들의 조합들로 제조될 수 있다. 서브-캐리어(S)는 선택적으로, 그 위에 기판(W)을 보유하기 위해, 그로부터 연장되는 핀들 또는 돌기들을 포함할 수 있다.
[0029] 일 실시예에서, 캐리어(101)는 그 위에 형성된 코팅을 갖는다. 코팅은, 리테이닝 프레임(203), 서브-캐리어 리테이닝 표면(213), 서브-캐리어 리테이닝 리세스들(101A), 외부 벽들(223), 선택적인 리테이닝 프레임 센터 바들(207), 선택적인 서브-캐리어 리테이닝 벽 부재들(215), 및/또는 캐리어(101)의 다른 표면들을 덮을 수 있다. 캐리어(101)의 코팅은 다이아몬드-라이크 탄소 코팅일 수 있다. 다이아몬드-라이크 탄소 코팅은, 탄소 원자들 사이에 sp3 및 sp2 결합들의 혼합을 갖는 고체 재료를 포함한다. 코팅의 두께는, 약 0.1 μm 내지 약 200 μm, 예컨대 약 0.5 μm 내지 약 20 μm, 예컨대 약 2 μm일 수 있다. 코팅의 두께는, 서브-캐리어 리테이닝 표면(213) 및 다른 표면들에 걸쳐 실질적으로 균일할 수 있다.
[0030] 몇몇 실시예들에서, 다이아몬드-라이크 탄소 코팅은 탄소 및 수소를 함유한다. 다른 실시예들에서, 다이아몬드-라이크 탄소 코팅은, 탄소 및 수소를 함유할 수 있고, 또한, 하나 또는 그 초과의 헤테로원자(heteroatom)들로 도핑될 수 있다. 도펀트 원자들의 포함은, 다이아몬드-라이크 탄소 코팅의 특성들이 튜닝되게(tuned) 허용한다. 하나 또는 그 초과의 헤테로원자들은, 예컨대, 질소, 붕소, 불소, 티타늄, 텅스텐, 크롬, 또는 이들의 조합들일 수 있다. N, B, F, Ti, W, 및 Cr 중 하나 또는 그 초과를 이용한 도핑은 다이아몬드-라이크 탄소 코팅의 전기적, 기계적, 열적, 또는 화학적 특성들을 개선할 수 있다. 예컨대, 질소 도펀트들은, 다이아몬드-라이크 탄소 코팅을, 순수 다이아몬드와 더 유사하게, 더 단단하게, 그리고 더 전도성이게 만들 수 있다. 붕소 도펀트들은, 다이아몬드-라이크 탄소 코팅을, 산화에 대해 더 저항하게, sp3 결합을 안정화시키게, 감소된 내부 응력을 갖게, 그리고 높은 경도(hardness), 낮은 마찰(friction) 및 마모(wear)를 보유하게 만들 수 있다. 불소 도펀트들은, 다이아몬드-라이크 탄소 코팅을, 더 단단하게, 화학 공격에 더 저항하게, 더 낮은 마찰 계수를 갖게(이는, 프로세싱 동안에 더 적은 입자가 생성되게 할 수 있음), 소수성(hydrophobic) 특성들을 개선하게, 그리고 수소 함유량 및 내부 응력을 감소시키게 만들 수 있다. 전형적인 실시예들에서, 다이아몬드-라이크 탄소 코팅은, 질소, 붕소, 불소, 티타늄, 텅스텐, 및 크롬으로 구성된 그룹으로부터 선택된 하나의 헤테로원자를 함유할 수 있고, 도펀트 원자의 몰 %는, 약 50 몰 %까지, 예컨대 약 10 몰 % 내지 약 40 몰 %, 예컨대 약 30 몰 %일 수 있다. 다른 실시예들에서, 다이아몬드-라이크 탄소 코팅은, 질소, 붕소, 불소, 티타늄, 텅스텐, 및 크롬으로 구성된 그룹으로부터 선택된 하나 초과의 헤테로원자를 함유할 수 있고, 도펀트 종들의 총 결합된 몰 %는, 약 50 몰 %까지, 예컨대 약 10 몰 % 내지 약 40 몰 %, 예컨대 약 30 몰 %일 수 있다.
[0031] 다이아몬드-라이크 탄소 코팅들의 특성들은 또한, 프로세싱 파라미터들에 기초하여 튜닝될 수 있다. 튜닝될 수 있는, 코팅들의 전형적인 특성들은, 밴드 갭(band gap), 굴절률(refractive index), 흡광 계수(extinction coefficient), 내부 응력(internal stress), 마찰 계수(coefficient of friction), 에칭 레이트(etch rate), 및 표면 경도를 포함한다. 예컨대, 수소 및 탄소를 포함하는 다이아몬드-라이크 탄소 코팅들의 전형적인 특성들은 다음과 같이 튜닝될 수 있다. 코팅들의 밴드 갭은, 약 0.9 eV 내지 약 4 eV로 튜닝될 수 있다. 밴드 갭은, 분광 타원 편광 분석(spectroscopic ellipsometry)에 의해 25 ℃에서 측정되었다. 굴절률은, 약 1.5 내지 약 2.3으로 튜닝될 수 있다. 굴절률은, 분광 타원 편광 분석에 의해 633 nm에서 측정되었다. 코팅들의 흡광 계수는, 약 0.01 내지 약 0.40으로 튜닝될 수 있다. 흡광 계수는, 분광 타원 편광 분석에 의해 400 nm에서 측정되었다. 코팅들의 내재성 응력(intrinsic stress)은, 약 -40 x 109 dyne/cm2 내지 약 1 x 109 dyne/cm2으로 튜닝될 수 있다. 내재성 응력은, KLA-Tencor Flexus 툴과 같은 막 응력 측정 시스템에 의해 측정되었다.
[0032] 탄소, 수소, 및 질소를 포함하는 다이아몬드-라이크 탄소 코팅들의 전형적인 특성들은 다음과 같이 튜닝될 수 있다. 밴드 갭은, 약 0.9 eV 내지 약 1.8 eV로 튜닝될 수 있다. 굴절률은, 약 1.8 내지 약 2.3으로 튜닝될 수 있다. 흡광 계수는, 약 0.2 내지 약 0.40으로 튜닝될 수 있다. 내부 응력은, 약 -32 x 109 dyne/cm2 내지 약 0.9 x 109 dyne/cm2으로 튜닝될 수 있다.
[0033] 본원에서 개시되는 다이아몬드-라이크 탄소 코팅들은 또한, 종래의 챔버 세정 프로세스들에 대한 높은 에칭 저항(resistance)을 가질 수 있으며, 이는, 캐리어들(101)이 더 긴 수명을 갖게 허용할 것이다. PV 셀의 제조는 일련의 프로세싱 스테이지들을 요구한다. 프로세싱 스테이지들 사이에서, 프로세싱 챔버(100)는, 예컨대 원격으로 생성된 NF3 플라즈마로 세정될 수 있다. 캐리어(101)는, 세정 프로세스 동안에, 프로세싱 챔버(100) 내에 위치될 수 있다. 따라서, NF3 플라즈마들과 같은 세정 플라즈마에 대한 낮은 에칭 저항을 갖는 캐리어(101)는 짧은 수명을 가질 것이다. 반대로, 종래의 세정 프로세스들에 대한 높은 에칭 저항을 갖는 캐리어(101)는 낮은 에칭 저항을 갖는 캐리어와 비교하여 증가된 수명을 가질 것이다. 캐리어들의 증가된 수명은, 캐리어들을 교체하는데 더 적은 시간 및 돈이 소모될 것이기 때문에, 처리량을 증가시킬 수 있고, 제조 설비들에 대한 소유 비용(cost of ownership)을 감소시킬 수 있다.
[0034] NF3 에칭 저항을 측정하기 위한 실험 조건들은 다음과 같을 수 있다. 프로세싱 챔버(100)와 같은 프로세싱 챔버의, 원격 플라즈마 소스(124)와 같은 원격 플라즈마 소스 내로 아르곤이 유동되었다. 그 후에, 원격 플라즈마 소스(124)에서 플라즈마가 점화되었다(ignited). 그 후에, 원격 플라즈마 소스(124) 내로 NF3가 유동되었고, 아르곤의 유동이 중단되었다. 기판 표면 면적 당 유량들은, 약 100 sccm/m2 내지 약 10,000 sccm/m2, 예컨대 약 5000 sccm/m2이었다. 약 6 kW의 RF 전력으로부터 원격 플라즈마 소스(124)에서 NF3로부터 플라즈마가 생성되었다. 그 후에, 원격 플라즈마 소스(124)에 의해 생성된 라디칼들은, 다이아몬드-라이크 탄소 코팅들을 갖는 테스트 캐리어들이 위치된 프로세싱 볼륨(106) 내로 유동되었다. 기판 지지부(130)가 약 200 ℃로 유지되고, 프로세싱 챔버(100)의 압력이 약 100 mTorr 내지 약 500 mTorr로 유지되면서, 에칭이 수행되었다. 간격은 약 1500 mil이었다. 테스트 캐리어들은 약 4300 cm2의 표면 면적을 가졌었다. 테스트 캐리어들의 에칭 레이트는 약 30 Å/hr만큼 낮았었다.
[0035] 위에서 나열된 특성들과 유사하게, NF3 플라즈마에 대한 캐리어의 에칭 레이트가 또한, 프로세싱 파라미터들 및 도핑에 기초하여 튜닝될 수 있다. 예컨대, NF3 플라즈마에 대한 에칭 레이트는 실질적으로 낮게 되도록 튜닝될 수 있다. 실질적으로 낮은 NF3 에칭 레이트는, 위에서 제시된 조건들에 의해 측정하여, 약 50 Å/hr 미만인 것으로 본원에서 정의된다. 탄소 및 수소를 포함하는 다이아몬드-라이크 탄소 코팅들의 에칭 레이트는, 약 30 Å/hr 내지 약 330 Å/hr로 튜닝될 수 있다. 에칭 레이트의 미세한(fine) 튜닝이 가능하다. 예컨대, 탄소 및 수소를 포함하는 다이아몬드-라이크 탄소 코팅들의 에칭 레이트는, 약 30 Å/hr 내지 약 50 Å/hr로 튜닝될 수 있다. 탄소, 수소, 및 질소를 포함하는 다이아몬드-라이크 탄소 코팅들이 마찬가지로, 약 4000 Å/min 초과와 같은, 실리콘 막들에 대한 높은 에칭 레이트를 여전히 가지면서, 실질적으로 낮은 NF3 에칭 레이트를 갖도록 튜닝될 수 있다.
[0036] 도 4는 캐리어(101) 상에 다이아몬드-라이크 탄소 코팅을 증착하기 위한 하나의 방법의 흐름도를 도시한다. 캐리어(101) 상에 다이아몬드-라이크 탄소 코팅을 증착하기 위한 방법은 다수의 스테이지들을 갖는다. 스테이지들은 임의의 순서로 또는 동시에 (문맥상 그 가능성이 배제되는 경우를 제외하고) 수행될 수 있고, 방법은, 정의된 스테이지들 중 임의의 스테이지 전에, 정의된 스테이지들 중 2개의 스테이지들 사이에, 또는 모든 정의된 스테이지들 후에, 수행되는 하나 또는 그 초과의 다른 스테이지들을 (문맥상 그 가능성이 배제되는 경우를 제외하고) 포함할 수 있다.
[0037] 스테이지(401)에서, 캐리어(101)는 프로세싱 챔버(100)에 위치되고, 예컨대, 기판 지지부(130)의 기판 수용 표면(132) 상에 위치된다. 예컨대, 캐리어(101)는, 서브-캐리어 리테이닝 표면(213)이 샤워헤드(110)를 향하고 있도록 위치될 수 있다. 캐리어(101)는 그 위에 위치된 서브-캐리어들(S)을 갖지 않을 수 있다. 대안적으로, 캐리어(101)는 그 위에 위치된 하나 또는 그 초과의 서브-캐리어들(S)을 가질 수 있다. 캐리어(101)가 서브-캐리어 리테이닝 리세스들(101A)을 갖는 몇몇 실시예들에서, 서브-캐리어 리테이닝 리세스들(101A) 중 적어도 하나는 그 위에 위치된 서브-캐리어(S)를 갖지 않는다. 다른 실시예들에서, 서브-캐리어 리테이닝 리세스들(101A) 중 적어도 절반은 그 위에 위치된 서브-캐리어(S)를 갖지 않는다. 몇몇 실시예들에서, 캐리어(101)는, 기판 수용 표면(132) 상에 위치되기 전에, 그 위에 코팅을 갖지 않는다. 예컨대, 캐리어(101)는, 기판 수용 표면(132) 상에 위치되기 전에, 그 위에 다이아몬드-라이크 탄소 코팅을 갖지 않을 수 있다. 대안적으로, 캐리어(101)는, 기판 수용 표면(132) 상에 위치되기 전에, 그 위에 다이아몬드-라이크 탄소 코팅 또는 다른 코팅을 가질 수 있다.
[0038] 선택적인 스테이지(402)에서, 프로세싱 챔버 조건들이 조정된다. 기판 지지부(130)의 온도는, 약 50 ℃ 내지 약 500 ℃, 예컨대 약 200 ℃ 내지 약 400 ℃, 예컨대 약 350 ℃로 유지될 수 있다. 대안적으로, 기판 지지부(130)는, 약 200 ℃ 또는 약 380 ℃로 유지될 수 있다. 프로세싱 챔버(100)의 압력은, 약 100 mTorr 내지 약 10000 mTorr, 예컨대 약 500 mTorr 내지 약 5000 mTorr로 유지될 수 있다. 다른 실시예들에서, 프로세싱 챔버(100)의 압력은, 약 200 mTorr 내지 약 750 mTorr로 유지될 수 있다. 간격은, 약 400 mil 내지 약 1200 mil, 예컨대 약 600 mil 내지 약 1000 mil, 예컨대 약 800 mil일 수 있다. 몇몇 실시예들에서, 프로세싱 챔버 조건들은, 프로세싱 챔버(100)에 캐리어(101)를 위치시키기 전에 조정될 수 있다.
[0039] 스테이지(403)에서, 프로세싱 챔버(100) 내로 프로세싱 가스들이 유동된다. 프로세싱 가스들은, 예컨대, 탄소-함유 가스들, 도펀트 가스들, 및 비활성 가스들을 포함할 수 있다. 가스 소스(120)로부터 프로세스 볼륨(106) 내로 탄소-함유 가스가 유동된다. 탄소-함유 가스는, 하나 또는 그 초과의 탄화수소 가스들, 예컨대 하나 또는 그 초과의 알칸들, 하나 또는 그 초과의 알켄들, 하나 또는 그 초과의 알킨들, 하나 또는 그 초과의 방향족 탄화수소들, 또는 이들의 조합들을 포함할 수 있다. 전형적인 알칸들은, 메탄, 에탄, 프로판, 이소부탄, 시클로펜탄, 시클로헥산, 및 메틸시클로헥산(methylcylohexane)을 포함한다. 전형적인 알켄들은, 에틸렌, 프로필렌, 1-부텐, (Z)-2-부텐, (E)-2-부텐, 이소부틸렌, 및 시클로헥센을 포함한다. 전형적인 알킨들은, 아세틸렌, 프로핀, 및 1-부틴을 포함한다. 전형적인 방향족 탄화수소들은, 벤젠, 나프탈렌, 톨루엔, 및 크실렌을 포함한다. 탄소-함유 가스는, 약 500 sccm/m2 내지 약 5000 sccm/m2, 예컨대 약 2000 sccm/m2의, 캐리어 표면 면적 당 유량으로 유동될 수 있다.
[0040] 도펀트 가스가 선택적으로, 가스 소스(120)로부터 프로세스 볼륨(106) 내로 유동될 수 있다. 도펀트 가스는, 질소 원자들, 붕소 원자들, 불소 원자들, 티타늄 원자들, 텅스텐 원자들, 크롬 원자들, 다른 원자들, 또는 이들의 조합들을 함유할 수 있다. 전형적인 질소 도펀트 가스들은, 질소, 암모니아, 및 하이드라진(hydrazine)을 포함한다. 전형적인 붕소 도펀트 가스들은, 디보란, 트리메틸 붕소, 및 삼플루오르화 붕소를 포함한다. 전형적인 불소 도펀트들은, NF3, SF6, SF4, F2, CF4, 및 CF2F6를 포함한다. 전형적인 티타늄 도펀트 가스들은, 티타늄 이소프로폭사이드(titanium isopropoxide)(Ti[OCH2CH3]4)를 포함한다. 다른 실시예들에서, 탄소-함유 가스는 또한, 인시튜(in situ) 도핑 프로세스에서와 같이, 도펀트 원자를 함유할 수 있다. 예컨대, 메틸아민(methylamine) 또는 트리메틸아민(trimethylamine)이 질소로 도핑하기 위해 사용될 수 있다. 도펀트 가스는, 약 180 sccm/m2 내지 약 2000 sccm/m2, 예컨대 약 500 sccm/m2의, 캐리어 표면 면적 당 유량으로 유동될 수 있다. 다른 실시예들에서, 다이아몬드-라이크 탄소 코팅은, 예컨대 이온 주입 프로세스 또는 확산 프로세스에 의해, 증착 후에 도핑될 수 있다.
[0041] 가스 소스(120)로부터 프로세스 볼륨(106) 내로 비활성 가스가 또한 유동될 수 있다. 비활성 가스는, 아르곤, 수소, 헬륨, 네온, 다른 적합한 가스들, 또는 이들의 조합들일 수 있다. 비활성 가스는, 약 500 sccm/m2 내지 약 10000 sccm/m2, 예컨대 약 4000 sccm/m2의, 기판 표면 면적 당 유량으로 유동될 수 있다.
[0042] 몇몇 실시예들에서, 프로세싱 챔버(100) 내로 유동하는 가스들의 혼합물은, 비활성 가스 또는 가스들 및 탄소-함유 가스 또는 가스들만을 포함한다. 다른 실시예들에서, 프로세싱 챔버(100) 내로 유동하는 가스들의 혼합물은 본질적으로, 탄소-함유 가스 또는 가스들 및 비활성 가스 또는 가스들로 구성된다. 몇몇 실시예들에서, 프로세싱 챔버(100) 내로 유동하는 가스들의 혼합물은, 탄소-함유 가스 또는 가스들, 비활성 가스 또는 가스들, 및 도펀트 가스 또는 가스들만을 포함한다. 다른 실시예들에서, 프로세싱 챔버(100) 내로 유동하는 가스들의 혼합물은 본질적으로, 탄소-함유 가스 또는 가스들, 비활성 가스 또는 가스들, 및 도펀트 가스 또는 가스들로 구성된다. 다른 실시예들에서, 탄소-함유 가스 또는 가스들, 도펀트 가스 또는 가스들, 및 비활성 가스 또는 가스들 이외의 가스들이 프로세싱 챔버(100) 내로 유동될 수 있다.
[0043] 스테이지(404)에서, 캐리어(101) 상에 다이아몬드-라이크 탄소 코팅이 증착된다. 일 실시예에서, 전력 소스(122)는, 배킹 플레이트(112)를 통해 샤워헤드(110)에, 무선 주파수(RF) 전력 또는 VHF(very high frequency) 전력을 제공한다. RF 전력은, 예컨대, 약 13.56 MHz의 주파수를 가질 수 있다. VHF 전력은, 예컨대, 약 20 MHz 내지 약 150 MHz, 예컨대 약 27 MHz, 또는 약 40 MHz의 주파수를 가질 수 있다. 다른 실시예들에서, VHF 전력은, 약 40 NHz보다 더 높을 수 있다. 인가되는 전력은, 약 0.2 W/cm2 내지 약 1.0 W/cm2일 수 있다. 인가되는 전력은, 프로세스 볼륨(106)에서 유동되는 가스들로부터 프로세스 볼륨(106)에서 플라즈마를 점화시킬 수 있다. 플라즈마는 프로세스 볼륨(106)에서의 가스들을 활성화시킬 수 있다. 선택적인 도펀트 가스들 및/또는 탄소-함유 가스들의 화학 결합들은, 점화된 플라즈마에 의해 생성된 활성 종들 및/또는 인가된 전력에 의해 해리될 수 있다. 도펀트 가스가 사용되는 실시예들에서, 탄소-함유 가스는, 도펀트 가스의 헤테로원자와 탄소-함유 가스의 탄소 원자 사이의 결합을 형성하도록 반응할 수 있다. 해리된 및/또는 활성화된 종들은, 캐리어(101) 상에 다이아몬드-라이크 탄소 코팅을 증착하도록 결합될 수 있다. 예컨대, 다이아몬드-라이크 탄소 코팅은 캐리어(101) 상에 블랭킷 증착될(blanket deposited) 수 있다. 다이아몬드-라이크 탄소 코팅은 캐리어(101) 위에 등각적으로(conformally) 증착될 수 있다. 전력은, 다이아몬드-라이크 탄소 코팅이 원하는 두께에 도달할 때까지 계속 인가될 수 있다. 예컨대, 전력은, 코팅의 두께가 약 0.1 μm 내지 약 200 μm, 예컨대 약 0.5 μm 내지 약 20 μm, 예컨대 약 2 μm일 때까지 계속 인가될 수 있다. 다이아몬드-라이크 탄소 코팅이 원하는 두께로 증착된 후에, 프로세싱 챔버(100)로부터 캐리어(101)가 제거될 수 있다.
[0044] 대안적인 실시예에서, 플라즈마는, 원격 플라즈마 소스(124)와 같은 원격 플라즈마 소스에서 비활성 가스로부터 생성될 수 있고, 그 후에, 다이아몬드-라이크 탄소 코팅을 증착하도록, 프로세스 볼륨(106) 내로 반응성 종들이 유동될 수 있다. 다른 실시예들에서, 플라즈마는, 다른 방법들에 의해, 예컨대 유도성 커플링된 플라즈마 소스에 의해, 또는 마이크로파 생성기에 의해 생성될 수 있다.
[0045] 위에서 언급된 바와 같이, 다이아몬드-라이크 탄소 코팅의 특성들은, 프로세싱 조건들을 변화시킴으로써 튜닝될 수 있다. 예컨대, 약 2000 sccm/m2의 유량으로 탄소-함유 가스로서 CH4를 사용하고, 약 4000 sccm/m2의 유량으로 비활성 가스로서 아르곤을 사용하여 증착되는 다이아몬드-라이크 탄소 코팅들은, 다음의 조건들에 따라 증착되는 경우에, 다음의 특성들을 갖는다. 아래에서 설명되는 특성들은, 위에서 설명된 기법들을 사용하여 결정되었다. 압력이 200 mTorr이었고, 인가되는 전력이 1.2 kW이었고, 기판 지지부 온도가 200 ℃이었던 경우에, 증착 레이트는 약 60 Å/min이었고; 밴드 갭은 1.8 eV이었고; (633 nm에서 측정된) 굴절률은 약 2.0이었고; (400 nm에서 측정된) 흡광 계수는 약 0.24이었고; 내부 응력은 약 - 10.7 x 109 dyne/cm2이었고; NF3 에칭 레이트는 약 330 Å/hr이었다.
[0046] 압력이 9 Torr이었고, 인가되는 전력이 3 kW이었고, 기판 지지부 온도가 200 ℃이었던 경우에; 증착 레이트는 약 460 Å/min이었고; 밴드 갭은 약 3.8 eV이었고; (633 nm에서 측정된) 굴절률은 약 1.5이었고, (400 nm에서 측정된) 흡광 계수는 약 0.006이었고; 내부 응력은 약 0.19 x 109 dyne/cm2이었다.
[0047] 압력이 200 mTorr이었고, 인가되는 전력이 1.2 kW이었고, 기판 지지부 온도가 380 ℃이었던 경우에; 증착 레이트는 약 30 Å/min이었고; 밴드 갭은 약 1.6 eV이었고; (633 nm에서 측정된) 굴절률은 약 2.2이었고; (400 nm에서 측정된) 흡광 계수는 약 0.30이었고; 내부 응력은 약 - 32 x 109 dyne/cm2이었고; NF3 에칭 레이트는 약 150 Å/hr이었다.
[0048] 압력이 750 mTorr이었고, 인가되는 전력이 1.6 kW이었고, 기판 지지부 온도가 380 ℃이었던 경우에; 증착 레이트는 약 30 Å/min이었고; 밴드 갭은 약 1.5 eV이었고; (633 nm에서 측정된) 굴절률은 약 2.1이었고; (400 nm에서 측정된) 흡광 계수는 약 0.40이었고; 내부 응력은 약 - 30 x 109 dyne/cm2이었고; NF3 에칭 레이트는 약 30 Å/hr이었다.
[0049] 압력이 9 Torr이었고, 인가되는 전력이 3 kW이었고, 기판 지지부 온도가 380 ℃이었던 경우에; 증착 레이트는 약 140 Å/min이었고; 밴드 갭은 약 1.6 eV이었고; (633 nm에서 측정된) 굴절률은 약 2.1이었고; (400 nm에서 측정된) 흡광 계수는 약 0.30이었고; 내부 응력은 약 0.18 x 109 dyne/cm2이었다.
[0050] 압력이 5 Torr이었고, 인가되는 전력이 3 kW이었고, 기판 지지부 온도가 380 ℃이었던 경우에; 증착 레이트는 약 520 Å/min이었고; 밴드 갭은 약 1.7 eV이었고; (633 nm에서 측정된) 굴절률은 약 1.8이었고; (400 nm에서 측정된) 흡광 계수는 약 0.21이었고; 내부 응력은 약 0.26 x 109 dyne/cm2이었다.
[0051] 4000 sccm/m2의 유량으로 탄소-함유 가스로서 CH4를 사용하고, 1500 sccm/m2의 유량으로 도펀트 가스로서 질소를 사용하고, 8000 sccm/m2의 유량으로 비활성 가스로서 아르곤을 사용하여 증착된 질소-도핑된 다이아몬드-라이크 탄소 코팅에서, 다음의 조건들로부터 다음의 특성들이 획득되었다. 압력이 750 mTorr이었고, 인가되는 전력이 1.6 kW이었고, 기판 지지부 온도가 380 ℃이었던 경우에; 증착 레이트는 약 14 Å/min이었고; 밴드 갭은 약 1.7 eV이었고; (633 nm에서 측정된) 굴절률은 약 2.3이었고; (400 nm에서 측정된) 흡광 계수는 약 0.40이었고; 내부 응력은 약 - 30 x 109 dyne/cm2이었고; NF3 에칭 레이트는 약 50 Å/hr이었다. 압력이 5 Torr이었고, 인가되는 전력이 3 kW이었고, 기판 지지부 온도가 380 ℃이었던 경우에; 증착 레이트는 약 60 Å/min이었고; 밴드 갭은 약 0.92 eV이었고; (633 nm에서 측정된) 굴절률은 약 1.8이었고; (400 nm에서 측정된) 흡광 계수는 약 0.32이었고; 내부 응력은 약 0.89 x 109 dyne/cm2이었다.
[0052] 선택적인 스테이지(405)에서, 프로세싱 챔버 벽들 또는 컴포넌트들 상에 형성되었을 수 있는 임의의 다이아몬드-라이크 탄소 증착물들을 제거하기 위해, 프로세싱 챔버(100)에서, 세정 프로세스가 수행될 수 있다. 세정 프로세스는, 프로세싱 챔버(100)로부터 캐리어(101)가 제거된 후에 수행될 수 있다. 대안적으로, 세정 프로세스는, 캐리어(101)가 프로세싱 챔버(100)에 남아있으면서 수행될 수 있다.
[0053] 세정 프로세스 동안에, 프로세싱 챔버 조건들이 조정될 수 있다. 예컨대, 기판 지지부(130)의 온도는, 약 100 ℃ 내지 약 500 ℃, 예컨대 약 200 ℃ 내지 약 400 ℃, 예컨대 약 300 ℃로 유지될 수 있다. 프로세싱 챔버(100)의 압력은, 약 100 mTorr 내지 약 1000 mTorr, 예컨대 약 200 mTorr 내지 약 500 mTorr, 예컨대 약 250 mTorr로 유지될 수 있다. 간격은, 약 1000 mil 내지 약 2000 mil, 예컨대 약 1200 mil 내지 약 1600 mil, 예컨대 약 1500 mil일 수 있다. 다른 실시예들에서, 간격은, 약 4000 mil 내지 약 5000 mil, 예컨대 약 4200 mil 내지 약 4800 mil, 예컨대 약 4500 mil일 수 있다.
[0054] 세정 프로세스 동안에, 가스들은, 원격 플라즈마 소스(124) 내로 유동될 수 있고, 그 후에, 프로세싱 챔버(100)의 프로세스 볼륨(106) 내로 유동될 수 있다. 예컨대, N2O, NF3, Ar, N2, 및 O2 중 하나 또는 그 초과가 원격 플라즈마 소스(124) 내로 유동될 수 있다. 일 실시예에서, 가스 소스(120)로부터 원격 플라즈마 소스(124) 내로 N2O, NF3, Ar, 및 N2의 혼합물이 유동된다. 144 리터의 챔버 볼륨을 갖는 실시예에서, 유량들은 다음과 같을 수 있다. N2O는, 약 1 sccm/liter 내지 약 50 sccm/liter, 예컨대 약 10 sccm/liter의, 프로세싱 챔버 볼륨 당 유량으로, 가스 소스(120)로부터 원격 플라즈마 소스(124) 내로 유동될 수 있다. NF3는 또한, 약 1 sccm/liter 내지 약 30 sccm/liter, 예컨대 약 3 sccm/liter의, 프로세싱 챔버 볼륨 당 유량으로, 가스 소스(120)로부터 원격 플라즈마 소스(124) 내로 유동될 수 있다. 아르곤은 또한, 약 1 sccm/liter 내지 약 30 sccm/liter, 예컨대 약 5 sccm/liter의, 프로세싱 챔버 볼륨 당 유량으로, 가스 소스(120)로부터 원격 플라즈마 소스(124) 내로 유동될 수 있다. N2는 또한, 약 1 sccm/liter 내지 약 30 sccm/liter, 예컨대 약 5 sccm/liter의, 프로세싱 챔버 볼륨 당 유량으로, 가스 소스(120)로부터 원격 플라즈마 소스(124) 내로 유동될 수 있다. 부가적인 가스들이 또한, 원격 플라즈마 소스(124) 내로 유동될 수 있다.
[0055] 다른 실시예에서, O2가 N2O에 부가하여 또는 N2O 대신에 사용될 수 있다. 예컨대, O2는, 약 1 sccm/liter 내지 약 50 sccm/liter, 예컨대 약 10 sccm/liter의, 프로세싱 챔버 볼륨 당 유량으로, 가스 소스(120)로부터 원격 플라즈마 소스(124) 내로 유동될 수 있다.
[0056] 세정 프로세스를 수행하기 위해 반응성 종들을 생성하기 위하여, 전력 소스(미도시)로부터 원격 플라즈마 소스(124)에 전력이 인가될 수 있다. 예컨대, 원격 플라즈마 소스에 인가되는 전력은, 약 4 kW 내지 약 8 kW, 예컨대 약 5 kW 내지 약 7 kW, 예컨대 약 6 kW일 수 있다.
[0057] 전력 소스(122)에 의해 샤워헤드(110)에 RF 전력이 또한 인가될 수 있다. 전력 소스(122)는, 예컨대, 약 13.56 MHz로 RF 전력을 공급할 수 있다. 인가되는 RF 전력은, 약 1 kW 내지 약 2 kW, 예컨대 약 1.5 kW일 수 있다. 다른 실시예에서, 전력은, 2 kW 내지 약 4 kW, 예컨대 약 3 kW일 수 있다. 예컨대, 간격이 약 1500 mil인 경우에, 인가되는 RF 전력은 약 1.5 kW일 수 있다. 다른 예에서, 간격이 약 4500 mil인 경우에, 인가되는 전력은 약 3 kW일 수 있다. 다른 실시예에서, RF 전력은, 샤워헤드(110)에 인가되는 것 대신에, 또는 샤워헤드(110)에 인가되는 것에 부가하여, 배킹 플레이트(112)에 인가된다.
[0058] 본원에서 개시되는 세정 프로세스의 실시예들은, 프로세싱 챔버(100)의 컴포넌트들 및 프로세싱 챔버(100)의 벽들 상에 형성된 다이아몬드-라이크 탄소 증착물들에 대한 매우 높은 에칭 레이트를 보였다. 일 실시예의 측정된 에칭 레이트는 약 4400 Å/minute 초과이다. NF3만을 사용하거나 또는 NF3 및 아르곤만을 사용하는 프로세스들과 비교하여, 측정된 실시예의 에칭 레이트는, 각각, 약 3500 배 더 빠르고, 약 4 배 더 빠르다.
[0059] 대안적인 실시예들에서, 캐리어(101)로부터 다이아몬드-라이크 탄소 코팅을 제거하기 위해, 세정 프로세스가 사용된다. 몇몇 실시예들에서, 다이아몬드-라이크 탄소 코팅이 제거된 후에, 새로운 다이아몬드-라이크 탄소 코팅이 캐리어(101)에 적용될(applied) 수 있다. 다른 실시예들에서, 다이아몬드-라이크 탄소 코팅이 제거된 후에, 상이한 코팅이 캐리어(101)에 적용될 수 있다. 몇몇 실시예들에서, 본원에서 개시되는 세정 프로세스는, 기판 캐리어(101) 상에 다이아몬드-라이크 탄소 코팅을 증착하는 것 이외의 프로세스들로부터의, 프로세싱 챔버(100)의 벽들 상에 형성된 다이아몬드-라이크 탄소 증착물들을 세정하기 위해 사용된다.
[0060] 이전에 설명된 실시예들은 다음의 것들을 포함하는 다수의 이점들을 갖는다. 다이아몬드-라이크 탄소 코팅들은, 기판들을 프로세싱하기 위해 사용되는 것과 동일한 프로세싱 챔버들에서 캐리어들 상에 증착될 수 있다. 다이아몬드-라이크 탄소 코팅들은, 기판들을 프로세싱하는 동안에 캐리어들이 노출될 수 있는 NF3 플라즈마에 대한 매우 높은 에칭 저항을 갖는다. NF3 에칭 저항은 캐리어들의 수명이 극적으로 증가되게 한다. 다아이몬드-라이크 탄소 코팅들은 매우 낮은 마찰 계수 및 매우 높은 표면 경도를 갖고, 이는, 최소의 웨이퍼 표면 손상, 낮은 입자 생성, 및 높은 마모 저항을 야기할 것이다. 프로세싱 조건들을 변화시키는 것 및/또는 도핑을 통해, 다이아몬드-라이크 탄소 코팅들의 전기적, 기계적, 열적, 및 화학적 특성들이 쉽게 튜닝될 수 있다. 더욱이, 그래파이트 캐리어들과 같은 종래의 캐리어들 위에 다이아몬드-라이크 탄소 코팅을 증착함으로써, 프로세싱 동안에 생성되는 입자들이 감소될 수 있다. 부가적으로, 다공성(porous) 또는 다른 캐리어 위에 다이아몬드-라이크 탄소 코팅을 증착함으로써, 증착 프로세스들 동안의 캐리어의 아웃개싱(outgassing)이 감소될 수 있다. 본원에서 개시되는 실시예들은 또한, 프로세싱 챔버 벽들, 프로세싱 챔버 컴포넌트들, 기판 캐리어들, 및 다른 물체들로부터의 다이아몬드-라이크 탄소 코팅들의 신속한 제거를 허용한다. 전술된 이점들은 예시적인 것이고 제한적이지 않다. 모든 실시예들이 모든 이점들을 가져야 할 필요는 없다.
[0061] 전술한 바가 본 개시의 실시예들에 관한 것이지만, 본 개시의 다른 그리고 추가적인 실시예들이, 본 고안의 기본적인 범위로부터 벗어나지 않고 고안될 수 있으며, 본 고안의 범위는 다음의 청구항들에 의해 결정된다.

Claims (12)

  1. 기판 캐리어로서,
    리테이닝(retaining) 프레임;
    서브-캐리어 리테이닝 표면;
    하나 또는 그 초과의 서브-캐리어들을 측면에서(laterally) 보유(retain)하도록 구성된 적어도 하나의 서브-캐리어 리테이닝 리세스(recess); 및
    상기 서브-캐리어 리테이닝 표면 상에 형성된 다이아몬드-라이크 탄소 코팅(diamond-like carbon coating)
    을 포함하는,
    기판 캐리어.
  2. 제 1 항에 있어서,
    상기 다이아몬드-라이크 탄소 코팅은, 약 0.1 μm 내지 약 200 μm의 두께를 갖는,
    기판 캐리어.
  3. 제 2 항에 있어서,
    상기 기판 캐리어는, 적어도 하나의 리테이닝 프레임 센터 바(center bar)를 포함하는,
    기판 캐리어.
  4. 제 2 항에 있어서,
    상기 다이아몬드-라이크 탄소 코팅의 두께는, 상기 서브-캐리어 리테이닝 표면에 걸쳐 실질적으로 균일한,
    기판 캐리어.
  5. 제 2 항에 있어서,
    상기 다이아몬드-라이크 탄소 코팅은, 붕소, 질소, 불소, 티타늄, 텅스텐, 크롬, 및 이들의 조합들로 구성된 그룹으로부터 선택된 도펀트 원자들을 포함하고, 도펀트들의 몰 %(molar %)는 약 30 몰 %까지인,
    기판 캐리어.
  6. 제 2 항에 있어서,
    상기 다이아몬드-라이크 탄소 코팅은, 약 30 몰 %까지의 붕소를 포함하는,
    기판 캐리어.
  7. 제 2 항에 있어서,
    상기 다이아몬드-라이크 탄소 코팅은, 약 30 몰 %까지의 티타늄을 포함하는,
    기판 캐리어.
  8. 제 2 항에 있어서,
    상기 다이아몬드-라이크 탄소 코팅은, 약 30 몰 %까지의 질소를 포함하는,
    기판 캐리어.
  9. 제 2 항에 있어서,
    상기 다이아몬드-라이크 탄소 코팅은, 약 30 몰 %까지의 불소를 포함하는,
    기판 캐리어.
  10. 제 1 항에 있어서,
    하나 또는 그 초과의 서브-캐리어들을 더 포함하는,
    기판 캐리어.
  11. 제 10 항에 있어서,
    상기 하나 또는 그 초과의 서브-캐리어들은, 하나 또는 그 초과의 리테이닝 프레임들, 및 하나 또는 그 초과의 기판 리테이닝 리세스들을 포함하는,
    기판 캐리어.
  12. 제 10 항에 있어서,
    상기 하나 또는 그 초과의 서브-캐리어들은, 알루미늄, 스테인리스 스틸, 그래파이트(graphite), 세라믹(ceramics)들, 탄소 섬유, 탄소 섬유 합성물, 다른 적합한 재료들, 또는 이들의 조합들로 구성된 그룹으로부터 선택된 재료로 제조되는,
    기판 캐리어.
KR2020150003172U 2014-05-19 2015-05-18 기판 캐리어들을 위한 다이아몬드-라이크 탄소 코팅들 KR20150004261U (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462000376P 2014-05-19 2014-05-19
US62/000,376 2014-05-19
US14/698,395 US20150333213A1 (en) 2014-05-19 2015-04-28 Diamond-like carbon coatings for substrate carriers
US14/698,395 2015-04-28

Publications (1)

Publication Number Publication Date
KR20150004261U true KR20150004261U (ko) 2015-11-27

Family

ID=54539213

Family Applications (1)

Application Number Title Priority Date Filing Date
KR2020150003172U KR20150004261U (ko) 2014-05-19 2015-05-18 기판 캐리어들을 위한 다이아몬드-라이크 탄소 코팅들

Country Status (4)

Country Link
US (1) US20150333213A1 (ko)
KR (1) KR20150004261U (ko)
CN (1) CN204834654U (ko)
TW (1) TWM525544U (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10784100B2 (en) * 2016-07-21 2020-09-22 Tokyo Electron Limited Back-side friction reduction of a substrate
CN109994351B (zh) * 2018-01-02 2021-07-13 台湾积体电路制造股份有限公司 离子布植机及离子布植机腔室的制造方法
CN113924387A (zh) 2019-05-22 2022-01-11 应用材料公司 用于高温腐蚀环境的基板支承件盖
JP7477652B2 (ja) * 2020-06-08 2024-05-01 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置用基板ホルダ及び基板ホルダの製造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2040569B (en) * 1978-12-26 1983-09-01 Murata Manufacturing Co Chip-like electronic component series and method for supplying chip-like electronic components
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US8557093B2 (en) * 2007-03-22 2013-10-15 Sunpower Corporation Deposition system with electrically isolated pallet and anode assemblies
JP2011225949A (ja) * 2010-04-21 2011-11-10 Ibiden Co Ltd 炭素部品および炭素部品の製造方法
US9534476B2 (en) * 2013-11-26 2017-01-03 Baker Hughes Incorporated Scale-inhibiting coating

Also Published As

Publication number Publication date
US20150333213A1 (en) 2015-11-19
CN204834654U (zh) 2015-12-02
TWM525544U (zh) 2016-07-11

Similar Documents

Publication Publication Date Title
CN111524788B (zh) 氧化硅的拓扑选择性膜形成的方法
US11004689B2 (en) Thermal silicon etch
Koizumi et al. Growth and characterization of phosphorous doped {111} homoepitaxial diamond thin films
US20090297731A1 (en) Apparatus and method for improving production throughput in cvd chamber
EP0179665B1 (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US7741144B2 (en) Plasma treatment between deposition processes
Kakiuchi et al. Atmospheric-pressure low-temperature plasma processes for thin film deposition
CN111564405B (zh) 用于处理基板的方法
KR20150004261U (ko) 기판 캐리어들을 위한 다이아몬드-라이크 탄소 코팅들
JP5865796B2 (ja) エピタキシャル成長装置および炭化珪素エピタキシャルウエハ製造方法
JPH02239623A (ja) 安定化層及びその製法
Jiang et al. The influence of methane flow rate on microstructure and surface morphology of a-SiC: H thin films prepared by plasma enhanced chemical vapor deposition technique
KR20160137746A (ko) 기판 제조 장치, 및 그의 탄소 보호막 코팅 방법
CN105244255A (zh) 一种碳化硅外延材料及其生产方法
Tae et al. Low‐temperature silicon homoepitaxy by ultrahigh vacuum electron cyclotron resonance chemical vapor deposition
US20120295394A1 (en) Method for rear point contact fabrication for solar cells
JP6858384B2 (ja) 単結晶ダイヤモンドおよびそれを用いた半導体素子
JP3198796U (ja) 基板キャリアのためのダイヤモンドライクカーボンコーティング
Gazuz et al. Dry processing of silicon solar cells in a large area microwave plasma reactor
Zhang et al. Influence of geometry factors of in situ dc glow discharge on the diamond nucleation in a hot-filament chemical vapor deposition system
Mauskar et al. Highly conductive silicon films via plasma‐enhanced chemical vapor deposition at low temperatures
US20230367339A1 (en) Methods for preparing void-free coatings for plasma treatment components
JPS6062113A (ja) プラズマcvd装置
JP3039880B2 (ja) 炭素膜形成方法
Koizumi N-type diamond growth

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application