KR20140044445A - Method for fabricating a semiconductor device - Google Patents

Method for fabricating a semiconductor device Download PDF

Info

Publication number
KR20140044445A
KR20140044445A KR1020120110400A KR20120110400A KR20140044445A KR 20140044445 A KR20140044445 A KR 20140044445A KR 1020120110400 A KR1020120110400 A KR 1020120110400A KR 20120110400 A KR20120110400 A KR 20120110400A KR 20140044445 A KR20140044445 A KR 20140044445A
Authority
KR
South Korea
Prior art keywords
forming
silicon oxide
pattern
bit line
contact hole
Prior art date
Application number
KR1020120110400A
Other languages
Korean (ko)
Inventor
김근남
염계희
박선영
장현우
정진원
조창현
홍형선
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020120110400A priority Critical patent/KR20140044445A/en
Publication of KR20140044445A publication Critical patent/KR20140044445A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Abstract

The present invention relates to a method for manufacturing a semiconductor device comprising a step for etching the central part of active areas and forming a bit line contact area which is positioned less than the flat upper surface of a substrate; a step for forming a bit line structure on the bit line contact area; a step for forming a column silicon oxide film pattern which is touched to the upper surface of the edge part of the active area and the upper surface of a device separation film pattern; a step for filling both sides of the column silicon oxide film pattern and forming an interlayer insulating film by using an insulating material having the silicon oxide film pattern and an etching selective ratio; a step for forming a preparative contact hole by selectively removing the silicon oxide film pattern; a step for forming a contact hole which exposes the upper surface and a side of the edge part of the both sides of the active area by removing the device separation film pattern of the preparative contact hole; and a step for forming contact by filling a conductive material inside the contact hole. The present invention is provided to manufacture the semiconductor device comprising the contact having low resistance by increasing a contact area.

Description

반도체 소자의 제조 방법{METHOD FOR FABRICATING A SEMICONDUCTOR DEVICE}METHOD FOR FABRICATING A SEMICONDUCTOR DEVICE [0002]

본 발명은 반도체 소자의 제조 방법에 관한 것이다. 보다 상세하게는, 고집적화된 디램 소자의 제조 방법에 관한 것이다. The present invention relates to a method of manufacturing a semiconductor device. More particularly, the present invention relates to a method for manufacturing a highly integrated DRAM device.

최근의 디램 등의 반도체 소자가 고집적화되고 있다. 이러한 집적도의 증가로 인해, 콘택의 접촉 면적이 감소되어 콘택 저항이 증가되고 있다. 이러한 콘택 저항의 증가로 인해, 반도체 소자는 사용자가 원하는 우수한 전기적 특성을 갖기가 어려워지고 있다. 따라서, 고집적화되면서도 우수한 전기적 특성을 갖는 반도체 소자의 제조 방법이 요구되고 있다. Recent semiconductor devices such as DRAM have been highly integrated. Due to this increase in the degree of integration, the contact area of the contact is reduced and the contact resistance is increasing. Due to such an increase in contact resistance, it is difficult for a semiconductor device to have excellent electrical characteristics desired by a user. Therefore, there is a need for a method of manufacturing a semiconductor device having high integration and excellent electrical characteristics.

본 발명의 목적은 콘택 접촉 면적이 증가되는 구조의 반도체 소자의 제조 방법을 제공하는데 있다. An object of the present invention is to provide a method for manufacturing a semiconductor device having a structure in which the contact contact area is increased.

상기한 목적을 달성하기 위한 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법으로, 기판 내에 소자 분리막 패턴을 형성하여, 고립된 형상을 갖는 액티브 영역들을 형성한다. 상기 액티브 영역들의 중심 부위를 식각하여 상기 기판의 평탄한 상부면보다 낮게 위치하는 비트 라인 콘택 영역을 형성한다. 상기 비트 라인 콘택 영역 상에 비트 라인 구조물을 형성한다. 상기 액티브 영역의 가장자리 상부면 및 이와 인접하는 소자 분리막 패턴 상부면과 접촉하는 기둥 형상의 실리콘 산화막 패턴을 형성한다. 상기 기둥 형상의 실리콘 산화막 패턴의 양 측 부위를 채우면서 상기 실리콘 산화막 패턴을 둘러싸는 형상을 갖고, 상기 실리콘 산화막 패턴과 식각 선택비를 갖는 절연 물질을 사용하여 층간 절연막을 형성한다. 상기 실리콘 산화막 패턴을 선택적으로 제거하여 예비 콘택홀을 형성한다. 상기 예비 콘택홀 하부의 소자 분리막 패턴을 제거하여 상기 액티브 영역의 양 측 가장자리 상부면 및 측벽을 함께 노출하는 콘택홀을 형성한다. 또한, 상기 콘택홀 내부에 도전 물질을 채워넣어 콘택을 형성한다. In a method of manufacturing a semiconductor device according to an embodiment of the present invention for achieving the above object, an isolation pattern is formed in a substrate to form active regions having an isolated shape. The center portion of the active regions is etched to form a bit line contact region located below the flat top surface of the substrate. A bit line structure is formed on the bit line contact region. A pillar-shaped silicon oxide film pattern is formed to contact the upper surface of the edge of the active region and the upper surface of the device isolation layer pattern adjacent thereto. An interlayer insulating layer is formed using an insulating material having a shape surrounding the silicon oxide layer pattern while filling both side portions of the pillar-shaped silicon oxide layer pattern, and having an etching selectivity with the silicon oxide layer pattern. The silicon oxide pattern may be selectively removed to form a preliminary contact hole. The device isolation layer pattern under the preliminary contact hole is removed to form a contact hole exposing both side edge top surfaces and sidewalls of the active region together. In addition, a conductive material is filled in the contact hole to form a contact.

본 발명의 일 실시예에서, 상기 기판 내에 소자 분리막 패턴을 형성하기 위하여, 상기 기판에 소자 분리용 트렌치를 형성한다. 상기 액티브 영역들 사이의 소자 분리용 트렌치 내부를 채우도록 실리콘 산화막을 형성한다. 상기 실리콘 산화막을 평탄화하여 실리콘 산화물을 포함하는 소자 분리막 패턴을 형성한다. In an embodiment of the present invention, in order to form an isolation pattern in the substrate, an isolation trench is formed in the substrate. A silicon oxide layer is formed to fill the trench for device isolation between the active regions. The silicon oxide film is planarized to form a device isolation pattern including silicon oxide.

본 발명의 일 실시예에서, 상기 소자 분리막 패턴이 형성된 기판 표면 상에 실리콘 질화물을 포함하는 식각 저지막을 형성하는 공정이 더 수행될 수 있다. In an embodiment of the present disclosure, a process of forming an etch stop layer including silicon nitride on the surface of the substrate on which the device isolation layer pattern is formed may be further performed.

상기 예비 콘택홀을 형성하기 위하여, 상기 식각 저지막이 노출되도록 상기 절연막 패턴을 제거할 수 있다. 또한, 상기 식각 저지막은 건식 식각 공정을 통해 제거할 수 있다. In order to form the preliminary contact hole, the insulating layer pattern may be removed to expose the etch stop layer. In addition, the etch stop layer may be removed through a dry etching process.

본 발명의 일 실시예에서, 상기 층간 절연막은 실리콘 질화물을 포함할 수 있다. In one embodiment of the present invention, the interlayer insulating film may include silicon nitride.

본 발명의 일 실시예에서, 상기 절연막 패턴을 제거하는 공정은 습식 식각 공정을 포함할 수 있다. In an embodiment of the present disclosure, the process of removing the insulating layer pattern may include a wet etching process.

본 발명의 일 실시예에서, 상기 예비 콘택홀 하부의 소자 분리막 패턴을 제거하는 공정은 등방성 식각 공정을 포함할 수 있다. In an embodiment of the present disclosure, the process of removing the device isolation layer pattern under the preliminary contact hole may include an isotropic etching process.

본 발명의 일 실시예에서, 상기 콘택홀 하부면이 상기 비트 라인 영역의 기판 상부면보다 적어도 100Å보다 높게 위치하도록 상기 예비 콘택홀 저면의 소자 분리막 패턴을 제거할 수 있다. In example embodiments, the device isolation layer pattern on the bottom surface of the preliminary contact hole may be removed such that the contact hole lower surface is located at least 100 kHz higher than the upper surface of the substrate of the bit line region.

본 발명의 일 실시예에서, 상기 액티브 영역의 기판 및 소자 분리막 패턴 내부에 상기 비트 라인 구조물과 수직하게 연장되는 라인 형상의 매립 게이트 구조물을 형성하는 공정이 더 수행될 수 있다. In an embodiment of the present disclosure, a process of forming a buried gate structure having a line shape extending perpendicular to the bit line structure may be performed in the substrate and the device isolation pattern of the active region.

상기 기둥 형상의 실리콘 산화막 패턴은 상기 비트 라인 구조물 및 매립 게이트 구조물 형성 부위 사이에 위치하는 액티브 영역 및 소자 분리막 패턴 상부면에 형성될 수 있다. The pillar-shaped silicon oxide layer pattern may be formed on an upper surface of the active region and the device isolation layer pattern positioned between the bit line structure and the buried gate structure.

설명한 것과 같이, 본 발명에 따른 반도체 소자의 제조 방법에 의하면, 액티브 영역의 양 측 가장자리 상부면 및 측벽을 함께 노출하는 콘택홀을 형성하고, 상기 콘택홀 내부에 콘택을 형성한다. 그러므로, 상기 콘택의 접촉 면적이 증가되어 상기 콘택의 저항이 감소된다. 따라서, 고성능을 갖는 반도체 소자를 제조할 수 있다. As described, according to the method of manufacturing a semiconductor device according to the present invention, a contact hole exposing both side edge top surfaces and sidewalls of an active region together is formed, and a contact is formed inside the contact hole. Therefore, the contact area of the contact is increased to reduce the resistance of the contact. Therefore, a semiconductor device having high performance can be manufactured.

도 1a 내지 도 1n은 본 발명의 일 실시예에 따른 반도체 소자의 제조방법을 도시한 공정 단면도이다.
도 2a 내지 도 2g는 각 공정 단면도에 대응하는 공정 평면도이다.
도 3은 예시적인 실시예들에 따른 컴퓨팅 시스템의 개략적인 구성을 나타내는 블록도이다.
1A to 1N are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.
2A to 2G are process plan views corresponding to the respective step cross-sectional views.
3 is a block diagram illustrating a schematic configuration of a computing system in accordance with example embodiments.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하고자 한다.Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

본 발명의 각 도면에 있어서, 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. In the drawings of the present invention, the dimensions of the structures are enlarged to illustrate the present invention in order to clarify the present invention.

본 발명에서, 제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. In the present invention, the terms first, second, etc. may be used to describe various elements, but the elements should not be limited by the terms. The terms are used only for the purpose of distinguishing one component from another.

본 발명에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서 상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. Singular expressions include plural expressions unless the context clearly indicates otherwise. In the present application, the terms "comprises" or "having" and the like are used to specify that there is a feature, a number, a step, an operation, an element, a component or a combination thereof described in the specification, But do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, or combinations thereof.

본 발명에 있어서, 각 층(막), 영역, 전극, 패턴 또는 구조물들이 대상체, 기판, 각 층(막), 영역, 전극 또는 패턴들의 "상에", "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 영역, 전극, 패턴 또는 구조물들이 직접 기판, 각 층(막), 영역, 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 영역, 다른 전극, 다른 패턴 또는 다른 구조물들이 대상체나 기판 상에 추가적으로 형성될 수 있다. In the present invention, it is to be understood that each layer (film), region, electrode, pattern or structure may be formed on, over, or under the object, substrate, layer, Means that each layer (film), region, electrode, pattern or structure is directly formed or positioned below a substrate, each layer (film), region, or pattern, , Other regions, other electrodes, other patterns, or other structures may additionally be formed on the object or substrate.

본문에 개시되어 있는 본 발명의 실시예들에 대해서, 특정한 구조적 내지 기능적 설명들은 단지 본 발명의 실시예를 설명하기 위한 목적으로 예시된 것으로, 본 발명의 실시예들은 다양한 형태로 실시될 수 있으며 본문에 설명된 실시예들에 한정되는 것으로 해석되어서는 안된다.For the embodiments of the invention disclosed herein, specific structural and functional descriptions are set forth for the purpose of describing an embodiment of the invention only, and it is to be understood that the embodiments of the invention may be practiced in various forms, But should not be construed as limited to the embodiments set forth in the claims.

즉, 본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.
That is, the present invention is capable of various modifications and various forms, and specific embodiments are illustrated in the drawings and described in detail in the following description. It should be understood, however, that the invention is not intended to be limited to the particular forms disclosed, but includes all modifications, equivalents, and alternatives falling within the spirit and scope of the invention.

도 1a 내지 도 1n은 본 발명의 일 실시예에 따른 반도체 소자의 제조방법을 도시한 공정 단면도이다. 도 2a 내지 도 2g는 각 공정 단면도에 대응하는 공정 평면도이다. 1A to 1N are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention. 2A to 2G are process plan views corresponding to the respective step cross-sectional views.

도 1a 내지 도 1n에서 공정 단면도들은 도 2a의 I-I'부위, II-II'부위 및 III-III'부위를 각각 절단한 것이다. 이하의 설명에서, 액티브 영역들이 연장되는 길이 방향을 제1 방향이라 하고, 매립 게이트 구조물이 연장되는 방향을 제2 방향이라 하고, 상기 제2 방향과 수직한 방향을 제3 방향이라 하면서 설명한다. The process cross-sectional views in FIGS. 1A to 1N are cut out portions II ′, II-II ′, and III-III ′ of FIG. 2A, respectively. In the following description, the length direction in which the active regions extend is referred to as a first direction, the direction in which the buried gate structure extends as a second direction, and the direction perpendicular to the second direction is described as a third direction.

도 1a 및 도 2a를 참조하면, 단결정 실리콘을 포함하는 기판(100)에 소자 분리용 트렌치(108)를 형성하기 위한 제1 마스크 패턴(도시안됨)을 형성한다. 상기 제1 마스크 패턴은 실리콘 질화물을 포함할 수 있다. Referring to FIGS. 1A and 2A, a first mask pattern (not shown) for forming a device isolation trench 108 is formed in a substrate 100 including single crystal silicon. The first mask pattern may include silicon nitride.

상기 제1 마스크 패턴을 식각 마스크로 사용하여 상기 기판(100)을 이방성 식각하여 소자 분리용 트렌치(108)를 형성한다. 상기 소자 분리용 트렌치(108)가 형성되어 있지 않은 부위의 기판(100)은 상대적으로 돌출되는 형상을 가질 수 있다. 따라서, 상기 돌출된 부위의 기판(100)의 평탄면은 액티브 영역(100a)으로 제공된다. Using the first mask pattern as an etching mask, the substrate 100 is anisotropically etched to form a trench 108 for device isolation. The substrate 100 in a portion where the device isolation trench 108 is not formed may have a relatively protruding shape. Therefore, the flat surface of the substrate 100 of the protruding portion is provided to the active region 100a.

도시된 것과 같이, 상기 액티브 영역(100a)은 제1 방향을 길이 방향으로 하는 고립된 섬 형상을 가질 수 있다. 또한, 상기 각 액티브 영역들(100a)은 상기 제1 방향으로 나란하게 일렬 배치될 수 있다. 상기 제1 방향은 매립 게이트 구조물의 연장 방향인 제2 방향과 수직하지 않는 방향일 수 있다. 즉, 상기 제1 방향은 매립 게이트 구조물의 연장 방향에 대해 사선 방향일 수 있다. As shown, the active region 100a may have an isolated island shape in which the first direction is the longitudinal direction. In addition, each of the active regions 100a may be arranged in a line in the first direction. The first direction may be a direction that is not perpendicular to a second direction that is an extension direction of the buried gate structure. That is, the first direction may be a diagonal direction with respect to the extension direction of the buried gate structure.

각 공정 단면도들에서, I_I' 부위의 단면도(이하, 제1 공정 단면도)는 상기 액티브 영역(100a)에서 상기 비트 라인 콘택 및 스토리지 노드 콘택 부위가 각각 나타나도록 상기 제2 방향으로 절단된 것이다. 상기 II_II' 부위의 단면도(이하, 제2 공정 단면도)는 상기 액티브 영역(100a)에서 매립 게이트 구조물이 형성되는 부위가 나타나도록 상기 제2 방향으로 절단된 것이다. In each of the process cross-sectional views, a cross-sectional view of the I_I 'region (hereinafter referred to as a first process cross-sectional view) is cut in the second direction such that the bit line contact and the storage node contact region respectively appear in the active region 100a. A cross-sectional view of the II_II 'portion (hereinafter referred to as a second process cross-sectional view) is cut in the second direction so that a portion where the buried gate structure is formed in the active region 100a appears.

상기 소자 분리용 트렌치(108) 내부를 채우도록 실리콘 산화막을 형성한다. 상기 제1 공정 단면도에서 보여지듯이 상기 소자 분리용 트렌치(108) 내부는 실리콘 산화막만으로 채워지며 실리콘 질화물이나 다른 종류의 절연막은 형성되지 않을 수 있다. 특히, 기판의 셀 영역에서, 조밀한 간격을 갖는 상기 액티브 영역들(100a) 사이에 위치하는 상기 소자 분리용 트렌치의 내부에는 실리콘 산화막으로만 채워질 수 있다. A silicon oxide film is formed to fill the inside of the device isolation trench 108. As shown in the cross-sectional view of the first process, the device isolation trench 108 may be filled with only a silicon oxide layer, and silicon nitride or another kind of insulating layer may not be formed. In particular, in the cell region of the substrate, only the silicon oxide layer may be filled in the device isolation trench positioned between the active regions 100a having a tight spacing.

이 후, 상기 실리콘 산화막을 평탄화하여 소자 분리막 패턴(110)을 형성한다. 상기 평탄화 공정을 수행하면, 상기 액티브 영역의 기판(100) 상에는 제1 마스크 패턴이 대부분 제거되어 평탄면을 가질 수 있다.Thereafter, the silicon oxide film is planarized to form the device isolation layer pattern 110. When the planarization process is performed, most of the first mask patterns may be removed from the active area substrate 100 to have a flat surface.

설명한 것과 같이, 본 실시예의 경우, 상기 소자 분리용 트렌치 내부에는 실리콘 산화물로만 채워지게 되며, 실리콘 산화물 이외의 다른 종류의 절연 물질은 형성되지 않는다. 즉, 상기 소자 분리용 트렌치 측벽에는 질화막 라이너가 형성되지 않는다. 상기 실리콘 산화물은 고밀도플라즈마산화막(HDP oxide), 스핀온절연막(SOD) 등의 산화막을 포함할 수 있다. As described above, in the present embodiment, the inside of the device isolation trench is filled only with silicon oxide, and an insulating material other than silicon oxide is not formed. That is, no nitride film liner is formed on the sidewalls of the device isolation trench. The silicon oxide may include an oxide film such as a high density plasma oxide film (HDP oxide) and a spin-on insulating film (SOD).

도시하지는 않았지만, 상기 기판(100)에 불순물을 도핑하여 상기 기판 표면 아래에 불순물 영역을 형성한다. 상기 불순물 영역은 매립 트랜지스터의 소오스 및 드레인 영역으로 제공될 수 있다. Although not shown, the substrate 100 is doped with impurities to form an impurity region below the surface of the substrate. The impurity region may be provided to the source and drain regions of the buried transistor.

도 1b 및 도 2b를 참조하면, 상기 기판(100) 상에 게이트용 트렌치(112)를 형성하기 위한 마스크 패턴(도시안됨)을 형성한다. 상기 마스크 패턴은 상기 제2 방향으로 연장되는 라인 형상을 가질 수 있다. 1B and 2B, a mask pattern (not shown) for forming a gate trench 112 is formed on the substrate 100. The mask pattern may have a line shape extending in the second direction.

상기 마스크 패턴은 상기 게이트용 트렌치(112)가 형성되어야 할 부위를 선택적으로 노출한다. 그러므로, 상기 마스크 패턴은 상기 액티브 영역(100a)의 일부 영역 및 상기 소자 분리막 패턴(110) 일부 영역을 각각 노출한다.The mask pattern selectively exposes a portion where the gate trench 112 is to be formed. Therefore, the mask pattern exposes a portion of the active region 100a and a portion of the device isolation layer pattern 110.

상기 마스크 패턴을 식각 마스크로 사용하여, 노출된 액티브 영역(100a)의 기판(100) 및 소자 분리막 패턴(110)을 식각하여 게이트용 트렌치(112)를 형성한다. 상기 식각 공정을 수행하면, 상기 기판(100) 부위와 소자 분리막 패턴(110)간의 식각비가 서로 다르기 때문에 각 부위에서 동일한 깊이의 게이트용 트렌치들(112)이 형성되지 않는다. 즉, 상기 소자 분리막 패턴(110)이 상대적으로 더 빠르게 식각되기 때문에, 하부에 소자 분리막 패턴(110)이 위치하는 부위는 게이트용 트렌치(112)의 깊이가 더 깊을 수 있다. Using the mask pattern as an etching mask, the gate trench 112 is formed by etching the exposed substrate 100 and the device isolation layer pattern 110 of the active region 100a. When the etching process is performed, the gate trenches 112 having the same depth are not formed in each region because the etching ratio between the substrate 100 and the device isolation layer pattern 110 is different from each other. That is, since the device isolation layer pattern 110 is etched relatively faster, the region where the device isolation layer pattern 110 is located below may have a deeper depth of the gate trench 112.

도 2b에 도시된 것과 같이, 상기 하나의 고립된 액티브 영역(100a) 에는 2개의 게이트용 트렌치(112)가 서로 이격되면서 나란하게 배치될 수 있다. 또한, 상기 고립된 액티브 영역(100a)의 양 측 가장자리 부위와 이격되어 각각 하나씩의 트렌치가 배치될 수 있다. As illustrated in FIG. 2B, two gate trenches 112 may be disposed in parallel with each other in the one isolated active region 100a while being spaced apart from each other. In addition, one trench may be disposed spaced apart from both edge portions of the isolated active region 100a.

상기 게이트용 트렌치(112)의 측벽 및 저면을 따라 게이트 절연막(116)을 형성한다. 상기 게이트 절연막(116)은 열산화 공정 또는 화학기상 증착 공정을 통해 형성할 수 있다. 상기 게이트 절연막(116) 상에 상기 게이트용 트렌치(112)를 채우도록 도전막을 형성한다. 상기 도전막은 베리어 금속막 및 금속막을 순차적으로 증착하여 형성할 수 있다. 상기 베리어 금속막으로 사용될 수 있는 물질의 예로는 티타늄, 티타늄질화물을 들 수 있다. 또한, 상기 금속막으로 사용될 수 있는 물질의 예로는 텅스텐을 들 수 있다. 상기 도전막을 화학 기계적 연마 공정을 통해 평탄화한 다음, 에치백 공정을 수행하여 상기 게이트용 트렌치(112)의 일부를 매립하는 매립 게이트 전극(118)을 형성한다. A gate insulating layer 116 is formed along sidewalls and bottom surfaces of the gate trench 112. The gate insulating layer 116 may be formed through a thermal oxidation process or a chemical vapor deposition process. A conductive film is formed on the gate insulating layer 116 to fill the gate trench 112. The conductive film may be formed by sequentially depositing a barrier metal film and a metal film. Examples of materials that can be used as the barrier metal film include titanium and titanium nitride. In addition, examples of the material that may be used as the metal film may include tungsten. The conductive layer is planarized through a chemical mechanical polishing process, and then an etch back process is performed to form a buried gate electrode 118 that fills a portion of the gate trench 112.

상기 게이트용 트렌치(112) 내부를 채우면서 상기 매립 게이트 전극(118) 상에 절연막을 형성한다. 이 후, 상기 절연막을 평탄화시켜 절연막 패턴(120)을 형성한다. 상기 절연막 패턴(120)은 실리콘 질화막을 포함할 수 있다.An insulating layer is formed on the buried gate electrode 118 while filling the inside of the gate trench 112. Thereafter, the insulating film is planarized to form an insulating film pattern 120. The insulating layer pattern 120 may include a silicon nitride layer.

상기 공정을 수행함으로써, 상기 액티브 영역의 기판 및 소자 분리막 패턴 내부에 상기 제2 방향으로 연장되는 라인 형상의 매립 게이트 구조물(122)이 형성된다. 상기 매립 게이트 구조물(122)은 게이트 절연막(116), 매립 게이트 전극(118) 및 절연막 패턴(120)을 포함한다. By performing the above process, a buried gate structure 122 having a line shape extending in the second direction is formed in the substrate and the device isolation pattern of the active region. The buried gate structure 122 may include a gate insulating layer 116, a buried gate electrode 118, and an insulating layer pattern 120.

도 1c를 참조하면, 상기 기판(100) 표면 상에 패드 산화막(124) 및 제1 식각 저지막(126)을 순차적으로 형성한다. 상기 패드 산화막(124)은 실리콘 산화물을 포함할 수 있다. 상기 제1 식각 저지막(126)은 실리콘 산화물과 식각 선택비를 갖는 절연 물질을 포함할 수 있다. 예를들어, 상기 제1 식각 저지막(126)은 실리콘 질화물로 형성할 수 있다. Referring to FIG. 1C, a pad oxide layer 124 and a first etch stop layer 126 are sequentially formed on the surface of the substrate 100. The pad oxide layer 124 may include silicon oxide. The first etch stop layer 126 may include an insulating material having silicon oxide and an etch selectivity. For example, the first etch stop layer 126 may be formed of silicon nitride.

상기 제1 식각 저지막(126) 상에 제1 도전막(128)을 형성한다. 상기 제1 도전막(128)은 폴리실리콘막을 포함할 수 있다. A first conductive layer 128 is formed on the first etch stop layer 126. The first conductive layer 128 may include a polysilicon layer.

상기 제1 도전막(128) 상에 비트 라인 콘택홀을 형성하기 위한 마스크로 사용되는 제1 하드 마스크막(130)을 형성한다. 상기 제1 하드 마스크막(130)은 실리콘 산화물을 사용하여 형성할 수 있다. A first hard mask layer 130 that is used as a mask for forming bit line contact holes is formed on the first conductive layer 128. The first hard mask layer 130 may be formed using silicon oxide.

상기 제1 하드 마스크막(130) 상에 비트 라인 콘택홀을 형성하기 위한 식각 마스크(132)를 형성한다. 상기 식각 마스크에서 노출되는 홀 부위는 최종적으로 형성하고자하는 비트 라인 콘택홀의 크기보다 더 크게 형성될 수 있다. 상기 식각 마스크(132)는 포토레지스트 패턴을 포함할 수 있다. An etching mask 132 for forming a bit line contact hole is formed on the first hard mask layer 130. The hole portion exposed in the etching mask may be formed larger than the size of the bit line contact hole to be finally formed. The etching mask 132 may include a photoresist pattern.

도 1d 및 도 2c를 참조하면, 상기 식각 마스크(132)를 이용하여 상기 제1 하드 마스크막(130), 제1 도전막(128), 소자 분리막 패턴(110) 및 액티브 영역의 기판(100) 부위를 이방성 식각하여 비트 라인 콘택홀(134)을 형성한다. 상기 식각 공정에서 매립 게이트 구조물(122)에 포함되는 절연막 패턴(120)의 노출된 표면이 일부 식각될 수도 있다. 1D and 2C, the first hard mask layer 130, the first conductive layer 128, the device isolation layer pattern 110, and the substrate 100 in the active region are formed by using the etching mask 132. The bit is anisotropically etched to form the bit line contact hole 134. In the etching process, an exposed surface of the insulating layer pattern 120 included in the buried gate structure 122 may be partially etched.

상기 비트 라인 콘택홀(134)의 저면부에 노출되는 액티브 영역의 기판(100) 부위는 비트 라인 콘택 영역(A)으로 제공된다. 상기 비트 라인 콘택 영역(A)은 상기 비트 라인 콘택 영역들(A) 사이 액티브 영역(100a)의 평탄한 상부면보다 낮게 위치하여야 한다. 이와같이, 상기 비트 라인 콘택홀(134)의 저면과 이들 사이의 평탄한 액티브 영역의 상부면이 서로 다른 평면에 위치하도록 함으로써, 후속 공정에서 형성되는 비트 라인 콘택과 스토리지 노드 콘택 간의 브릿지 불량을 감소시킬 수 있다. A portion of the substrate 100 of the active region exposed to the bottom portion of the bit line contact hole 134 is provided to the bit line contact region A. FIG. The bit line contact region A should be positioned lower than the flat top surface of the active region 100a between the bit line contact regions A. FIG. As such, the bottom surface of the bit line contact hole 134 and the top surface of the flat active area therebetween are located on different planes, thereby reducing bridge failure between the bit line contact and the storage node contact formed in a subsequent process. have.

상기 비트 라인 콘택 영역(A)이 이웃하는 평탄한 액티브 영역의 상부면으로부터 350Å이하의 단차(d1)를 갖게 되면, 비트 라인 콘택과 스토리지 노드 콘택 간의 브릿지 불량이 발생될 수 있다. 그러므로, 본 실시예의 경우, 상기 비트 라인 콘택 영역(A)은 이웃하는 평탄한 액티브 영역의 상부면으로부터 적어도 350Å 이상 낮게 위치하도록 형성되는 것이 바람직하다. When the bit line contact area A has a step d1 of 350 dB or less from the upper surface of the adjacent flat active area, a bridge failure between the bit line contact and the storage node contact may occur. Therefore, in the present embodiment, the bit line contact region A is preferably formed to be located at least 350 kHz or lower from the upper surface of the neighboring flat active region.

또한, 디자인 룰이 30㎚급 이하의 초미세 반도체 소자를 형성하는 경우에는, 상기 비트 라인 콘택 영역(A)이 이웃하는 평탄한 액티브 영역의 상부면으로부터 1000Å이상의 단차를 갖게 되면, 비트 라인의 패터닝이 용이하지 않을 수 있다. 또한, 상기 비트 라인 콘택홀(134)을 형성하기 위한 막들의 식각 깊이가 깊어지게 되어 매립 게이트 전극(118)이 노출될 수 있기 때문에 바람직하지 않다. In addition, in the case where the design rule forms an ultrafine semiconductor device of 30 nm or less, when the bit line contact region A has a step of 1000 m or more from the upper surface of the adjacent flat active region, the bit line patterning is performed. It may not be easy. In addition, since the etch depth of the layers for forming the bit line contact hole 134 is deepened, the buried gate electrode 118 may be exposed, which is not preferable.

그러므로, 상기 디자인 룰이 30㎚급 이하의 초미세 반도체 소자를 형성하는 경우에는, 상기 비트 라인 콘택 영역(A)은 이웃하는 평탄한 액티브 영역의 상부면과 350 내지 1000Å의 단차(d1)를 갖도록 한다. Therefore, when the design rule forms an ultrafine semiconductor device of 30 nm or less, the bit line contact region A has a step d1 of 350 to 1000 microseconds with an upper surface of an adjacent flat active region. .

상기 비트 라인 콘택홀(134)을 형성하고 난 후, 상기 식각 마스크(132) 및 하드 마스크 패턴을 제거한다. After forming the bit line contact hole 134, the etch mask 132 and the hard mask pattern are removed.

도 1e를 참조하면, 상기 비트 라인 콘택홀(134) 내부를 채우면서 상기 제1 도전막(128) 상에 제2 도전막(136)을 형성한다. 일 예로, 상기 제1 및 제2 도전막(128, 136)은 동일한 물질로 형성될 수 있다. 즉, 상기 제2 도전막(136)은 폴리실리콘을 포함할 수 있다. Referring to FIG. 1E, a second conductive layer 136 is formed on the first conductive layer 128 while filling the bit line contact hole 134. For example, the first and second conductive layers 128 and 136 may be formed of the same material. That is, the second conductive layer 136 may include polysilicon.

이 후, 상기 제2 도전막(136)의 상부 표면을 평탄화하여 제1 비트 라인용 도전막(140)을 형성한다. 상기 제1 비트 라인용 도전막(140)은 상기 비트 라인 콘택 영역(A)과 접촉하는 형상을 갖는 제1 부분과, 상기 식각 저지막 상부면에 위치하는 제2 부분을 포함한다. Thereafter, the upper surface of the second conductive film 136 is planarized to form the first bit line conductive film 140. The first bit line conductive layer 140 may include a first portion having a shape in contact with the bit line contact region A and a second portion disposed on an upper surface of the etch stop layer.

상기 제1 비트 라인용 도전막(140) 상에 제2 비트 라인용 도전막(142)을 형성한다. 상기 제2 비트 라인용 도전막(142)은 상기 제1 비트 라인용 도전막(140)보다 낮은 저항을 갖는 도전 물질을 포함할 수 있다. 상기 제2 비트 라인용 도전막은 베리어 금속막 및 금속막을 포함할 수 있다. 상기 베리어 금속막으로 사용될 수 있는 물질의 예로는 티타늄, 티타늄질화물 등을 들 수 있다. 이들은 단독 또는 적층하여 형성될 수 있다. 또한, 상기 금속막으로 사용될 수 있는 물질의 예로는 텅스텐을 들 수 있다. A second bit line conductive film 142 is formed on the first bit line conductive film 140. The second bit line conductive layer 142 may include a conductive material having a lower resistance than the first bit line conductive layer 140. The second bit line conductive film may include a barrier metal film and a metal film. Examples of the material that can be used as the barrier metal film include titanium, titanium nitride, and the like. These may be formed alone or in a stack. In addition, examples of the material that may be used as the metal film may include tungsten.

상기 제2 비트 라인용 도전막(142) 상에 식각 마스크로 사용되는 제2 하드 마스크막(144)을 형성한다. 상기 제2 하드 마스크막(144)은 실리콘 질화물을 포함할 수 있다.A second hard mask layer 144 to be used as an etching mask is formed on the second bit line conductive layer 142. The second hard mask layer 144 may include silicon nitride.

도 1f 및 도 2d를 참조하면, 상기 제2 하드 마스크막(144)을 패터닝하여 하드 마스크 패턴(144a)을 형성한다. 상기 하드 마스크 패턴(144a)은 상기 제3 방향으로 연장되는 형상을 갖는다. 상기 하드 마스크 패턴(144a)은 상기 각 액티브 영역의 중심 부위에 위치하는 비트 라인 콘택 영역(A)을 덮는 형상을 갖는다. 상기 하드 마스크 패턴(144a)에 의해 마스킹되는 부위의 선폭은 상기 비트 라인 콘택홀(134)의 직경보다 좁을 수 있다. 1F and 2D, the second hard mask layer 144 is patterned to form a hard mask pattern 144a. The hard mask pattern 144a has a shape extending in the third direction. The hard mask pattern 144a has a shape covering the bit line contact regions A positioned at the center of each of the active regions. The line width of the portion masked by the hard mask pattern 144a may be smaller than the diameter of the bit line contact hole 134.

상기 하드 마스크 패턴(144a)을 식각 마스크로 사용하여, 상기 제1 및 제2 비트 라인용 도전막(140, 142)을 이방성 식각한다. 상기 이방성 식각 공정을 통해, 비트 라인 콘택(136a), 비트 라인(136b, 142a) 및 하드 마스크 패턴(144a)이 적층되는 구조의 비트 라인 구조물(146)이 형성된다. The first and second bit lines conductive layers 140 and 142 are anisotropically etched using the hard mask pattern 144a as an etching mask. Through the anisotropic etching process, a bit line structure 146 having a structure in which the bit line contacts 136a, the bit lines 136b and 142a, and the hard mask pattern 144a are stacked is formed.

설명한 것과 같이, 상기 하드 마스크 패턴(144a)에 의해 마스킹되는 부위의 선폭은 상기 비트 라인 콘택홀(134)의 직경보다 좁기 때문에, 상기 비트 라인 콘택홀(134) 내부에 형성되어 있는 제2 도전막(136)이 일부 제거될 수 있다. As described above, since the line width of the portion masked by the hard mask pattern 144a is smaller than the diameter of the bit line contact hole 134, the second conductive layer formed inside the bit line contact hole 134. 136 may be partially removed.

도시되지는 않았지만, 상기 식각 공정에서 상기 비트 라인 구조물(134) 하부의 비트 라인 콘택(136a) 부위는 다른 부위에 비해 식각율이 상대적으로 낮을 수 있다. 때문에, 상기 비트 라인 구조물(146)에서 비트 라인 콘택(136a) 부위가 상대적으로 넓은 폭을 가질 수 있다. Although not shown, in the etching process, an area of the bit line contact 136a below the bit line structure 134 may have a lower etch rate than other areas. Therefore, the bit line contact 136a portion of the bit line structure 146 may have a relatively wide width.

상기 비트 라인 구조물(146)의 양 측벽에 절연 스페이서(148)을 형성한다. 상기 절연 스페이서(148)는 실리콘 산화물 및 실리콘 질화물이 적층된 구조를 가질 수 있다. 또는, 상기 절연 스페이서(148)는 에어 스페이서를 포함하는 구조를 가질 수 있다.Insulating spacers 148 are formed on both sidewalls of the bit line structure 146. The insulating spacer 148 may have a structure in which silicon oxide and silicon nitride are stacked. Alternatively, the insulating spacer 148 may have a structure including an air spacer.

상기 절연 스페이서(148), 제1 식각 저지막(126) 및 소자 분리막 패턴(110)의 표면 상에 제2 식각 저지막(150)을 형성한다. 상기 제2 식각 저지막(150)은 실리콘 산화물과의 식각 선택비가 높은 물질로 형성된다. 상기 제2 식각 저지막(150)은 실리콘 질화물을 포함할 수 있다. A second etch stop layer 150 is formed on surfaces of the insulating spacer 148, the first etch stop layer 126, and the device isolation layer pattern 110. The second etch stop layer 150 is formed of a material having a high etching selectivity with silicon oxide. The second etch stop layer 150 may include silicon nitride.

도 1g 및 도 2e를 참조하면, 상기 비트 라인 구조물(146)을 덮도록 실리콘 산화막을 형성한다. 이 후, 상기 제2 식각 저지막(150)의 상부면이 노출되도록 상기 실리콘 산화막을 평탄화하여 예비 실리콘 산화막 패턴(152)을 형성한다. 상기 평탄화는 화학 기계적 연마 또는 에치백 공정을 포함할 수 있다. 상기 예비 실리콘 산화막 패턴(152)은 상기 비트 라인 구조물 사이 부위를 매립하는 형상을 갖는다.1G and 2E, a silicon oxide layer is formed to cover the bit line structure 146. Thereafter, the silicon oxide layer is planarized to expose the top surface of the second etch stop layer 150 to form a preliminary silicon oxide layer pattern 152. The planarization may comprise a chemical mechanical polishing or etch back process. The preliminary silicon oxide layer pattern 152 has a shape of filling a portion between the bit line structures.

상기 공정을 수행한 후의 구조를 평면도에서 보면, 상기 제2 방향으로 연장되는 예비 실리콘 산화막 패턴(152) 및 제2 식각 저지막(150)이 반복하여 배치된 형상을 가질 수 있다. 즉, 식각 선택비를 갖는 실리콘 산화물 및 실리콘 질화물이 라인 형상을 가지면서 반복 배치된다. 상기 비트 라인 구조물(146)이 형성된 부위에는 실리콘 질화물이 위치하게 된다.When the structure after the process is performed in plan view, the preliminary silicon oxide layer pattern 152 and the second etch stop layer 150 extending in the second direction may be repeatedly arranged. That is, silicon oxide and silicon nitride having an etching selectivity are repeatedly arranged while having a line shape. Silicon nitride is positioned at a portion where the bit line structure 146 is formed.

도 1h 및 도 2f를 참조하면, 상기 제2 식각 저지막(150) 및 예비 실리콘 산화막 패턴(152) 상에 포토레지스트 패턴(154)을 형성한다. 상기 포토레지스트 패턴(154)들 사이의 갭 부위(156)는 상기 매립 게이트 구조물(122)의 상부를 선택적으로 노출하는 라인 형상을 가질 수 있다. 즉, 상기 포토레지스트 패턴(154)은 상기 매립 게이트 구조물들(122) 사이 부위를 덮는 형상을 갖는다. 1H and 2F, a photoresist pattern 154 is formed on the second etch stop layer 150 and the preliminary silicon oxide layer pattern 152. The gap region 156 between the photoresist patterns 154 may have a line shape that selectively exposes an upper portion of the buried gate structure 122. That is, the photoresist pattern 154 has a shape covering a portion between the buried gate structures 122.

상기 포토레지스트 패턴(154)은 상기 제2 방향으로 연장되고, 상기 제2 식각 저지막(150)은 상기 제3 방향으로 연장된다. 그러므로, 상기 포토레지스트 패턴(154) 및 제2 식각 저지막(150)에 의해 고립되는 부위가 생기게 된다. 상기 고립되는 부위에는 상기 예비 실리콘 산화막 패턴(152)이 노출된다.The photoresist pattern 154 extends in the second direction, and the second etch stop layer 150 extends in the third direction. Therefore, a portion is isolated by the photoresist pattern 154 and the second etch stop layer 150. The preliminary silicon oxide layer pattern 152 is exposed to the isolated portion.

도 1i를 참조하면, 상기 포토레지스트 패턴(154) 및 제2 식각 저지막 (150)에 의해 고립된 부위의 상기 예비 실리콘 산화막 패턴(152)을 선택적으로 식각한다. 상기 공정을 수행하면, 마스킹된 예비 실리콘 산화막 패턴(152)만 남게되어 실리콘 산화막 패턴(152a)이 형성된다. 계속하여, 노출된 상기 제1 식각 저지막(126) 및 패드 산화막(124)를 식각한다. 상기 식각 공정에 의해 형성된 실리콘 산화막 패턴들(152a)은 기둥 형상을 가질 수 있다. 또한, 상기 실리콘 산화막 패턴(152a)은 스토리지 노드 콘택홀이 형성되어야 할 위치 상에 위치하게 된다. Referring to FIG. 1I, the preliminary silicon oxide layer pattern 152 of the portion isolated by the photoresist pattern 154 and the second etch stop layer 150 is selectively etched. When the above process is performed, only the masked preliminary silicon oxide layer pattern 152 remains, thereby forming the silicon oxide layer pattern 152a. Subsequently, the exposed first etch stop layer 126 and the pad oxide layer 124 are etched. The silicon oxide layer patterns 152a formed by the etching process may have a columnar shape. In addition, the silicon oxide pattern 152a is positioned on a position where a storage node contact hole is to be formed.

상기 실리콘 산화막 패턴들 사이의 갭 부위를 충분하게 매립하는 층간 절연막(160)을 형성한다. 상기 층간 절연막(160)은 상기 실리콘 산화막 패턴과 식각 선택비를 갖는 절연 물질로 형성된다. 상기 층간 절연막(160)은 실리콘 질화물로 형성할 수 있다. An interlayer insulating layer 160 is formed to sufficiently fill gaps between the silicon oxide layer patterns. The interlayer insulating layer 160 is formed of an insulating material having an etch selectivity with the silicon oxide layer pattern. The interlayer insulating layer 160 may be formed of silicon nitride.

본 실시예의 경우, 상기 소자 분리막 패턴(110)은 실리콘 산화물만으로 형성된다. 그러므로, 상기 층간 절연막(160)은 상기 부위의 소자 분리막 패턴(110)과 식각 선택비를 갖는다. In the present embodiment, the device isolation layer pattern 110 is formed of only silicon oxide. Therefore, the interlayer insulating layer 160 has an etching selectivity with the device isolation layer pattern 110 in the portion.

이 후, 상기 실리콘 산화막 패턴(152a)의 상부면이 노출되도록 상기 층간 절연막(160)을 평탄화한다. 상기 공정을 수행하면, 상기 실리콘 질화물들로 형성되는 층간 절연막(160)이 상기 기둥 형상의 실리콘 산화막 패턴(152a)의 측벽 부위를 완전하게 둘러싸는 형상을 갖게된다. Thereafter, the interlayer insulating layer 160 is planarized so that the top surface of the silicon oxide layer pattern 152a is exposed. When the process is performed, the interlayer insulating layer 160 formed of the silicon nitrides has a shape completely surrounding the sidewall portion of the pillar-shaped silicon oxide layer pattern 152a.

도 1j를 참조하면, 상기 실리콘 산화막 패턴(152a)을 제거한다. 상기 실리콘 산화막 패턴(152a)을 제거하는 공정은 습식 식각 공정을 포함할 수 있다. 상기 습식 식각 공정을 통해 상기 실리콘 산화막 패턴(152a)을 제거하기 때문에 플라즈마에 의한 데미지가 감소된다. 그러므로, 상기 식각 공정에 의한 액티브 영역의 기판(100) 손상을 감소시킬 수 있다.Referring to FIG. 1J, the silicon oxide film pattern 152a is removed. The process of removing the silicon oxide layer pattern 152a may include a wet etching process. Since the silicon oxide pattern 152a is removed through the wet etching process, damage by plasma is reduced. Therefore, damage to the substrate 100 in the active region by the etching process can be reduced.

이 후, 상기 실리콘 산화막 패턴(152a)이 제거된 부위에 노출되는 제1 식각 저지막(126) 및 패드 산화막(124)을 식각한다. 상기 식각 공정은 건식 식각 공정을 포함한다. 상기 공정들을 수행하면, 상기 스토리지 노드 콘택 영역의 액티브 영역(100a)의 상부 및 이와 이웃하는 소자 분리막 패턴(110) 부위가 노출되는 예비 콘택홀(162)이 형성된다. Thereafter, the first etch stop layer 126 and the pad oxide layer 124 are exposed to the portions where the silicon oxide layer pattern 152a is removed. The etching process includes a dry etching process. When the above processes are performed, a preliminary contact hole 162 is formed to expose an upper portion of the active region 100a of the storage node contact region and a portion of the device isolation layer pattern 110 adjacent thereto.

상기 예비 콘택홀(162)의 저면에는 액티브 영역(100a)의 가장자리의 매우 좁은 상부면만이 노출된다. 이는, 상기 매립 게이트 구조물(122) 및 비트 라인 구조물(134) 사이에서 노출되는 액티브 영역(100a)의 상부면 면적이 매우 좁기 때문이다. Only a very narrow top surface of the edge of the active region 100a is exposed on the bottom surface of the preliminary contact hole 162. This is because the area of the upper surface of the active region 100a exposed between the buried gate structure 122 and the bit line structure 134 is very narrow.

한편, 도 1k에 도시된 것과 같이, 상기 비트 라인 구조물(146)이 미스얼라인 되는 경우, 상기 예비 콘택홀(162) 내부에 상기 액티브 영역이 노출되지 않을 수도 있다.Meanwhile, as shown in FIG. 1K, when the bit line structure 146 is misaligned, the active region may not be exposed inside the preliminary contact hole 162.

도 1l 및 도 2g를 참조하면, 상기 예비 콘택홀(162) 저면에 노출되어 있는 소자 분리막 패턴(110)을 등방성 식각 공정을 통해 제거하여 스토리지 노드 콘택홀(164)을 형성한다. 1L and 2G, the device isolation layer pattern 110 exposed on the bottom surface of the preliminary contact hole 162 is removed through an isotropic etching process to form the storage node contact hole 164.

상기 등방성 식각 공정을 수행하면, 상기 액티브 영역(100a)의 측벽을 덮고 있는 소자 분리막 패턴(110)이 일부 제거되어 상기 액티브 영역(100a)의 가장자리 부위의 측벽 부위가 노출된다. 즉, 상기 스토리지 노드 콘택홀(164)은 상기 스토리지 노드 콘택 영역이 되는 액티브 영역(100a)의 상부면 뿐 아니라, 상기 스토리지 노드 콘택 영역과 인접하는 액티브 영역(100a)의 측벽까지도 노출하게 된다. 그러므로, 상기 스토리지 노드 콘택홀(164)에 의해 노출되는 액티브 영역(100a)의 면적이 증가된다.When the isotropic etching process is performed, a portion of the device isolation layer pattern 110 covering the sidewalls of the active region 100a is partially removed to expose sidewall portions of the edge portion of the active region 100a. That is, the storage node contact hole 164 exposes not only an upper surface of the active region 100a which becomes the storage node contact region, but also a sidewall of the active region 100a adjacent to the storage node contact region. Therefore, the area of the active region 100a exposed by the storage node contact hole 164 is increased.

상기 등방성 식각은 습식 식각 공정을 포함할 수 있다. 상기 등방성 식각 공정을 수행함으로써, 식각 공정에 따른 액티브 영역의 기판 손상을 감소시킬 수 있다. The isotropic etching may include a wet etching process. By performing the isotropic etching process, damage to the substrate of the active region due to the etching process may be reduced.

상기 등방성 식각 공정을 통해 완성되는 스토리지 노드 콘택홀(164)의 저면부가 상기 비트 라인 구조물(146)의 저면 부위와 동일하거다 더 낮아지면, 상기 스토리지 노드 콘택홀(164)의 측벽에 비트 라인 구조물(146)이 노출되는 불량이 발생될 수 있다. 그러므로, 상기 스토리지 노드 콘택홀(164)의 저면은 상기 비트 라인 구조물(146)의 저면 부위보다는 높게 위치하여야 한다. When the bottom portion of the storage node contact hole 164 completed through the isotropic etching process is equal to or lower than the bottom portion of the bit line structure 146, the bit line structure is formed on the sidewall of the storage node contact hole 164. A defect in which 146 is exposed may occur. Therefore, the bottom of the storage node contact hole 164 should be located higher than the bottom of the bit line structure 146.

상기 비트 라인 구조물(146)과 스토리지 노드 콘택간의 브릿지 불량을 감소시키기 위하여, 상기 등방성 식각 공정을 통해 완성되는 스토리지 노드 콘택홀(164)의 저면부는 상기 비트 라인 구조물(146)의 저면부보다 적어도 100Å이상 높게 위치하도록 하는 것이 바람직하다. 즉, 상기 스토리지 노드 콘택홀(164)의 저면부는 상기 비트 라인 구조물(146)의 저면부 간의 높이 차이(d2)는 적어도 100Å이상이 되도록 한다. 이를 위하여, 상기 등방성 식각 공정에 의해 식각되는 소자 분리막 패턴(110)의 두께가 조절되어야 한다. In order to reduce the bridge failure between the bit line structure 146 and the storage node contact, the bottom portion of the storage node contact hole 164 completed through the isotropic etching process is at least 100 Å over the bottom portion of the bit line structure 146. It is preferable to make it higher than this. That is, the height difference d2 between the bottom portions of the storage node contact holes 164 may be at least 100 μs. To this end, the thickness of the device isolation layer pattern 110 to be etched by the isotropic etching process should be controlled.

한편, 상기 층간 절연막(160)이 실리콘 질화물로 형성되기 때문에, 상기 습식 식각 공정 시에 상기 층간 절연막(160)은 거의 식각되지 않는다.즉, 상기 예비 콘택홀(162)의 측벽 부위는 거의 식각 되지 않고, 상기 예비 콘택홀(162)의 하방으로만 더 식각되어 스토리지 노드 콘택홀(164)의 깊이만이 더 깊어지게 된다. 따라서, 상기 습식 식각 공정을 수행하더라도 상기 예비 콘택홀(162) 상부에서의 내부 폭이 거의 확장되지 않는다. 때문에, 상기 스토리지 노드 콘택홀들(164)이 상부에서 서로 접촉하는 등의 불량이 거의 발생되지 않는다. Meanwhile, since the interlayer insulating layer 160 is formed of silicon nitride, the interlayer insulating layer 160 is hardly etched during the wet etching process. That is, the sidewall portion of the preliminary contact hole 162 is hardly etched. In addition, only the bottom of the preliminary contact hole 162 may be etched to deepen only the depth of the storage node contact hole 164. Therefore, even when the wet etching process is performed, the inner width of the upper portion of the preliminary contact hole 162 is hardly extended. Therefore, a defect such as the storage node contact holes 164 contacting each other at the top is hardly generated.

본 실시예와는 다르게, 상기 층간 절연막(160)이 실리콘 산화물로 형성되는 일반적인 구조에서 상기 습식 식각 공정을 수행하면, 상기 예비 콘택홀이 상부 측방으로도 확장되어 상기 스토리지 노드 콘택홀의 내부 폭이 증가된다. 그러므로, 상기 스토리지 노드 콘택홀들 사이의 간격이 더 감소된다. 또한, 상기 스토리지 노드 콘택홀들이 매우 조밀하게 배치되어 있는 고집적화된 반도체 소자의 경우에는, 상기 스토리지 노드 콘택홀의 내부 폭이 증가되면서 이웃하는 스토리지 노드 콘택홀과 연결되는 문제가 발생될 수 있다. 따라서, 스토리지 노드 콘택들의 브릿지 불량이 발생될 수 있다. Unlike the present exemplary embodiment, when the wet etching process is performed in the general structure in which the interlayer insulating layer 160 is formed of silicon oxide, the preliminary contact hole also extends to the upper side, thereby increasing the internal width of the storage node contact hole. do. Therefore, the spacing between the storage node contact holes is further reduced. In addition, in the case of highly integrated semiconductor devices in which the storage node contact holes are densely arranged, a problem may arise in that the storage node contact holes are connected to neighboring storage node contact holes as the internal width of the storage node contact holes increases. Thus, bridge failure of storage node contacts may occur.

한편, 도 1m에 도시된 것과 같이, 상기 예비 콘택홀(164) 내부에 상기 액티브 영역이 노출되지 않는 경우에도, 상기 등방성 식각 공정에 의해 상기 액티브 영역의 측벽 부위가 노출되는 스토리지 노드 콘택홀들이 형성될 수 있다. 따라서, 상기 비트 라인 구조물이 미스얼라인되더라도 상기 스토리지 노드 콘택홀들은 낫 오픈 불량이 발생되지 않고 정상적으로 형성될 수 있다. Meanwhile, as shown in FIG. 1M, even when the active region is not exposed inside the preliminary contact hole 164, storage node contact holes are formed in which sidewall portions of the active region are exposed by the isotropic etching process. Can be. Therefore, even if the bit line structure is misaligned, the storage node contact holes may be normally formed without a sick open failure.

도 1n를 참조하면, 상기 스토리지 노드 콘택홀(164) 내부에 도전막을 형성하고, 상기 도전막을 연마하여 스토리지 노드 콘택(166)을 형성한다. 상기 도전막은 폴리실리콘을 포함할 수 있다. Referring to FIG. 1N, a conductive layer is formed in the storage node contact hole 164, and the storage layer is polished to form a storage node contact 166. The conductive film may include polysilicon.

상기 스토리지 노드 콘택(166)은 상기 액티브 영역(100a)의 가장자리 상부면 뿐 아니라, 상기 액티브 영역(100a)의 측벽 부위와도 접촉된다. 따라서, 상기 스토리지 노드 콘택(166)의 접촉 면적이 증가될 수 있다. 상기 스토리지 노드 콘택(166)의 접촉 면적이 증가됨에 따라, 상기 스토리지 노드 콘택(166)의 저항이 감소될 수 있다.The storage node contact 166 is in contact with the sidewall portion of the active region 100a as well as the upper surface of the edge of the active region 100a. Thus, the contact area of the storage node contact 166 may be increased. As the contact area of the storage node contact 166 increases, the resistance of the storage node contact 166 may decrease.

도시하지는 않았지만, 상기 스토리지 노드 콘택(166) 상부면에 커패시터(150)를 형성할 수 있다. 이와는 달리, 상기 스토리지 노드 콘택(166) 상에 콘택 패드를 추가적으로 더 형성한 다음, 상기 콘택 패드와 접촉하는 커패시터를 형성할 수도 있다. 상기 커패시터는 실린더형 커패시터로 형성할 수도 있고, 스택형 커패시터로 형성할 수도 있다. Although not shown, a capacitor 150 may be formed on an upper surface of the storage node contact 166. Alternatively, a contact pad may be further formed on the storage node contact 166, and then a capacitor may be formed in contact with the contact pad. The capacitor may be formed as a cylindrical capacitor or may be formed as a stacked capacitor.

상기 공정들을 수행함으로써, 디램 소자를 완성한다.By performing the above processes, the DRAM device is completed.

상술한 본 발명의 예시적인 실시예들에 따른 반도체 소자는 다양한 형태의 반도체 패키지에 실장될 수 있다. 또한 예시적인 실시예들에 따른 반도체 소자 또는 이를 포함하는 반도체 패키지는 컴퓨팅 시스템과 같은 다양한 형태의 시스템들에 적용될 수 있다. The semiconductor device according to the exemplary embodiments of the present invention described above may be mounted in various types of semiconductor packages. In addition, the semiconductor device or the semiconductor package including the same according to the exemplary embodiments may be applied to various types of systems such as a computing system.

도 3은 예시적인 실시예들에 따른 컴퓨팅 시스템의 개략적인 구성을 나타내는 블록도이다. 3 is a block diagram illustrating a schematic configuration of a computing system in accordance with example embodiments.

도 3을 참조하면, 컴퓨팅 시스템(300)은 시스템 버스에 전기적으로 연결된 마이크로프로세서(CPU)(420), 램(RAM)(430), 사용자 인터페이스(USER INTERFACE)(440), 베이스밴드 칩셋(Baseband chipset)과 같은 모뎀(MODEM)(450) 및 메모리 시스템(410)을 포함할 수 있다. 메모리 시스템(410)은 메모리 소자(412)와 메모리 컨트롤러(411)를 포함할 수 있다. 메모리 소자(412)는 상술한 예시적인 실시예들에 따른 반도체 소자 또는 디램 소자를 포함할 수 있다. 메모리 컨트롤러(411)는 메모리 소자(412)를 제어할 수 있도록 구성된다. 메모리 소자(412)와 메모리 컨트롤러(411)의 결합에 의해 메모리 시스템(410)은 메모리 카드 또는 반도체 디스크 장치(Solid State Disk: SSD)로 제공될 수 있다. 컴퓨팅 시스템(400)이 모바일 장치인 경우, 컴퓨팅 시스템(400)의 동작 전압을 공급하기 위한 배터리가 추가적으로 제공될 수 있다. 도시되지 않았지만, 예시적인 실시예들에 따른 컴퓨팅 시스템(400)에는 응용 칩셋(Application chipset), 카메라 이미지 프로세서(Camera Image Processor: CIS), 모바일 디램 등이 더 포함될 수도 있다. Referring to FIG. 3, the computing system 300 may include a microprocessor (CPU) 420, a RAM 430, a user interface 440, and a baseband chipset electrically connected to a system bus. a modem (MODEM) 450 such as chipset) and a memory system 410. The memory system 410 may include a memory device 412 and a memory controller 411. The memory device 412 may include a semiconductor device or a DRAM device according to the above-described exemplary embodiments. The memory controller 411 is configured to control the memory device 412. By combining the memory device 412 and the memory controller 411, the memory system 410 may be provided as a memory card or a solid state disk (SSD). When the computing system 400 is a mobile device, a battery for supplying an operating voltage of the computing system 400 may be additionally provided. Although not shown, the computing system 400 according to the exemplary embodiments may further include an application chipset, a camera image processor (CIS), a mobile DRAM, and the like.

상기 설명한 것과 같이, 본 발명에 의하면 콘택 저항이 감소되는 반도체 소자가 제공된다. 상기 반도체 소자는 디램 소자와 같은 메모리 소자에 사용될 수 있다. As described above, according to the present invention, a semiconductor element with reduced contact resistance is provided. The semiconductor device may be used in a memory device such as a DRAM device.

100 : 기판 100a : 액티브 영역
108 : 소자 분리용 트렌치 110 : 소자 분리막 패턴
112 : 게이트용 트렌치 122 : 매립 게이트 구조물
124 : 패드 산화막 126 : 제1 식각 저지막
130 : 제1 하드 마스크막 132 : 식각 마스크
134 : 비트 라인 콘택홀 146 : 비트 라인 구조물
152a : 실리콘 산화막 패턴 162 : 예비 콘택홀
164 : 스토리지 노드 콘택홀 166 :스토리지 노드 콘택
100: substrate 100a: active region
108: trench for device isolation 110: device isolation film pattern
112: trench for gate 122: buried gate structure
124: pad oxide film 126: first etch stop film
130: first hard mask film 132: etching mask
134: bit line contact hole 146: bit line structure
152a: silicon oxide film pattern 162: preliminary contact hole
164: storage node contact hole 166: storage node contact

Claims (10)

기판 내에 소자 분리막 패턴을 형성하여, 고립된 형상을 갖는 액티브 영역들을 형성하는 단계;
상기 액티브 영역들의 중심 부위를 식각하여 상기 기판의 평탄한 상부면보다 낮게 위치하는 비트 라인 콘택 영역을 형성하는 단계;
상기 비트 라인 콘택 영역 상에 비트 라인 구조물을 형성하는 단계;
상기 액티브 영역의 가장자리 상부면 및 이와 인접하는 소자 분리막 패턴 상부면과 접촉하는 기둥 형상의 실리콘 산화막 패턴을 형성하는 단계;
상기 기둥 형상의 실리콘 산화막 패턴의 양 측 부위를 채우면서 상기 실리콘 산화막 패턴을 둘러싸는 형상을 갖고, 상기 실리콘 산화막 패턴과 식각 선택비를 갖는 절연 물질을 사용하여 층간 절연막을 형성하는 단계;
상기 실리콘 산화막 패턴을 선택적으로 제거하여 예비 콘택홀을 형성하는 단계;
상기 예비 콘택홀 하부의 소자 분리막 패턴을 제거하여 상기 액티브 영역의 양 측 가장자리 상부면 및 측벽을 함께 노출하는 콘택홀을 형성하는 단계; 및
상기 콘택홀 내부에 도전 물질을 채워넣어 콘택을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
Forming an isolation pattern in the substrate to form active regions having an isolated shape;
Etching a central portion of the active regions to form a bit line contact region located below the flat top surface of the substrate;
Forming a bit line structure on the bit line contact region;
Forming a pillar-shaped silicon oxide film pattern in contact with the upper surface of the edge of the active region and the upper surface of the device isolation layer pattern adjacent thereto;
Forming an interlayer insulating film using an insulating material having a shape surrounding the silicon oxide film pattern while filling both side portions of the pillar-shaped silicon oxide film pattern and having an etching selectivity with the silicon oxide film pattern;
Selectively removing the silicon oxide layer pattern to form a preliminary contact hole;
Removing the device isolation layer pattern under the preliminary contact hole to form a contact hole exposing both side edge top surfaces and sidewalls of the active region together; And
And forming a contact by filling a conductive material in the contact hole.
제1항에 있어서, 상기 기판 내에 소자 분리막 패턴을 형성하는 단계는,
상기 기판에 소자 분리용 트렌치를 형성하는 단계;
상기 액티브 영역들 사이의 소자 분리용 트렌치 내부를 채우도록 실리콘 산화막을 형성하는 단계; 및
상기 실리콘 산화막을 평탄화하여 실리콘 산화물을 포함하는 소자 분리막 패턴을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
The method of claim 1, wherein the forming of the device isolation layer pattern in the substrate comprises:
Forming a device isolation trench in the substrate;
Forming a silicon oxide layer to fill an inside of the isolation trench between the active regions; And
Planarizing the silicon oxide layer to form a device isolation layer pattern including silicon oxide.
제1항에 있어서, 상기 소자 분리막 패턴이 형성된 기판 표면 상에 실리콘 질화물을 포함하는 식각 저지막을 형성하는 단계를 더 포함하는 반도체 소자의 제조 방법. The method of claim 1, further comprising forming an etch stop layer including silicon nitride on a surface of the substrate on which the device isolation layer pattern is formed. 제3항에 있어서, 상기 예비 콘택홀을 형성하는 단계는,
상기 식각 저지막이 노출되도록 상기 절연막 패턴을 제거하는 단계; 및
상기 절연막 패턴 하부의 식각 저지막을 건식 식각 공정을 통해 제거하는 단계를 포함하는 반도체 소자의 제조 방법.
The method of claim 3, wherein the forming of the preliminary contact hole comprises:
Removing the insulating layer pattern to expose the etch stop layer; And
And removing the etch stop layer under the insulating layer pattern through a dry etching process.
제1항에 있어서, 상기 층간 절연막은 실리콘 질화물을 포함하는 반도체 소자의 제조 방법. The method of claim 1, wherein the interlayer insulating layer comprises silicon nitride. 제1항에 있어서, 상기 절연막 패턴을 제거하는 단계는 습식 식각 공정을 포함하는 반도체 소자의 제조 방법. The method of claim 1, wherein removing the insulating layer pattern comprises a wet etching process. 제1항에 있어서, 상기 예비 콘택홀 하부의 소자 분리막 패턴을 제거하는 단계는 등방성 식각 공정을 포함하는 반도체 소자의 제조 방법. The method of claim 1, wherein removing the device isolation layer pattern under the preliminary contact hole comprises an isotropic etching process. 제1항에 있어서, 상기 콘택홀 하부면이 상기 비트 라인 영역의 기판 상부면보다 적어도 100Å보다 높게 위치하도록 상기 예비 콘택홀 저면의 소자 분리막 패턴을 제거하는 반도체 소자의 제조 방법. The method of claim 1, wherein the device isolation layer pattern on the bottom surface of the preliminary contact hole is removed so that the contact hole lower surface is located at least 100 kHz higher than the substrate upper surface of the bit line region. 제1항에 있어서, 상기 액티브 영역의 기판 및 소자 분리막 패턴 내부에 상기 비트 라인 구조물과 수직하게 연장되는 라인 형상의 매립 게이트 구조물을 형성하는 단계를 더 포함하는 반도체 소자의 제조 방법. The method of claim 1, further comprising forming a buried gate structure having a line shape extending perpendicular to the bit line structure in the substrate and the device isolation pattern of the active region. 제9항에 있어서, 상기 기둥 형상의 실리콘 산화막 패턴은 상기 비트 라인 구조물
및 매립 게이트 구조물 형성 부위 사이에 위치하는 액티브 영역 및 소자 분리막 패턴 상부면에 형성되는 반도체 소자의 제조 방법.
10. The method of claim 9, wherein the pillar-shaped silicon oxide pattern is the bit line structure
And forming an active region between the buried gate structure forming portions and an upper surface of the device isolation layer pattern.
KR1020120110400A 2012-10-05 2012-10-05 Method for fabricating a semiconductor device KR20140044445A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020120110400A KR20140044445A (en) 2012-10-05 2012-10-05 Method for fabricating a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120110400A KR20140044445A (en) 2012-10-05 2012-10-05 Method for fabricating a semiconductor device

Publications (1)

Publication Number Publication Date
KR20140044445A true KR20140044445A (en) 2014-04-15

Family

ID=50652401

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120110400A KR20140044445A (en) 2012-10-05 2012-10-05 Method for fabricating a semiconductor device

Country Status (1)

Country Link
KR (1) KR20140044445A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115148673A (en) * 2021-03-30 2022-10-04 长鑫存储技术有限公司 Method for manufacturing semiconductor structure
CN115148673B (en) * 2021-03-30 2024-05-14 长鑫存储技术有限公司 Method for manufacturing semiconductor structure

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115148673A (en) * 2021-03-30 2022-10-04 长鑫存储技术有限公司 Method for manufacturing semiconductor structure
CN115148673B (en) * 2021-03-30 2024-05-14 长鑫存储技术有限公司 Method for manufacturing semiconductor structure

Similar Documents

Publication Publication Date Title
KR102270361B1 (en) Method for fabricating a semiconductor device
US8022457B2 (en) Semiconductor memory device having vertical channel transistor and method for fabricating the same
KR102304926B1 (en) Semiconductor device having supporters and method of fabricating the same
KR101979901B1 (en) Method for fabricating a semiconductor device
KR102476141B1 (en) Semiconductor device including spacer and method of manufacturing the same
KR100618819B1 (en) Semiconductor device attaining improved overlay margin and manufacturing method thereof
KR102003004B1 (en) Semiconductor device with buried gate and method for fabricating the same
KR102186928B1 (en) Methods of forming patterns and methods of manufacturing semiconductor devices using the same
KR20140147434A (en) Methods of forming pads, methods of manufacturing semiconductor devices using the same, conductive pad arrays, and semiconductor devices including the same
US20150371895A1 (en) Method for manufacturing smeiconductor device
WO2014123170A1 (en) Semiconductor device and method for manufacturing same
US8999827B2 (en) Semiconductor device manufacturing method
JP4717374B2 (en) Method for forming a self-aligned contact structure using a sacrificial mask film
KR100843714B1 (en) Method of forming a contact structure and method of fabricating a semiconductor device using the same
KR100699915B1 (en) Semiconductor device and method for manufacturing the same
KR101985951B1 (en) A semiconductor device and method for fabricating the same
KR20220117385A (en) Semiconductor device and method of fabricating the same
US11785763B2 (en) Semiconductor devices having contact plugs
CN111916453B (en) Semiconductor structure and manufacturing method thereof
KR20140044445A (en) Method for fabricating a semiconductor device
JP2014175316A (en) Semiconductor device and semiconductor device manufacturing method
KR20070111795A (en) A contact structure and method of manufacturing the same
KR100844939B1 (en) Method for manufacturing semiconductor device with gate line of fine line width
JP2016048708A (en) Semiconductor device manufacturing method
KR20120057462A (en) Semiconductor device and method for forming the same

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid