KR20130111607A - 개선된 벡터화 안정화를 갖는 크로스토크 소거 디바이스 및 방법 - Google Patents

개선된 벡터화 안정화를 갖는 크로스토크 소거 디바이스 및 방법 Download PDF

Info

Publication number
KR20130111607A
KR20130111607A KR1020137018425A KR20137018425A KR20130111607A KR 20130111607 A KR20130111607 A KR 20130111607A KR 1020137018425 A KR1020137018425 A KR 1020137018425A KR 20137018425 A KR20137018425 A KR 20137018425A KR 20130111607 A KR20130111607 A KR 20130111607A
Authority
KR
South Korea
Prior art keywords
line
crosstalk
communication
lines
list
Prior art date
Application number
KR1020137018425A
Other languages
English (en)
Other versions
KR101529537B1 (ko
Inventor
프랭크 데포르트
얀 베린덴
디크 반더르해켄
브루이쎌 대니 반
칼 누즈만
Original Assignee
알까뗄 루슨트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 알까뗄 루슨트 filed Critical 알까뗄 루슨트
Publication of KR20130111607A publication Critical patent/KR20130111607A/ko
Application granted granted Critical
Publication of KR101529537B1 publication Critical patent/KR101529537B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B3/00Line transmission systems
    • H04B3/02Details
    • H04B3/32Reducing cross-talk, e.g. by compensating
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/06Receivers
    • H04B1/10Means associated with receiver for limiting or suppressing noise or interference
    • H04B1/12Neutralising, balancing, or compensation arrangements
    • H04B1/123Neutralising, balancing, or compensation arrangements using adaptive balancing or compensation means
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J3/00Time-division multiplex systems
    • H04J3/02Details
    • H04J3/10Arrangements for reducing cross-talk between channels
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04MTELEPHONIC COMMUNICATION
    • H04M3/00Automatic or semi-automatic exchanges
    • H04M3/22Arrangements for supervision, monitoring or testing
    • H04M3/26Arrangements for supervision, monitoring or testing with means for applying test signals or for measuring
    • H04M3/34Testing for cross-talk

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Cable Transmission Systems, Equalization Of Radio And Reduction Of Echo (AREA)

Abstract

크로스토크 소거 디바이스는 벡터화 그룹의 일부를 형성하는 통신 피해 라인의 최대 M개의 통신 방해 라인들(라인 A, 라인 B, 라인 C, 라인 D, 라인 E, 라인 F, 라인 G, 라인 H, 라인 I, 라인 J, 라인 K, 라인 L, 라인 M, 라인 N, 라인 O)의 크로스토크 잡음을 소거한다. 상기 크로스토크 소거 디바이스는 상기 통신 피해 라인의 아직 소거되지 않은 통신 방해 라인(라인 J, 라인 K, 라인 L, 라인 M, 라인 N, 라인 O)의 크로스토크 잡음의 소거를 요구하는 상기 벡터화 그룹의 크로스토크 잡음 변화시 상기 통신 피해 라인의 소거된 통신 방해 라인(라인 I, 라인 K)의 소거 깊이를 점진적으로 감소시킨다.

Description

개선된 벡터화 안정화를 갖는 크로스토크 소거 디바이스 및 방법{CROSSTALK CANCELLATION DEVICE AND METHOD WITH IMPROVED VECTORING STABILISATION}
본 발명은 일반적으로 통신 라인들 간의 크로스토크 소거, 예를 들어, 특정한 트위스티드 페어들(twisted pairs), 즉 통신 방해 라인들을 통해 다른 사용된 트위스티드 페어, 즉 통신 피해 라인으로 전송된 디지털 가입자 회선(DSL; Digital Subscriber Line) 신호들의 원치 않는 누설을 초래하는 서로 간섭하는 동일한 케이블 바인더 또는 번들 내의 DSL 트위스티드 페어들 간의 크로스토크 소거에 관한 것이다. 이러한 크로스토크는 상기 통신 피해 라인의 신호-대-잡음 비(SNR) 및 그 결과 또한 비트 레이트도 감소시키는 상기 통신 피해 라인의 잡음을 나타낸다. 예를 들어, 당면하는 라인 또는 환경적 조건들의 변화로부터 초래되는 이러한 크로스토크의 갑작스러운 증가는 상기 통신 피해 라인이 예를 들어, SRA(seamless rate adaptation) 또는 비트스왑(bitswap) 동작들을 통해, 충분히 빠르게 적응하지 못할 때 불안정성을 유발할 수 있다. 본 발명은 특히 갑작스런 크로스토크 채널 변화들의 경우에도 이러한 불안정성 문제를 해결하는 크로스토크 소거 기술에 관한 것이다.
"VDSL2 트랜시버들과 함께 사용하기 위한 자가-FEXT 소거(벡터화)(Self-FEXT Cancellation (Vectoring) for Use with VDSL2 Transceivers)"이라는 제목의 ITU-T 권고 G.993.5는 DSL 라인들에 대한 크로스토크 소거 매커니즘인 벡터화를 개시한다. DSL 라인들을 방해함으로써 피해 DSL 라인으로 유도된 크로스토크는 상기 방해 DSL 라인들로부터의 상기 크로스토크 잡음을 보상하는 반대-신호(anti-signal)를 상기 피해 DSL라인에 추가함으로써 소거된다. 상기 피해 DSL 라인의 모든 이들 방해 DSL 라인들로부터의 크로스토크를 동시에 억제할 수 있는 복수의 방해 DSL 라인들에 대해 벡터화가 행해질 수 있다. 다운스트림 방향, 즉, 중앙국(CO; central office)으로부터 고객 구내 장비(CPE; customer premises equipment)로의 방향에서, 상기 피해 DSL 라인을 통해 송신될 원하는 DSL 신호에 상기 크로스토크의 반대-신호를 추가함으로써 크로스토크에 대해 선-보상하는 프리-코더(pre-coder)에 의해 크로스토크 소거가 행해진다. 업스트림 방향, 즉 CPE로부터 CO로의 방향에서, 상기 피해 DSL 라인으로부터 수신된 상기 DSL 신호에 상기 크로스토크의 반대-신호를 추가함으로써 크로스토크에 대해 후-보상하는 포스트-코더(post-coder)에 의해 실행된다.
크로스토크 소거는 서로 상호 작용하는 모든 통신 라인들, 예를 들어, 소위 벡터화 그룹이라고 하는, 동일한 바인더 또는 케이블 번들의 라인들의 그룹화에 의존한다. 이러한 벡터화 그룹에서, 각각의 통신 라인이 모든 다른 라인들에 크로스토크를 유도하는 통신 방해 라인(및 본 출원서의 나머지 부분 전체에서 "방해자"라고 함)으로 고려되고, 각각의 통신 라인이 모든 다른 라인들로부터 크로스토크를 수신하는 통신 피해 라인(및 본 출원서의 나머지 부분 전체에서 "피해자"라고 함)으로 고려된다. 하나의 방해자로부터 하나의 피해자로 유도된 크로스토크는 두 부분들: 전달 함수 또는 소위 "크로스토크 채널", 즉 크로스토크 결합을 설명하는 두 라인들 간의 함수, 및 상기 방해자 상에 송신된 상기 신호의 송신 전력 스펙트럼 밀도(PSD; Power Spectral Density)로 나뉜다. 방해자 및 피해자의 임의의 조합에 대해 특정한 크로스토크 채널이 있다. 상기 유도된 크로스토크는 상기 방해자의 송신 PSD 및 상기 특정 크로스토크 채널의 곱으로 계산된다. 상기 방해자와 피해자 사이의 크로스토크 채널은 예를 들어 초기화 동안 측정되고 열(row)이 피해자들을 나타내고 행(column)이 방해자들을 나타내는, 또는 그 역의 크로스토크 채널 매트릭스의 크로스토크 채널 계수로 나타낸다. 예를 들어, 두 VDSL 라인들 간의 크로스토크 채널 계수를 측정하기 위해, 방해 VDSL 라인의 초기화 동안 파일럿 신호가 SYNC 심볼들에 중첩되고, 이 파일럿 신호에 의해 상기 피해 VDSL 라인에 유도된 크로스토크 잡음을 인식하기 위해 상관 기술들이 적용된다. 그 후 상기 크로스토크 채널 계수가 공지된 파일럿 신호 및 감지된 잡음으로부터 계산된다. 상기 크로스토크 채널 매트릭스에서 상기 피해자들이 열들을 나타내고 상기 방해자들이 행들을 나타내면, 상기 크로스토크 채널 매트릭스의 각 열은 상기 벡터화 그룹의 모든 방해자들에 의해 단일 피해자에 유도된 전체 크로스토크를 나타내는 벡터를 구성한다. 이론적으로, 이러한 벡터는 총 크로스토크의 역을 나타내는 단일 반대-신호의 추가를 통해 상기 피해자로 모든 방해자들의 크로스토크의 소거를 동시에 할 수 있게 한다.
불행히도, 기술적 제약들이 큰 벡터화 그룹의 모든 라인들의 크로스토크를 소거할 수 있는 벡터화 시스템을 생성하는 것을 막는다. 예를 들어, 400개의 VDSL 라인들의 벡터화 그룹의 크로스토크를 소거하기 위해, 초 당 약 1013 회의 곱셈 및 누적(MAC; Multiply and Accumulate) 연산이 실행되어야 한다. 이는 비용 및 전력 효율 면에서 현재 기술에서 실현가능하지 않다. 결과적으로, 피해자 내의 벡터화 그룹의 제한된 수의 방해자들, 예를 들어, 최대 M = 16개의 방해자들의 크로스토크를 소거할 수 있는, 부분적인 크로스토크 소거가 현재 구현된다. 이들 16개의 방해자들은 상기 벡터화 그룹 내에서 임의로 선택될 수 있지만 명백한 이유는 고려 중인 피해자에 대해 가장 우세한 방해자들을 나타내는 것이 바람직하다. 전형적으로, 각각의 피해자에 대해 M개의 가장 우세한 방해자들을 결정하기 위한 알고리즘이 구현될 수 있어서 이들 M개의 방해자들에 의해 유도된 크로스토크를 소거할 수 있는 한편, 상기 벡터화 그룹의 다른 방해자들로부터 오는 크로스토크는 소거되지 않고 남아있어서 상기 피해자 상의 총 잔여 잡음을 최소화하고 따라서 상기 피해자 상에서 달성가능한 비트 레이트를 최적화한다. 이 알고리즘은 총 스펙트럼에 기초하여 우세한 방해자들의 단일 리스트를 생성할 수 있거나 상기 스펙트럼의 임의의 부분들에 대해 다수의 리스트들을 생성할 수 있다.
최대 M개의 방해자들의 크로스토크가 이미 소거된 시점에서 크로스토크 잡음 변화의 경우, 이 크로스토크 잡음 변화가 상기 피해자들의 안정성에 영향을 줄 수 있다. 상기 크로스토크 잡음 변화는 크로스토크 채널 변경의 결과로서 상기 벡터화 그룹의 라인으로부터 방해가 시작 또는 증가하는 부가적인 라인 또는 상기 방해자에 의해 송신된 증가된 신호 PSD로부터 초래될 수 있다. 예를 들어, 크로스토크 채널 변경은 어느 방해자 및 피해자 라인들이 더 강하게 결합되는지의 결과로서, 온도 변화들, 배선 강도 변경들, 비 또는 수분 누설, 등과 같은 환경 변경들에 의해 초래될 수 있다. 크로스토크 잡음 변화의 결과로서, 상기 벡터화 그룹의 소거되지 않은 라인은 소거되어야 하는 더 우세한 방해자가 될 수 있다. 부분적인 크로스토크 소거의 경우 간단한 접근 방법은 새롭게 시작하는 라인 또는 가변하는 크로스토크 채널 또는 특정한 방해자의 증가된 PSD 송신 신호로부터 초래된 새로운 크로스토크 잡음이 특정한 피해자에 대해 M개의 소거된 방해자들 중 가장 덜 우세한 방해자의 크로스토크 잡음 레벨보다 크면 추정할 것이다. 새로운 크로스토크 잡음이 특정한 피해자에 대해 가장 덜 우세한 소거된 방해자의 크로스토크 잡음 레벨보다 작은 경우, 새로운 라인이 자신의 크로스토크 잡음을 소거하지 않고 특정한 피해자로 시작되거나 변경된 크로스토크 잡음을 갖는 특정한 방해자가 상기 특정한 피해자에 대해 소거되지 않은 채로 남을 것이다. 불행히도, 상기 새로운 라인의 시작 또는 가변하는 크로스토크 채널 또는 소거되지 않은 가변하는 송신 PSD를 갖는 상기 방해자를 떠나는 것은 갑작스러운 크로스토크 잡음 부스트, 즉, 이들 피해자들의 안정성에 영향을 줄 수 있는 임의의 피해자에 잔여 잡음을 생성할 수 있다. 상기 새로운 크로스토크 잡음이 가장 덜 우세한 소거된 방해자의 크로스토크 잡음 레벨보다 큰 경우, 이 가장 덜 우세한 방해자는 더 이상 소거되지 않을 것이다. 이는 M개의 소거된 방해자들의 리스트로부터 제거될 수 있고, 상기 새로운 또는 증가된 방해자로 대체될 수 있다. 어쨌든, 이러한 상황은 또한 더 이상 소거되지 않는 상기 가장 덜 우세한 방해자로부터 기인하는 크로스토크 잡음에 갑작스러운 부스트를 초래할 것이다. 이러한 갑작스러운 크로스토크 잡음 부스트는 또한 피해 라인들 상에 불안정성을 초래할 것이다.
요약하면, 벡터화에 기초한 완전한 크로스토크 소거는 큰 벡터화 그룹들에 대해 기술적으로 실현 가능하지 않고, 부분적인 크로스토크 소거는 크로스토크 잡음 변화의 경우 불안정성 문제들을 겪는다.
본 발명의 목적은 상기 언급된 단점을 극복하고, 피해 라인들로 하여금 불안정성의 위험 없이 크로스토크 잡음 변화에 대응하도록 하는 벡터화 그룹에서 크로스토크 소거를 위한 디바이스 및 방법을 개시하는 것이다.
본 발명에 따라, 청구항 1항에 규정된 바와 같이 통신 피해 라인의 최대 M개의 통신 방해 라인들의 크로스토크 잡음을 소거하기 위한 크로스토크 소거 디바이스로서, 상기 규정된 목적은 통신 방해 라인들 및 통신 피해 라인이 벡터화 그룹의 일부를 형성하고, M은 양의 정수이고, 상기 통신 피해 라인의 아직 소거되지 않은 통신 방해 라인의 크로스토크 잡음이 소거될 것을 요구하는 상기 벡터화 그룹의 크로스토크 잡음 변화시 상기 통신 피해 라인의 소거된 통신 방해 라인의 소거 깊이를 점진적으로 감소시키도록 구성되는, 상기 크로스토크 소거 디바이스에 의해 실현된다.
따라서, 상기 M개의 소거된 방해자들의 리스트로부터 가장 덜 우세한 방해자를 단순히 제거하는 대신, 본 발명의 원리에 따라 동작하는 상기 크로스토크 소거기는 고려 중인 특정 피해자의 소거 깊이, 즉 크로스토크 소거 정도를 점진적으로 감소시켜서, 상기 특정 피해자의 더 이상 소거되지 않을 라인(들)으로부터 크로스토크 잔여 잡음을 증가시킨다. 이러한 방식으로, 상기 피해자 라인의 불안정성을 초래할 수 있는 갑작스러운 크로스토크 잡음 부스트가 회피된다. 본 발명의 결과로서, 예를 들어, ITU-T VDSL 권고 G.993.2에 규정된 바와 같이, 비트스왑 또는 SRA와 같은 매커니즘들을 통해 증가된 크로스토크 레벨로 균일하게 적응될 가능성을 가질 것이다. 이들 기술들은 다소 느리고, 전형적으로 완전한 DSL 스펙트럼을 몇 분의 일 초에서 수십 초 단위로 처리할 수 있다. 상기 비트스왑 동작이 상기 DSL 스펙트럼 상의 비트들을 이동시킬 수 있고, 상기 SRA 동작은 비트레이트를 신호-대-잡음 비(SNR) 마진 및 그 반대로 변환할 수 있다. 상기 비트스왑 및 SRA의 조합은 잡음 상태들이 천천히 증가하도록 DSL 시스템이 에러 없이 적응하도록 한다. 특정 피해자에서 더 이상 소거되지 않을 DSL 라인의 크로스토크 잡음이 시간 간격 예를 들어, 1분 동안 점차 증가하도록 할 때 본 발명을 통해 이러한 상태가 생성된다. 그러나, 비트스왑 및 SRA는 본 발명이 사용되지 않으면 발생할 수 있는 갑작스러운 크로스토크 잡음 부스트로 적응하기 적합하지 않다. 본 발명의 결여 시, 이러한 크로스토크 잡음 부스트가 전체 주파수 스펙트럼 또는 단지 그 일부에 존재할 수 있다는 것을 주의한다.
또한, 본 발명은 또한 새롭게 당면하는 라인, 예를 들어, 변경된 날씨 상태들의 결과로서 변경된 크로스토크 채널 또는 변경된 방해자 송신 PSD로부터 초래된 크로스토크 잡음 변화 후에 가장 우세한 방해자들이 소거되어, 상기 피해 라인 상의 달성가능한 비트레이트를 부분적인 크로스토크 소거를 통해 최적화할 수 있다는 것을 보장한다.
청구항 1항에 규정된 바와 같은 크로스토크 소거 디바이스에 부가하여, 본 발명은 또한 청구항 11항에 규정된 바와 같은, 통신 피해 라인의 최대 M개의 통신 방해 라인들의 크로스토크 잡음을 소거하기 위한 대응하는 크로스토크 소거 방법에 있어서, 상기 통신 방해 라인들 및 상기 통신 피해 라인은 벡터화 그룹의 일부를 형성하고, M은 양의 정수이고, 상기 통신 피해 라인의 아직 소거되지 않은 통신 방해 라인의 크로스토크 잡음이 소거될 것을 요구하는 상기 벡터화 그룹의 크로스토크 잡음 변화시 상기 통신 피해 라인의 소거된 통신 방해 라인의 소거 깊이를 점진적으로 감소시키는 단계를 포함하는, 상기 크로스토크 소거 방법에 관한 것이다.
선택적으로, 청구항 2항에 규정된 바와 같이, 본 발명에 따른 크로스토크 소거 디바이스는 최대 M개의 통신 방해 라인들의 리스트에 K개의 예비 위치들을 예비하도록 구성되고, K는 1 이상의 정수이다.
실제로, 예를 들어 시작을 지연하지 않고 동시에 시작하는 K = 3개의 새로운 방해자들의 크로스토크가 소거될 수 있는, 상기 피해 라인에 대해 인에이블하기 위해, 상기 크로스토크 소거기는 소거할 수 있는 상기 M개의 방해자들의 리스트에 항상 3개의 예비 위치들을 유지해야 한다. 3개의 새로운 방해자들의 시작 시, 상기 크로스토크 소거기는 M개의 방해 라인들까지 크로스토크를 일시적으로 소거할 수 있다. 그 후, 3개의 예비 위치들보다 적다면, 시간 간격, 예를 들어, 1 분 동안 3개의 예비 위치들을 재-생성하기 위해 가장 덜 우세한 방해자들 중 일부가 균일하게 위상 변경된다(phased-out). 명백하게, 상기 숫자 3은 예로서 주어진 것이다. 일반적으로, 임의의 수 K개의 예비 위치들(K는 0과 M 사이(M을 포함)의 정수)이 실현가능하다. 상기 파라미터 K가 0으로 설정되는 경우, 상기 크로스토크 소거 디바이스의 동작은 파라미터 K를 갖지 않는 크로스토크 소거 디바이스의 동작으로 감소될 것이다. 본 발명의 이러한 실시예 또는 동시에 시작하는 방해자들의 수가 K보다 큰 임의의 상황에서, 하나 이상의 새로운 방해자(들)의 시작은 가장 덜 우세한 소거된 방해자(들)가 각각의 피해 라인에서 균일하게 위상 변경될 때까지 지연될 것이다. 상기 파라미터 K가 M과 동일하게 설정되는 경우, 각각의 새로운 시작 라인의 영향이 약화될 것이고 벡터화 그룹의 개념이 본 발명을 적용할 때 사라질 것이다.
또한 선택적으로, 청구항 3항에 규정된 바와 같이, 상기 수 K는 변경가능하다.
실제로, 상기 수 K는 제조자에 의해 미리-구성되거나 조작자에 의해 변경될 수 있다. 상기 수는 동시에 연결되는 라인들에 대해 더 높은 리스크를 갖는, 예를 들어, CPE가 스위치 온될 때 다수의 라인들이 동시에 시작하는 접합 CPE의 존재 시, 벡터화 그룹들에서 더 높을 수 있다. 예비 위치들의 수는 또한 동적으로 조정, 예를 들어, 더 큰 수의 라인들이 동시에 연결되고 긴 시작 지연들을 회피하는 상황들을 기대할 수 있다.
본 발명의 다른 양태에 따라, 청구항 4항에 의해 규정된, J개의 아직 소거되지 않은 통신 방해 라인들의 크로스토크가 크로스토크 잡음 변화의 결과로서 상기 피해 라인에서 소거되어야 하는 경우, J는 M-K-A 이하의 양의 정수를 나타내고, A는 상기 피해 라인에서 크로스토크가 실제로 소거된 통신 방해 라인의 수를 나타내고, 본 발명에 따른 상기 크로스토크 소거 디바이스는 상기 J개의 아직 소거되지 않은 통신 방해 라인들을 상기 리스트에 추가하도록 구성될 수 있다.
따라서, 실제로 소거된 방해자들의 양 A가 낮고 상기 K개의 예비 위치들에 영향을 주지 않고 J개의 새로운 시작 라인들을 허용하기에 충분한 공간이 상기 최대 M개의 방해자들의 리스트에 있는 상황에서, 상기 J개의 새로운 시작 라인들은 상기 리스트에 추가될 것이고 본 발명에 따른 상기 크로스토크 소거기는 상기 피해자에서 이들 J개의 새로운 방해자들에 의해 유도된 크로스토크를 소거할 수 있다. 상기 J개의 결합하는 라인들의 시작이 지연될 필요가 없다.
본 발명의 다른 양태에 따라, 청구항 5항에 규정된, J개의 아직 소거되지 않은 통신 방해 라인들의 크로스토크가 크로스토크 잡음 변화의 결과로서 상기 피해 라인에서 소거되어야 하는 경우, J는 M-A 이하이고 M-K-A보다 큰 양의 정수를 나타내고, A는 상기 피해 라인에서 크로스토크가 실제로 소거된 통신 방해 라인의 수를 나타내고, 본 발명에 따른 상기 크로스토크 소거 디바이스는 상기 J개의 아직 소거되지 않은 통신 방해 라인들을 상기 리스트에 추가하고, A와 J를 증가시키고, 상기 통신 피해 라인의 리스트 중 A-M+K개의 최소 통신 방해 라인들의 소거 깊이를 점진적으로 감소시키도록 구성될 수 있다.
따라서, 동시에 시작하는 라인들의 수 J가 상기 리스트의 빈 위치들의 수보다 작은 상황, 즉, J <= M-A이지만, 상기 K개의 예비 위치들의 일부 또는 전부가 상기 J개의 결합하는 라인들을 허용하기 위해 필요, 즉, J > M-K-A인 상황에서, 상기 J개의 새롭게 시작하는 라인들은 상기 리스트에 추가될 것이고 본 발명에 따른 상기 크로스토크 소거기는 상기 J개의 라인들 중 어느 하나의 시작도 지연하지 않고 상기 피해 라인에 이들 J개의 새로운 방해자들로 인해 유도된 크로스토크 잡음을 소거할 것이다. 상기 특정 피해자에서 실제로 소거된 방해자들의 양을 나타내는, 상기 파라미터 A는 J만큼 증가될 것이다. 그 후, 상기 크로스토크 소거기는 A-M+K개의 최소 방해 라인들의 상기 크로스토크 소거 깊이를 점차, 예를 들어, 1분의 시간 간격에 걸쳐 감소시킴으로써 상기 K개의 예비 위치들을 해제할 수 있다. 이들 A-M+K개의 최소 방해 라인들은 하나 이상의 J개의 새롭게 결합된 라인들을 포함할 수 있다.
본 발명의 다른 양태에 따라, 청구항 6항에 규정된, J개의 아직 소거되지 않은 통신 방해 라인들의 크로스토크가 크로스토크 잡음 변화의 결과로서 상기 피해 라인에서 소거되어야 하는 경우, J는 M-A보다 큰 양의 정수를 나타내고, A는 상기 피해 라인에서 크로스토크가 실제로 소거된 통신 방해 라인의 수를 나타내고, 상기 크로스토크 소거 디바이스는 상기 J개의 아직 소거되지 않은 통신 방해 라인들 중 M-A개를 상기 리스트에 추가하고, 상기 통신 피해 라인의 리스트 중 K개의 최소 통신 방해 라인들의 소거 깊이를 점진적으로 감소시키고, 상기 J개의 아직 소거되지 않은 통신 방해 라인들 중 다른 K개를 상기 리스트에 추가하고, 상기 J개의 아직 소거되지 않은 통신 방해 라인들이 상기 리스트에 추가될 때까지 마지막 두 단계들을 반복하도록 구성된다.
따라서, 새로 시작되는 라인들의 양 J가 상기 리스트 내의 사용가능한 위치들을 초과, 즉, J > M-A이면, M-A개의 새롭게 시작하는 라인들이 상기 리스트에 추가될 수 있고 본 발명에 따른 크로스토크 소거기가 이들 M-A개의 라인들의 시작을 지연시키지 않고 상기 피해자에서 이들 M-A개의 새로운 방해자들에 의해 유도된 크로스토크 잡음을 소거할 것이다. 그 후, 본 발명에 따른 상기 크로스토크 소거기가 상기 리스트에서 K개의 예비 위치들을 해제하기 위해 K개의 최소 소거된 방해 라인들을 균일하게 위상 변경할 것이다. 일단 이렇게 하면, 상기 크로스토크 소거기는 상기 J개의 새롭게 시작되는 라인들 중 K개의 부가적인 라인들로 하여금 상기 리스트에 결합되고 시작되도록 할 것이다. 상기 크로스토크 소거기는 다시 상기 K개의 부가적인 라인들에 의해 유도된 크로스토크를 소거할 것이다. 일단 쇼타임에서 상기 크로스토크 소거기가 상기 K개의 최소 방해 라인들을 반복적으로 위상 변경하고 K개의 라인들의 다른 세트가 결합되도록 할 것이다. 이는 모든 J개의 라인들이 결합될 때까지 반복될 것이다. 마지막 반복에서, 가능한 K보다 적은 라인들이 결합할 것이다.
대안적으로, 청구항 7항에 규정된 바와 같이, J개의 아직 소거되지 않은 통신 방해 라인들의 크로스토크가 크로스토크 잡음 변화의 결과로서 상기 피해 라인에서 소거되어야 하는 경우, J는 M-A보다 큰 양의 정수를 나타내고, A는 상기 피해 라인에서 크로스토크가 실제로 소거된 통신 방해 라인의 수를 나타내고, 본 발명에 따른 상기 크로스토크 소거 디바이스는 상기 J개의 아직 소거되지 않은 통신 방해 라인들 중 M-A개를 상기 리스트에 추가하고, K를 증가시키고, 상기 통신 피해 라인의 상기 리스트 중 K개의 최소의 통신 방해 라인들의 소거 깊이를 반복적이고 점진적으로 감소시키고, 상기 J개의 아직 소거되지 않은 통신 방해 라인들이 상기 리스트에 추가될 때까지 K개의 아직 소거되지 않은 방해 라인들을 상기 리스트에 추가하고, K를 리셋하도록 구성된다.
따라서, K개의 라인들이 결합되고 다음 반복을 위해 예비 위치들을 생성하기 위해 K개의 라인들이 균일하게 위상 변경하는 것을 반복적으로 허용하는 대신, 동적으로 조정가능한 파라미터 K를 갖는 본 발명에 따른 크로스토크 소거기의 실시예는 상기 파라미터 K를 J-M+A와 동일하게 일시적으로 증가시킬 수 있다. 이러한 방식으로, 제 2 반복에서 모든 시작하는 라인들이 추가의 지연 없이 결합할 수 있게 하도록 충분한 예비 위치들이 상기 리스트에 생성될 것이다. 대안적으로, 예를 들어, J가 M보다 클 때 또는 벡터화 그룹의 모든 라인들이 충돌 후에 동시에 시작할 때, K는 가장 우세한 방해자들의 리스트를 조정하기 위해 필요한 반복들의 양을 감소시키기 위해 일시적으로 증가될 수 있다. 이러한 방식으로, 시작하는 라인들에 대한 평균 지연이 최소화될 것이다.
선택적으로, 청구항 8항에 규정된 바와 같이, 본 발명에 따른 상기 크로스토크 소거 디바이스는 프리-코더(pre-coder) 및/또는 포스트-코더(post-coder)의 모든 이산 다중-톤(DMT; Discrete Multi-Tone) 톤들에 대해 상기 통신 방해 라인의 크로스토크 채널 계수들을 0으로 점진적으로 낮추는 것을 통해 통신 방해 라인의 소거 깊이를 점진적으로 감소시키도록 구성될 수 있다.
실제로, 크기 차원에서 방해자를 점차 위상 변경하는 한가지 방식은 상기 프리-코더(다운스트림 방향으로) 및/또는 포스트-코더(업스트림 방향으로)에 의해 사용된 상기 크로스토크 채널 계수들을 단계적으로 0으로 감소시키는 단계로 구성된다. DSL 라인에 기반한 DMT와 같은 다중-톤 시스템에서, 모든 톤들의 크로스토크 계수들은 동시에 감소될 것이다.
상기 균일한 위상 변경은 소프트웨어, 예를 들어, VCE(Vector Control Entity)에서 구현될 수 있거나 대안적으로 상기 프리-코더 및 포스트-코더 하드웨어에서 구현될 수 있다.
대안적으로, 청구항 9항에 규정된 바와 같이, 본 발명에 따른 상기 크로스토크 소거 디바이스는 DMT의 톤 또는 DMT의 톤 그룹에 대해 프리-코더 및/또는 포스트-코더의 상기 통신 방해 라인의 크로스토크 채널 계수들을 점진적으로 제거하는 것을 통해 통신 방해 라인의 소거 깊이를 점진적으로 감소시키도록 구성된다.
따라서, 다중-톤 시스템의 경우에서, 방해자의 균일한 위상 변경은 또한 상기 프리-코더 및/또는 포스트-코더에서 사용된 상이한 DMT 톤들의 크로스토크 채널 계수들을 교대로 0으로 설정함으로써 주파수 차원에서 달성될 수 있다. 가능한 한, 상기 DMT 톤들은 서브세트들로 조합될 수 있고 상기 크로스토크 채널 계수들이 서브세트 별로 0으로 설정될 것이다.
여전히 다른 대안에 따라, 청구항 10항에 규정된, 본 발명에 따른 상기 크로스토크 소거 디바이스는 상기 통신 피해 라인에 가상 잡음을 주입하는 것을 통해 통신 방해 라인의 크로스토크 잡음을 증가시키도록 구성되고, 상기 가상 잡음 레벨은 프리-코더 및/또는 포스트-코더에서 사용된 크로스토크 채널 계수들로부터 직접 유도되고, 이후 상기 프리-코더 및/또는 포스트-코더의 상기 통신 방해 라인의 상기 모든 크로스토크 채널 계수들을 제거한다.
실제로, 상기 통신 피해 라인에 가상 잡음을 주입함으로써, 후자는 비트스왑 또는 SRA와 같은 전통적인 매커니즘들을 사용하여 더 높은 가정된 잡음을 갖는 상황으로 비트 레이트를 조정할 것이다. 가상 잡음을 사용하는 것의 장점은 상기 잡음이 가상이기 때문에 상기 통신 피해 라인 상의 불안정성을 초래하지 않고 일단 적용될 수 있다는 것이다. 일단 상기 피해 라인이 위상 변경을 위해 선택된 상기 방해자에 의해 유도된 크로스토크 잡음에 대응하는 가상 잡음 레벨로 조정되면, 상기 프리-코더 및/또는 포스트-코더에 의해 사용된 상기 후자의 크로스토크 채널 계수들은 모두 한번에 0으로 설정될 수 있다. 이러한 가상 잡음 절차가 충분히 빠르면, 이는 0과 같은 K와 동작하고 모든 다른 라인들이 자신의 가상 잡음을 고려할 때까지 라인들의 시작을 항상 지연하기 위한 대안이다.
도 1은 피해 라인 V의 크로스토크의 소거를 위해 본 발명에 따른 크로스토크 소거기의 실시예에서 소거된 방해자들의 리스트(101)를 나타내는 도면.
도 2는 J개의 새로운 방해자들이 병렬로 결합되는 제 1 상황에서 도 1로부터 공지된 피해 라인 V에 대해 소거된 방해자들의 리스트의 발전을 도시하는 도면.
도 3은 J개의 새로운 방해자들이 병렬로 결합되는 제 2 상황에서 도 1로부터 공지된 피해 라인 V에 대해 소거된 방해자들의 리스트의 발전을 도시하는 도면.
도 4는 J개의 새로운 방해자들이 병렬로 결합되는 제 3 상황에서 도 1로부터 공지된 피해 라인 V에 대해 소거된 방해자들의 리스트의 발전을 도시하는 도면.
도 1은 벡터화에 기초하여 본 발명에 따른 크로스토크 소거기의 피해 라인 V에 대한 방해자들의 정렬된 리스트(101)를 도시한다. 이 리스트에서, 가장 우세한 방해자들은 하부에 리스트되고, 가장 덜 우세한 방해자들은 상부에 리스트된다. 따라서, 라인 A는 피해 라인 V에 대해 가장 우세한 방해자이다. 방해 감소 순서에서, 라인 V는 라인 A, 라인 B, 라인 C, 라인 D, 라인 E, 라인 F, 라인 G, 라인 H, 라인 I, 라인 J, 라인 K, 라인 L, 라인 M, 라인 N, 라인 O, 라인 P 및 라인 Q에 의해 유도된 크로스토크 잡음의 피해자이다. 라인 V가 일부를 형성하는 벡터화 그룹은 N개의 라인들을(예를 들어, N = 100) 포함한다. 임의의 이들 라인들은 모든 다른 라인들에 대한 피해자 라인으로 고려되고, 임의의 라인은 모든 다른 라인들에 크로스토크 잡음을 유도하는 방해자로서 고려된다. 피해 라인 당 소거될 수 있는 방해자들의 최대 수는 M이다. 도 1에 의해 예시된 실시예에서, 피해자 V에 대해 M = 16이다. 또한 도 1은 모든 피해자들에 대해 우세한 방해자 리스트의 K개의 예비 위치들을 도시하고, K는 3으로 추정된다. 결과적으로, 크로스토크가 피해자 V에서 효과적으로 소거될 수 있는 방해자들의 수 C = M-K = 15-3 = 12이다.
도 2, 도 3 및 도 4는 상기 벡터화 그룹의 J개의 새로운 방해자들이 동시에 시작하기를 원하는 상이한 상황들에서 피해자 V에 대한 방해자들의 리스트의 시간에 따른 발전을 도시한다. J개의 새로운 라인들이 시작하기를 원하는 시점에서 피해자 V에 대해 소거된 라인들의 실제 수 A에 따라, 상이한 접근 방법이 본 발명에 따른 크로스토크 소거기에 의해 취해진다.
도 2는 J <= M-K-A인 상황을 도시한다. 도 2에서, J = 3은 3개의 새로운 라인들, 즉, 시점, "결합 전(BEFORE JOINING)"에 시작하기를 원하는 라인 J, 라인 K 및 라인 L을 나타내고, 그 시점에서 소거된 라인들의 실제 수는 A = 9이다. 피해자 V에서 "결합 전" 시점에서 실제로 소거된 9개 라인들은 방해자들의 감소하는 순서이다: 라인 A, 라인 B, 라인 C, 라인 D, 라인 E, 라인 F, 라인 G, 라인 H 및 라인 I. 따라서 결합 전에 피해 라인 V에 대해 소거된 방해자들의 리스트는 도 2에 201로 도시된다. 3개의 결합 라인들이 모두 소거되기 때문에, 이들은 우세한 방해자들의 리스트에 추가되고, 상기 리스트는 방해가 감소하는 순서로 재-정렬된다. 3개의 결합하는 라인들의 시작은 피해자 V에 대해 지연될 필요가 없는데, 왜냐하면, 이들 3개의 라인들에 의해 피해자 V에 유도된 크로스토크가 소거될 수 있기 때문이다. 시점 "결합 후(AFTER JOINING)"에 피해자 V의 소거된 방해자들의 리스트가 도 2의 202로 나타난다. 새롭게 결합된 라인들은 이 리스트에서 음영으로 처리된다. 실제로 소거된 방해자들의 수 A는 9에서 12로 증가된다. 상기 리스트(202)가 여전히 K = 3보다 많은 예비 위치들을 갖기 때문에, 이 상황에서 임의의 방해자들의 균일한 위상 변경이 필요하지 않다.
도 3은 시점 "결합 전"에서 J > M-K-A 및 J <= M-A인 상황을 도시한다. 도 3에서, J = 6개의 라인들이 시점 "결합 전"에 동시에 결합되기를 원한다. 이들 라인들은 도 3에서 라인 J, 라인 K, 라인 L, 라인 M, 라인 N 및 라인 O로 나타낸다. 도 3에서 301로 나타낸, 결합 전의 상기 리스트의 초기 상황은 도 2의 초기 상황, 즉 9개의 라인들이 피해자 V에서 실제로 소거된 것과 동일하다고 추정된다: 방해 감소 순서로 라인 A, 라인 B, 라인 C, 라인 D, 라인 E, 라인 F, 라인 G, 라인 H 및 라인 I. 6개의 결합하는 라인들이 모두 소거될 수 있기 때문에, 이들은 우세한 방해자들의 리스트에 추가되고, 상기 리스트는 방해 감소 순서로 재-정렬된다. 6개의 결합 라인들의 시작이 피해자 V에 대해 지연될 필요가 없는데, 왜냐하면 이들 6개의 라인들에 의해 피해자 V로 유도된 크로스토크 잡음이 소거될 수 있기 때문이다: A+J <= M. 시점 "결합 후"에서 피해자 V의 소거된 방해자들의 리스트는 도 3에서 302로 나타낸다. 여기서, 새롭게 결합된 라인들은 음영으로 처리된다. 피해 라인 V에서 소거된 방해자들의 수 A는 9에서 15로 자동으로 증가된다. 일단 새롭게 결합된 라인들이 쇼타임에 있고, A-(M-K) = A-M+K = 15-16+3 = 2개의 라인들의 균일한 위상 변경이 상기 리스트의 예비 위치들의 수가 다시 K = 3이 되도록 행해진다. 거기에, 가장 덜 우세한 방해자들, 즉 라인 K 및 라인 I가 선택되고, 피해자 V에서 이들 두 방해자들의 소거 깊이가 이들 두 방해자들의 크로스토크가 피해자 V에서 더 이상 소거되지 않는 시점 "위상 변경 후"까지, 예를 들어 1분의 시간 간격 동안 점진적으로 감소된다. 피해자 V에서 소거된 방해자들의 수 A는 리스트 303에 표시된 바와 같이, 13으로 조정된다.
도 4는 J > M-A인 상황을 도시한다. 도 4에서, 동시에 결합하기를 원하는 라인들의 수 J는 "결합 전" 시점, 9개의 라인들: 방해 감소 순서로 라인 A, 라인 B, 라인 C, 라인 D, 라인 E, 라인 F, 라인 G, 라인 H 및 라인 I를 포함하는 피해자 V의 실제로 소거된 방해자들의 리스트 401에서 8로 추정된다. 동시에 결합하기를 원하는 8개의 라인들은 라인 J, 라인 K, 라인 L, 라인 M, 라인 N, 라인 O, 라인 P 및 라인 Q로 참조된다. J > M-A이기 때문에, 새롭게 결합하는 모든 라인들이 소거될 수 없다. 따라서, 리스트 402로 도시된 제 1 단계에서, M-A = 16-9 = 7개의 라인들이 소거될 것이다. 이들 7개의 라인들은 결합하기를 원하는 8개의 라인들에서 랜덤하게 선택된다. 라인 J, 라인 K, 라인 L, 라인 M, 라인 N, 라인 O 및 라인 P는 우세한 방해자들의 리스트에 추가되고, 상기 리스트는 방해 감소 순서로 재-정렬된다. 이들 7개의 라인들에 의해 피해자 V로 유도된 크로스토크가 상기 리스트의 모든 예비 위치들을 사용하여 즉시 소거될 수 있기 때문에 따라서 피해자 V에 대해 상기 7개의 결합 라인들의 시작이 지연될 필요가 없다. "결합 후 1" 시점에서 피해자 V에서 소거된 방해자들의 리스트를 도 4에 402로 나타낸다. 여기서, 7개의 새롭게 결합된 라인들은 음영으로 처리되었다. 그 시점에서, 라인 Q는 여전히 결합을 기다리고 있다. 피해 라인 V에서 소거된 방해자들의 수 A는 최대 양 16까지 증가한다. 일단 7개의 라인들이 쇼타임에 있으면, 상기 리스트의 예비 위치들의 수를 다시 K = 3으로 하기 위해 K = 3개의 라인들의 균일한 위상 변경이 행해진다. 가장 덜 우세한 소거된 방해자들, 즉, 라인 I, 라인 K 및 라인 P가 선택되고, 이들 3개의 방해자들의 피해자 V로의 소거 깊이는 시간 간격, 예를 들어 1분 동안, 피해자 V에서 이들 3개의 방해자들의 크로스토크 잡음이 더 이상 소거되지 않는 시점 "위상 변경 후 1"까지, 점진적으로 감소된다. 피해자 V에서 소거된 방해자들의 수 A는 리스트 403으로 나타낸 바와 같이, 13으로 조정된다. 다음 단계에서, 상기 크로스토크 소거기는 기다리는 K개의 라인들의 다음 그룹이 결합하도록 허용할 것이다. 도 4에 도시된 경우에서, 라인 Q만이 여전히 결합을 기다리고 있다. 따라서, 라인 Q는 우세한 방해자들의 리스트에 추가되고, 상기 리스트가 방해 감소 순서로 재-정렬된다. 또한 라인 Q가 시작한 시점 "결합 후 2"에서 피해자 V에서 소거된 방해자들의 리스트는 도 4에서 404로 나타낸다. 거기서 새롭게 결합된 라인 Q는 음영으로 처리된다. 피해자 V에서 소거된 방해자들의 실제 수 A는 다시 14까지 증가된다. 일단 라인 Q가 쇼타임에 있으면, 리스트의 예비 위치들의 수를 다시 K = 3으로 하기 위해 한 라인의 균일한 위상 변경이 행해진다. 거기에, 가장 덜 우세한 소거된 방해자, 즉, 라인 H가 선택되고, 피해자 V에서 이 방해자의 소거 깊이는 시간 간격, 예를 들어 1분 동안, 피해자 V에서 이 방해자의 크로스토크가 더 이상 소거되지 않는 시점 "위상 변경 후 2"까지 점진적으로 감소된다. 피해자 V에서 소거된 방해자들의 수 A는 리스트 405에 나타낸 바와 같이, 다시 13으로 조정된다. 동시에 결합하는 라인들의 수가 더 크다면, 나중의 2 단계들은 반복, 즉, 결합하기를 원하는 모든 라인들이 쇼타임에 있을 때까지 결합할 K개의 라인들의 랜덤 선택 및 K개의 가장 덜 우세한 소거된 방해자들이 고르게 위상 변경하도록 반복적으로 허용한다. 마지막 반복은 도 4의 404 및 405에 도시된 바와 같이, 명백하게 K개의 라인들보다 적은 라인들로 수행될 것이다.
결합될 라인들이 결합을 위한 후보 라인들 중에서 랜덤하게 선택될 수 있다고 상기에 언급되었지만, 연속하는 반복에 결합할 라인들의 선택은 벡터화에 기초하여 대안적으로 결정될 수 있다. 전형적으로, 모든 피해자들을 고려하여 어느 라인들을 결합할지 결정하는 VCE(Vector Control Entity)이다.
본원에서 새로운 라인들의 결합은 단일 피해 라인의 관점에서 설명되었다는 것을 주의한다. 명백하게, 벡터화 그룹의 모든 피해 라인들은 새로운 라인이 시작되도록 허용되기 전에 상기 새로운 라인의 결합을 준비해야 한다. 그 결과, 동시에 결합하기를 원하는 라인들의 수 J는 상기 벡터화 그룹의 모든 피해자들에 대해 실제로 소거된 방해자들의 최대 수 A와 비교될 것이다. 이 최대 수는 Max-A로 참조된다. 본 발명의 설명을 용이하게 하기 위해, 모든 A 값들은 Max-A가 A와 동일하게 설정된 결과와 동일한 것으로 추정된다. 이는 또한 현실적으로 가장 개연성 있는 상황이다.
새로운 라인의 결합을 위해 규정된 상기 매커니즘은 또한 벡터화 추적, 즉, 상기 크로스토크 채널의 변화로부터 초래되거나 송신 PSD를 증가시키는 방해자로부터 초래되는 크로스토크 잡음 변화들로 조정하기 위해 쇼타임으로 맞춰지는 동안 사용될 수 있다는 것을 또한 주의한다. 크로스토크 잡음 변화들로 인해, 아직 소거되지 않은 방해자가 가장 덜 우세한 소거된 방해자보다 더 방해하게 되면, 본 발명의 원리들에 따라 즉, 먼저 피해 라인들에서 새로운 라인을 소거하고 그 후 상기 가장 덜 우세한 소거된 방해자의 균일한 위상 변경을 하는, 이들 라인들의 스왑(swap)이 행해질 수 있다.
본 발명이 구체적인 실시예들을 참조하여 예시되었지만, 본 발명이 전술한 예시적인 실시예들의 상세들로 제한되지 않고, 본 발명이 그 범위를 벗어나지 않고 다양한 변경들 및 수정들로 구현될 수 있다는 것이 당업자에게 명백할 것이다. 따라서 본 실시예들은 모든 측면들에서 예시적이고 제한적이지 않은 것으로 고려되고, 본 발명의 범위는 전술한 설명보다 첨부된 청구항들에 의해 나타나고, 따라서 청구항들의 등가의 의미 및 범위로 오는 모든 변경들이 거기에 수용되는 것으로 의도된다. 즉, 기본 배경 원리들의 범위에 있고 그 본질적인 속성들이 본 특허 출원에 청구되는 임의의 모든 수정들, 변화들, 또는 등가물들을 커버하는 것으로 고려된다. 또한 단어들 "포함하는" 또는 "포함한다"는 다른 소자들 또는 단계들을 배제하지 않고, 단어들 "a" 또는 "an"은 복수를 배제하지 않고, 컴퓨터 시스템, 프로세서, 또는 다른 집적된 유닛과 같은 단일 소자가 청구항들에 언급된 여러 수단들의 기능을 충족할 수 있다는 것이 본 특허 출원의 독자에 의해 이해된다. 청구항들에서 임의의 참조 번호들은 관련된 각각의 청구항들을 제한하는 것으로 해석되지 않는다. 용어들 "제 1", "제 2", "제 3", "a", "b", "c" 등은 상기 설명 또는 청구항들에서 사용될 때 유사한 소자들 또는 단계들을 구별하기 위해 도입되고 순차적이거나 연대순으로 설명할 필요는 없다. 유사하게, 용어들 "상부", "하부", "위에", "아래에" 등은 설명을 목적으로 도입되고 상대적인 위치들을 표기할 필요는 없다. 용어들이 이렇게 사용되는 것은 적절한 환경들에서 상호 교환가능하고 본 발명의 실시예들이 다른 순서 또는 설명되거나 상기 도시된 하나(들)와 다른 방향으로 본 발명에 따라 동작할 수 있다는 것이 이해될 것이다.

Claims (11)

  1. 통신 피해 라인의 최대 M개의 통신 방해 라인들(라인 A, 라인 B, 라인 C, 라인 D, 라인 E, 라인 F, 라인 G, 라인 H, 라인 I, 라인 J, 라인 K, 라인 L, 라인 M, 라인 N, 라인 O)의 크로스토크 잡음(crosstalk noise)을 소거하기 위한 크로스토크 소거 디바이스로서, 상기 통신 방해 라인들(라인 A, 라인 B, 라인 C, 라인 D, 라인 E, 라인 F, 라인 G, 라인 H, 라인 I, 라인 J, 라인 K, 라인 L, 라인 M, 라인 N, 라인 O) 및 상기 통신 피해 라인은 벡터화 그룹의 일부를 형성하고, M은 양의 정수(M = 16)인, 상기 크로스토크 소거 디바이스에 있어서,
    상기 통신 피해 라인의 아직 소거되지 않은 통신 방해 라인(라인 J, 라인 K, 라인 L, 라인 M, 라인 N, 라인 O)의 크로스토크 잡음이 소거될 것을 요구하는 상기 벡터화 그룹의 크로스토크 잡음 변화시 상기 통신 피해 라인의 소거된 통신 방해 라인(라인 I, 라인 K)의 소거 깊이를 점진적으로 감소시키도록 구성되는 것을 특징으로 하는, 크로스토크 소거 디바이스.
  2. 제 1 항에 있어서,
    상기 크로스토크 소거 디바이스는 최대 M개의 통신 방해 라인들의 리스트(101; 201; 301; 302)에 K개의 예비 위치들을 예비하도록 구성되고, K는 1 이상의 정수인(K = 3), 크로스토크 소거 디바이스.
  3. 제 2 항에 있어서,
    상기 수 K는 변경가능한, 크로스토크 소거 디바이스.
  4. 제 2 항에 있어서,
    J개의 아직 소거되지 않은 통신 방해 라인들의 크로스토크가 크로스토크 잡음 변화의 결과로서 상기 피해 라인에서 소거되어야 하는 경우, J는 M-K-A 이하의 양의 정수를 나타내고, A는 상기 피해 라인에서 크로스토크가 실제로 소거된 통신 방해 라인의 수를 나타내고, 상기 크로스토크 소거 디바이스는 상기 J개의 아직 소거되지 않은 통신 방해 라인들을 상기 리스트에 추가하도록 구성되는, 크로스토크 소거 디바이스.
  5. 제 2 항에 있어서,
    J개의 아직 소거되지 않은 통신 방해 라인들의 크로스토크가 크로스토크 잡음 변화의 결과로서 상기 피해 라인에서 소거되어야 하는 경우, J는 M-A 이하이고 M-K-A보다 큰 양의 정수를 나타내고, A는 상기 피해 라인에서 크로스토크가 실제로 소거된 통신 방해 라인의 수를 나타내고, 상기 크로스토크 소거 디바이스는 상기 J개의 아직 소거되지 않은 통신 방해 라인들을 상기 리스트에 추가하고, A와 J를 증가시키고, 상기 통신 피해 라인의 리스트 중 A-M+K개의 최소 통신 방해 라인들의 소거 깊이를 점진적으로 감소시키도록 구성되는, 크로스토크 소거 디바이스.
  6. 제 2 항에 있어서,
    J개의 아직 소거되지 않은 통신 방해 라인들의 크로스토크가 크로스토크 잡음 변화의 결과로서 상기 피해 라인에서 소거되어야 하는 경우, J는 M-A보다 큰 양의 정수를 나타내고, A는 상기 피해 라인에서 크로스토크가 실제로 소거된 통신 방해 라인의 수를 나타내고, 상기 크로스토크 소거 디바이스는 상기 J개의 아직 소거되지 않은 통신 방해 라인들 중 M-A개를 상기 리스트에 추가하고, 상기 통신 피해 라인의 리스트 중 K개의 최소 통신 방해 라인들의 소거 깊이를 점진적으로 감소시키고, 상기 J개의 아직 소거되지 않은 통신 방해 라인들 중 다른 K개를 상기 리스트에 추가하고, 상기 J개의 아직 소거되지 않은 통신 방해 라인들이 상기 리스트에 추가될 때까지 마지막 두 단계들을 반복하도록 구성되는, 크로스토크 소거 디바이스.
  7. 제 3 항에 있어서,
    J개의 아직 소거되지 않은 통신 방해 라인들의 크로스토크가 크로스토크 잡음 변화의 결과로서 상기 피해 라인에서 소거되어야 하는 경우, J는 M-A보다 큰 양의 정수를 나타내고, A는 상기 피해 라인에서 크로스토크가 실제로 소거된 통신 방해 라인의 수를 나타내고, 상기 크로스토크 소거 디바이스는 상기 J개의 아직 소거되지 않은 통신 방해 라인들 중 M-A개를 상기 리스트에 추가하고, K를 증가시키고, 상기 통신 피해 라인의 상기 리스트 중 K개의 최소의 통신 방해 라인들의 소거 깊이를 반복적이고 점진적으로 감소시키고, 상기 J개의 아직 소거되지 않은 통신 방해 라인들이 상기 리스트에 추가될 때까지 K개의 아직 소거되지 않은 통신 방해 라인들을 상기 리스트에 추가하고, K를 리셋하도록 구성되는, 크로스토크 소거 디바이스.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 크로스토크 소거 디바이스는 프리-코더(pre-coder) 및/또는 포스트-코더(post-coder)의 모든 이산 다중-톤(Discrete Multi-Tone)의 톤들에 대해 상기 통신 방해 라인의 크로스토크 채널 계수들을 0으로 점진적으로 낮추는 것을 통해 통신 방해 라인의 소거 깊이를 점진적으로 감소시키도록 구성되는, 크로스토크 소거 디바이스.
  9. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 크로스토크 소거 디바이스는 이산 다중-톤의 톤 또는 이산 다중-톤의 톤 그룹에 대해 프리-코더 및/또는 포스트-코더의 상기 통신 방해 라인의 크로스토크 채널 계수들을 점진적으로 제거하는 것을 통해 통신 방해 라인의 소거 깊이를 점진적으로 감소시키도록 구성되는, 크로스토크 소거 디바이스.
  10. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 크로스토크 소거 디바이스는 상기 통신 피해 라인에 가상 잡음을 주입하는 것을 통해 통신 방해 라인의 소거 깊이를 점진적으로 감소시키도록 구성되고, 상기 가상 잡음은 프리-코더 및/또는 포스트-코더의 상기 통신 방해 라인의 사용된 크로스토크 채널 계수들로부터 직접 유도되고, 이후 상기 프리-코더 및/또는 포스트-코더의 상기 통신 방해 라인의 상기 모든 크로스토크 채널 계수들을 제거하는, 크로스토크 소거 디바이스.
  11. 통신 피해 라인의 최대 M개의 통신 방해 라인들(라인 A, 라인 B, 라인 C, 라인 D, 라인 E, 라인 F, 라인 G, 라인 H, 라인 I, 라인 J, 라인 K, 라인 L, 라인 M, 라인 N, 라인 O)의 크로스토크 잡음(crosstalk noise)을 소거하기 위한 크로스토크 소거 방법으로서, 상기 통신 방해 라인들(라인 A, 라인 B, 라인 C, 라인 D, 라인 E, 라인 F, 라인 G, 라인 H, 라인 I, 라인 J, 라인 K, 라인 L, 라인 M, 라인 N, 라인 O) 및 상기 통신 피해 라인은 벡터화 그룹의 일부를 형성하고, M은 양의 정수(M = 16)인, 상기 크로스토크 소거 방법에 있어서,
    상기 통신 피해 라인의 아직 소거되지 않은 통신 방해 라인(라인 J, 라인 K, 라인 L, 라인 M, 라인 N, 라인 O)의 크로스토크 잡음이 소거될 것을 요구하는 상기 벡터화 그룹의 크로스토크 잡음 변화시 상기 통신 피해 라인의 소거된 통신 방해 라인(라인 I, 라인 K)의 소거 깊이를 점진적으로 감소시키는 단계를 포함하는 것을 특징으로 하는, 크로스토크 소거 방법.
KR1020137018425A 2010-12-17 2011-12-07 개선된 벡터화 안정화를 갖는 크로스토크 소거 디바이스 및 방법 KR101529537B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP10290665A EP2466757A1 (en) 2010-12-17 2010-12-17 Crosstalk cancellation device and method with improved vectoring stabilisation
EP10290665.8 2010-12-17
PCT/EP2011/072102 WO2012080064A1 (en) 2010-12-17 2011-12-07 Crosstalk cancellation device and method with improved vectoring stabilisation

Publications (2)

Publication Number Publication Date
KR20130111607A true KR20130111607A (ko) 2013-10-10
KR101529537B1 KR101529537B1 (ko) 2015-06-17

Family

ID=44063213

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137018425A KR101529537B1 (ko) 2010-12-17 2011-12-07 개선된 벡터화 안정화를 갖는 크로스토크 소거 디바이스 및 방법

Country Status (6)

Country Link
US (1) US9172427B2 (ko)
EP (1) EP2466757A1 (ko)
JP (1) JP5775169B2 (ko)
KR (1) KR101529537B1 (ko)
CN (1) CN103262432B (ko)
WO (1) WO2012080064A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200093277A (ko) * 2019-01-28 2020-08-05 삼성전자주식회사 크로스토크 노이즈 및 심볼 간 간섭을 선택적으로 보상할 수 있는 전자 회로

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2704331B1 (en) * 2012-08-30 2014-12-24 Alcatel Lucent Method and device for determining a parameter that characterizes a number of spare positions to be used for cancelling the crosstalk of lines joining a digital subscriber line vectoring group
CN105210359B (zh) * 2013-05-13 2018-06-26 领特贝特林共有限责任两合公司 使用向量化的通信系统中的不连续运行
CN104396149B (zh) 2013-06-20 2016-08-10 华为技术有限公司 线路初始化方法、设备及系统
US9136907B2 (en) * 2014-02-07 2015-09-15 Alcatel Lucent Vectoring for low power modes
EP2963904B1 (en) * 2014-07-03 2017-04-12 Alcatel Lucent Enhanced vectoring operation with single loop unbundling
EP3021511B1 (en) 2014-11-17 2020-08-19 Alcatel Lucent Method and device for controlling a vector processor
US10038473B2 (en) * 2015-01-30 2018-07-31 Alcatel Lucent Methods and systems for reducing crosstalk via stabilized vectoring control
US9948769B2 (en) * 2015-03-26 2018-04-17 Alcatel-Lucent Usa Inc. Methods and systems for determining crosstalk for a line in a vectored system
EP3211804B1 (en) * 2016-02-26 2019-01-23 Alcatel Lucent Method and apparatus for determination of vectoring matrices
EP3544275A1 (en) * 2016-04-29 2019-09-25 INTEL Corporation Device and method for canceling noise in a received signal
CN112444738B (zh) * 2019-08-28 2022-03-18 合肥本源量子计算科技有限责任公司 一种超导量子芯片串扰残余验证方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7593458B2 (en) * 2004-05-18 2009-09-22 Adaptive Spectrum And Signal Alignment, Inc. FEXT determination system
CN101197593B (zh) * 2006-12-07 2011-11-02 华为技术有限公司 信号处理装置、系统和串扰抵消方法
EP1998462A1 (en) * 2007-05-29 2008-12-03 Nokia Siemens Networks Oy Method and device for processing a channel and communication system comprising such device
US7843949B2 (en) * 2007-08-17 2010-11-30 Lantiq Deutschland Gmbh Communication apparatus and method with changing composition of a vectored group
US9287928B2 (en) * 2008-04-24 2016-03-15 Lantiq Deutschland Gmbh Method and apparatus for adding a communication connection to a vectored group
US8644497B2 (en) * 2008-04-24 2014-02-04 Lantiq Deutschland Gmbh Method and apparatus for adding a communication connection to a vectored group
US8687497B2 (en) * 2008-07-01 2014-04-01 Ikanos Communications, Inc. Reduced memory vectored DSL
US20110206101A1 (en) * 2008-08-12 2011-08-25 Avi Matza Technique for noise mitigation in xdsl lines
US8644127B2 (en) * 2009-02-27 2014-02-04 Ikanos Communications, Inc. Systems and methods for mitigating self-induced far-end crosstalk
US9667450B2 (en) * 2010-10-29 2017-05-30 Alcatel Lucent Detection and correction of impulse noise in communication channel crosstalk estimates

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200093277A (ko) * 2019-01-28 2020-08-05 삼성전자주식회사 크로스토크 노이즈 및 심볼 간 간섭을 선택적으로 보상할 수 있는 전자 회로

Also Published As

Publication number Publication date
CN103262432A (zh) 2013-08-21
KR101529537B1 (ko) 2015-06-17
CN103262432B (zh) 2015-03-04
EP2466757A1 (en) 2012-06-20
US20130301824A1 (en) 2013-11-14
JP2014502114A (ja) 2014-01-23
US9172427B2 (en) 2015-10-27
WO2012080064A1 (en) 2012-06-21
JP5775169B2 (ja) 2015-09-09

Similar Documents

Publication Publication Date Title
KR20130111607A (ko) 개선된 벡터화 안정화를 갖는 크로스토크 소거 디바이스 및 방법
US9071334B2 (en) Far-end crosstalk canceling method and device
JP5735582B2 (ja) 動的なデジタル通信システムの制御
US20050175112A1 (en) Time domain equalization using frequency domain operations
US8427933B2 (en) Method and apparatus for DMT crosstalk cancellation
EP2088686A1 (en) Frequency spectrum management method and device
JP2007195218A (ja) デジタル無線周波数干渉打消器
JP6313870B2 (ja) シングルループアンバンドリングによる拡張されたベクタリング動作
WO2006110849A2 (en) Cancellation of crosstalk energy in communication loops
EP3032789B1 (en) Non-linear precoding with a mix of NLP capable and NLP non-capable lines
WO2003012990A1 (en) Multicarrier modulation using frequency-domain equalizer and decision feedback
US20080187077A1 (en) Method for estimating crosstalk interferences in a communication network
US10033432B2 (en) Method, device, and system for canceling crosstalk between lines in DSL system
US20120275591A1 (en) Systems and Methods for G.Vector Initialization
JP2002208909A (ja) 通信システム雑音妨害を除去する方法および装置
US20050135496A1 (en) Arrangements and methods for per tone equalization with reduced complexity
WO2017144561A1 (en) Method and apparatus for determination of vectoring matrices
JP4869853B2 (ja) 送信出力制御装置、マルチキャリア伝送システム、送信出力制御方法及び送信出力制御プログラム
US11637588B2 (en) Direct channel characterization for discontinuous time-frequency operation
Timmers et al. Digital complexity in DSL: An extrapolated historical overview
CN112751793B (en) Direct channel characterization for discontinuous time-frequency operation
WO2016026334A1 (zh) 一种缩短建链时间的方法及其装置、局端设备、存储介质
WO2008017274A1 (fr) Procédé et dispositif pour contrôler la qualité de communication en ligne

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee