KR20130105238A - A method of fabricating a semiconductor device - Google Patents

A method of fabricating a semiconductor device Download PDF

Info

Publication number
KR20130105238A
KR20130105238A KR1020120080195A KR20120080195A KR20130105238A KR 20130105238 A KR20130105238 A KR 20130105238A KR 1020120080195 A KR1020120080195 A KR 1020120080195A KR 20120080195 A KR20120080195 A KR 20120080195A KR 20130105238 A KR20130105238 A KR 20130105238A
Authority
KR
South Korea
Prior art keywords
process chamber
film
precursor
unit layer
semiconductor substrate
Prior art date
Application number
KR1020120080195A
Other languages
Korean (ko)
Other versions
KR101929224B1 (en
Inventor
박민영
김윤수
강상열
유차영
임재순
최재형
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US13/775,595 priority Critical patent/US9349583B2/en
Publication of KR20130105238A publication Critical patent/KR20130105238A/en
Application granted granted Critical
Publication of KR101929224B1 publication Critical patent/KR101929224B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition

Abstract

PURPOSE: A method for fabricating a semiconductor device is provided to obtain the high degree of integration by forming a deposition layer on a semiconductor substrate. CONSTITUTION: A semiconductor substrate is loaded into a process chamber. A deposition layer is formed on the semiconductor substrate. The semiconductor substrate is unloaded from the process chamber. A preliminary unit layer is formed on the semiconductor substrate (S110). The process chamber is firstly purged (S115). [Reference numerals] (AA) 1 cycle; (BB) Has the desired thickness of a film been reached ?; (S10) Film forming on a semiconductor substrate; (S110) Preliminary unit layer is formed on a semiconductor substrate by supplying a first process material including a film-controlling material and an electrode material to a process chamber; (S115,S125) Process chamber is firstly purged; (S120) Preliminary unit layer is formed into a unit layer by supplying a second process material to the process chamber

Description

반도체 소자의 제조 방법{A method of fabricating a semiconductor device}A method of fabricating a semiconductor device

본 발명의 기술적 사상은 증착 막 형성 방법, 이를 이용한 반조체 소자의 제조방법, 이에 의해 제조된 반도체 소자, 이들을 채택하는 전자 장치 및 전자 시스템에 관한 것이다.The technical idea of the present invention relates to a method of forming a deposited film, a method of manufacturing a semi-structured device using the same, a semiconductor device manufactured thereby, an electronic device and an electronic system employing the same.

반도체 소자의 고집적화 경향에 따라, 반도체 소자를 구성하는 요소들의 크기가 축소되면서 예기치 못한 문제들이 발생하고 있다.In accordance with the trend toward higher integration of semiconductor devices, unexpected problems arise as the size of elements constituting the semiconductor devices is reduced.

본 발명의 기술적 사상이 해결하고자 하는 기술적 과제는 스텝 커버리지 특성을 개선할 수 있는 증착 막 형성 방법 및 이를 이용한 반도체 소자의 제조방법을 제공하는데 있다.SUMMARY OF THE INVENTION The present invention has been made in an effort to provide a method for forming a deposition film and a method for manufacturing a semiconductor device using the same, which may improve step coverage characteristics.

본 발명의 기술적 사상이 해결하고자 하는 기술적 과제는 균일도를 향상시킬 수 있는 증착 막 형성 방법 및 이를 이용한 반도체 소자의 제조방법을 제공하는데 있다. The technical problem to be solved by the technical idea of the present invention is to provide a deposition film forming method and a method of manufacturing a semiconductor device using the same can improve the uniformity.

본 발명의 기술적 사상이 해결하고자 하는 또 다른 기술적 과제는 상기 반도체 소자들의 제조방법들을 이용하여 제조된 반도체 소자를 포함하는 전자 장치 및 전자 시스템을 제공하는데 있다.Another technical problem to be solved by the technical idea of the present invention is to provide an electronic device and an electronic system including a semiconductor device manufactured by using the manufacturing method of the semiconductor device.

본 발명이 해결하려는 과제들은 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당 업자에게 명확하게 이해될 수 있을 것이다.The problems to be solved by the present invention are not limited to the above-mentioned problems, and other matters not mentioned can be clearly understood by those skilled in the art from the following description.

본 발명의 기술적 사상의 일 양태에 따른 반도체 소자의 제조방법을 제공한다. 이 방법은 반도체 기판을 공정 챔버 내로 로딩하고, 상기 공정 챔버 내의 상기 반도체 기판 상에 증착 막을 형성하는 것을 포함한다. 상기 증착 막을 형성하는 것은 상기 반도체 기판 상에 단위 층을 반복적으로 형성하는 것을 포함한다. 상기 증착 막이 형성된 반도체 기판을 상기 공정 챔버로부터 언로딩한다. 상기 단위 층을 형성하는 것은 상기 공정 챔버 내에 전구체 물질 및 막-제어 물질을 포함하는 공정 물질을 공급하여 상기 반도체 기판 상에 예비 단위 층을 형성하되, 상기 전구체 물질은 중심 원자 및 상기 중심 원자와 결합된 리간드를 포함하고, 상기 막-제어 물질은 상기 전구체 물질의 상기 리간드의 수소 화합물이고, 상기 예비 단위 층을 갖는 반도체 기판이 위치하는 상기 공정 챔버를 제1 퍼지하고, 상기 제1 퍼지된 공정 챔버 내의 상기 예비 단위 층을 단위 층으로 형성하고, 상기 단위 층을 갖는 반도체 기판이 위치하는 상기 공정 챔버를 제2 퍼지하는 것을 포함한다.A method of manufacturing a semiconductor device according to an aspect of the technical idea of the present invention is provided. The method includes loading a semiconductor substrate into a process chamber and forming a deposition film on the semiconductor substrate in the process chamber. Forming the deposition film includes repeatedly forming a unit layer on the semiconductor substrate. The semiconductor substrate on which the deposition film is formed is unloaded from the process chamber. Forming the unit layer supplies a process material comprising a precursor material and a film-control material in the process chamber to form a preliminary unit layer on the semiconductor substrate, wherein the precursor material is bonded to a central atom and the central atom. Wherein the film-controlling material is a hydrogen compound of the ligand of the precursor material, and first purges the process chamber in which the semiconductor substrate having the preliminary unit layer is located, and the first purged process chamber. Forming the preliminary unit layer therein as a unit layer, and second purging the process chamber in which the semiconductor substrate having the unit layer is located.

몇몇 실시예들에서, 상기 전구체 물질은 상기 반도체 기판 상에 흡착되어 전구체 흡착 층을 형성할 수 있다.In some embodiments, the precursor material may be adsorbed onto the semiconductor substrate to form a precursor adsorption layer.

상기 막-제어 물질은 상기 전구체 흡착 층의 중심 원자와 배위 결합하여 상기 전구체 흡착 층을 상기 전구체 흡착 층 보다 화학적으로 안정된 물질로 형성할 수 있다.The membrane-controlling material may coordinate with the central atoms of the precursor adsorption layer to form the precursor adsorption layer as a more chemically stable material than the precursor adsorption layer.

다른 실시예에서, 상기 예비 단위 층을 형성하는 것은 상기 공정 챔버 내에 상기 전구체 물질을 공급하여 상기 반도체 기판 상에 전구체 흡착 층을 형성하되, 상기 전구체 흡착 층은 베이스 부분 및 상기 베이스 부분과 결합된 과흡착 부분을 포함하고, 상기 공정 챔버 내에 상기 막-제어 물질을 공급하여 상기 과흡착 부분을 상기 베이스 부분으로부터 분리시키는 것을 포함할 수 있다.In another embodiment, forming the preliminary unit layer supplies the precursor material into the process chamber to form a precursor adsorption layer on the semiconductor substrate, wherein the precursor adsorption layer is combined with a base portion and the base portion. And an adsorption portion, and supplying the membrane-controlled material into the process chamber to separate the superadsorption portion from the base portion.

상기 막-제어 물질은 상기 과흡착 부분의 중심 원자와 결합하면서 상기 과흡착 부분과 상기 베이스 부분 사이의 결합을 끊을 수 있다.The membrane-controlling material may break the bond between the superadsorbed portion and the base portion while engaging with the central atom of the superadsorbed portion.

또 다른 실시예에서, 상기 예비 단위 층은 상기 전구체 물질 및 상기 막-제어 물질을 모두 포함할 수 있다.In another embodiment, the preliminary unit layer may include both the precursor material and the film-controlling material.

상기 예비 단위 층을 구성하는 상기 전구체 물질의 상기 리간드 및 상기 막-제어 물질은 상기 예비 단위 층을 상기 단위 층으로 형성하면서 상기 예비 단위 층으로부터 분리되어 반응 부산물로 형성되고, 상기 반응 부산물은 상기 공정 챔버를 상기 제2 퍼지하면서 제거될 수 있다.The ligand of the precursor material and the membrane-controlling material constituting the preliminary unit layer are separated from the preliminary unit layer while forming the preliminary unit layer as the unit layer, and are formed as reaction byproducts, and the reaction byproducts are formed in the process. The chamber may be removed while purging the second purge.

또 다른 실시예에서, 상기 리간드는 상기 중심 원자와 결합된 제1 리간드 및 제2 리간드를 포함하되, 상기 제1 리간드와 상기 제2 리간드는 서로 다른 화학식을 갖고, 상기 막-제어 물질은 상기 제1 리간드의 수소 화합물일 수 있다.In another embodiment, the ligand comprises a first ligand and a second ligand bonded to the central atom, wherein the first ligand and the second ligand have different formulas, and the membrane-controlling material is It may be a hydrogen compound of one ligand.

본 발명의 기술적 사상의 다른 양태에 따른 반도체 소자의 제조방법을 제공한다. 이 방법은 반도체 기판을 공정 챔버 내로 로딩하고, 상기 공정 챔버 내의 상기 반도체 기판 상에 증착 막을 형성하는 것을 포함한다. 상기 증착 막을 형성하는 것은 상기 반도체 기판 상에 단위 층을 반복적으로 형성하는 것을 포함한다. 상기 증착 막을 갖는 반도체 기판을 상기 공정 챔버 로부터 언로딩한다. 상기 단위 층을 형성하는 것은 상기 공정 챔버 내에 제1 막-제어 물질을 공급하여 상기 반도체 기판 상에 표면-제어 층을 형성하고, 상기 공정 챔버 내에 전구체 물질을 공급하여 상기 표면-제어 층에 흡착된 전구체 흡착 층을 형성하여 상기 표면-제어 층 및 상기 전구체 흡착 층을 포함하는 예비 단위 층을 형성하되, 상기 전구체 물질은 중심 원자 및 상기 중심 원자와 결합된 리간드를 포함하는 화합물이고, 상기 예비 단위 층을 갖는 반도체 기판이 위치하는 공정 챔버를 제1 퍼지하고, 상기 예비 단위 층을 단위 층으로 형성하면서 상기 표면-제어 층 및 상기 전구체 흡착 층 내의 상기 리간드를 분리하여 반응 부산물을 형성하고, 상기 단위 층을 갖는 반도체 기판이 위치하는 공정 챔버를 제2 퍼지하면서 상기 반응 부산물을 제거하는 것을 포함한다.There is provided a method of manufacturing a semiconductor device according to another aspect of the technical idea of the present invention. The method includes loading a semiconductor substrate into a process chamber and forming a deposition film on the semiconductor substrate in the process chamber. Forming the deposition film includes repeatedly forming a unit layer on the semiconductor substrate. The semiconductor substrate having the deposition film is unloaded from the process chamber. Forming the unit layer supplies a first film-controlled material into the process chamber to form a surface-controlled layer on the semiconductor substrate, and supplies a precursor material into the process chamber to adsorb to the surface-controlled layer. Forming a precursor adsorption layer to form a preliminary unit layer comprising the surface-control layer and the precursor adsorption layer, wherein the precursor material is a compound comprising a central atom and a ligand bonded to the central atom, and the preliminary unit layer First purging the process chamber in which the semiconductor substrate having the substrate is located, separating the ligand in the surface-control layer and the precursor adsorption layer while forming the preliminary unit layer as a unit layer, and forming a reaction byproduct, And removing the reaction by-products while purging the process chamber in which the semiconductor substrate having the second substrate is located.

몇몇 실시예들에서, 상기 예비 단위 층 내의 중심 원자의 배위 수는 상기 전구체 물질의 중심 원자의 배위 수 보다 클 수 있다.In some embodiments, the coordination number of the central atoms in the preliminary unit layer can be greater than the coordination number of the central atoms of the precursor material.

다른 실시예에서, 상기 공정 챔버 내에 상기 제1 막-제어 물질이 존재하는 상태에서 상기 제1 전구체의 공급을 시작할 수 있다.In another embodiment, the supply of the first precursor may begin with the first film-controlled material present in the process chamber.

또 다른 실시예에서, 상기 공정 챔버 내에 상기 제1 막-제어 물질의 공급을 중단 한 후에, 상기 공정 챔버 내에 상기 전구체 물질을 공급할 수 있다.In another embodiment, after stopping the supply of the first film-controlled material into the process chamber, the precursor material may be supplied into the process chamber.

또 다른 실시예에서, 상기 공정 챔버 내에 상기 제1 막-제어 물질을 공급하는 동안에, 상기 전구체 물질을 공급하기 시작할 수 있다.In another embodiment, while supplying the first film-controlled material into the process chamber, the precursor material may begin to be supplied.

또 다른 실시예에서, 상기 공정 챔버 내에 상기 제1 막-제어 물질을 공급하는 동안에, 상기 전구체 물질의 공급을 시작하고, 상기 제1 막-제어 물질의 공급을 중단하기 전에 상기 전구체 물질의 공급을 중단할 수 있다.In another embodiment, while supplying the first film-controlled material into the process chamber, supply of the precursor material is stopped before starting supply of the precursor material and stopping supply of the first film-controlled material. You can stop.

또 다른 실시예에서, 상기 공정 챔버 내에 상기 전구체 물질의 공급을 중단하고 상기 공정 챔버를 상기 제1 퍼지 하기 전에, 상기 공정 챔버 내에 제2 막-제어 물질을 공급하는 것을 더 포함할 수 있다.In another embodiment, the method may further include supplying a second film-controlled material into the process chamber before stopping the supply of the precursor material into the process chamber and prior to the first purging of the process chamber.

상기 제2 막-제어 물질은 상기 전구체 물질의 상기 중심 원자와 배위 결합하는 물질일 수 있다.The second film-controlling material may be a material that coordinates with the central atom of the precursor material.

본 발명의 기술적 사상의 또 다른 양태에 따른 반도체 소자의 제조방법을 제공한다. 이 방법은 구조물을 갖는 반도체 기판을 형성하는 것을 포함한다. 상기 구조물은 수직한 측면 부분들을 갖는다. 상기 구조물을 갖는 반도체 기판을 공정 챔버 내로 로딩하고, 상기 공정 챔버 내의 상기 구조물을 갖는 반도체 기판 상에 증착 막을 형성하되, 상기 증착 막을 형성하는 것은 상기 구조물을 갖는 반도체 기판 상에 단위 층을 반복적으로 형성하는 것을 포함한다. 상기 증착 막을 갖는 반도체 기판을 상기 공정 챔버 로부터 언로딩한다. 상기 단위 층을 형성하는 것은 상기 공정 챔버 내에 제1 전구체 물질을 공급하여 상기 구조물을 갖는 반도체 기판 상에 상기 제1 전구체 물질이 흡착된 제1 예비 단위 층을 형성하되, 상기 제1 예비 단위 층은 베이스 부분 및 상기 베이스 부분과 물리적으로 결합된 과흡착 부분을 포함하고, 상기 공정 챔버 내에 막-제어 물질을 공급하여 상기 제1 예비 단위 층을 제2 예비 단위 층으로 형성하되, 상기 막-제어 물질의 일부는 상기 제1 예비 단위 층과 반응하여 상기 과흡착 부분을 상기 베이스 부분으로부터 분리시키면서 제2 전구체 물질을 형성하고, 상기 제2 예비 단위층을 갖는 반도체 기판이 위치하는 공정 챔버를 퍼지하고, 상기 제2 예비 단위 층을 단위 층으로 형성하고, 상기 단위 층을 갖는 반도체 기판이 위치하는 공정 챔버를 퍼지하는 것을 포함한다. According to another aspect of the inventive concept, a method of manufacturing a semiconductor device is provided. The method includes forming a semiconductor substrate having a structure. The structure has vertical side portions. Loading a semiconductor substrate having the structure into a process chamber and forming a deposition film on the semiconductor substrate having the structure in the process chamber, wherein forming the deposition film repeatedly forms a unit layer on the semiconductor substrate having the structure. It involves doing. The semiconductor substrate having the deposition film is unloaded from the process chamber. The forming of the unit layer may include supplying a first precursor material into the process chamber to form a first preliminary unit layer in which the first precursor material is adsorbed on a semiconductor substrate having the structure, wherein the first preliminary unit layer is A base portion and a supersorption portion physically coupled to the base portion, and supplying a film-controlled material into the process chamber to form the first preliminary unit layer as a second preliminary unit layer, wherein the film-controlled material A part of reacts with the first preliminary unit layer to form a second precursor material while separating the superadsorbed portion from the base portion, and purges the process chamber in which the semiconductor substrate having the second preliminary unit layer is located, Forming the second preliminary unit layer as a unit layer, and purging the process chamber in which the semiconductor substrate having the unit layer is located. .

몇몇 실시예에서, 상기 제1 전구체 물질은 중심 원자 및 상기 중심 원자와 결합한 리간드를 포함하는 제1 화합물이고, 상기 막-제어 물질의 일부는 상기 과흡착 부분의 중심 원자와 결합하여 상기 과흡착 부분을 상기 베이스 부분으로부터 분리시키면서 상기 제2 전구체 물질을 형성할 수 있다.In some embodiments, the first precursor material is a first compound comprising a central atom and a ligand bonded to the central atom, wherein a portion of the membrane-controlled material is bonded to the central atom of the hyperadsorbed portion to form the superadsorbed portion The second precursor material may be formed while separating from the base portion.

상기 막-제어 물질의 일부는 상기 베이스 부분의 중심 원자와 결합하여 상기 베이스 부분의 중심 원자의 배위 수를 증가시킬 수 있다.A portion of the membrane-controlling material may combine with the central atoms of the base portion to increase the coordination number of the central atoms of the base portion.

다른 실시예에서, 상기 제1 예비 단위 층을 갖는 반도체 기판은 상기 전구체 물질이 흡착되지 않은 빈 영역을 포함할 수 있다.In another embodiment, the semiconductor substrate having the first preliminary unit layer may include an empty region in which the precursor material is not adsorbed.

상기 제2 예비 단위 층을 형성하는 것은 상기 제2 전구체 물질을 상기 빈 영역의 반도체 기판 상에 흡착시키는 것을 포함할 수 있다.Forming the second preliminary unit layer may include adsorbing the second precursor material onto the semiconductor substrate of the empty region.

상기 과흡착 부분은 상기 구조물의 상부 영역에 형성되고, 상기 빈 영역은 상기 과흡착 부분 보다 낮은 레벨에 위치하는 상기 구조물의 하부 영역에 형성될 수 있다.The superadsorption portion may be formed in an upper region of the structure, and the empty region may be formed in a lower region of the structure located at a lower level than the superadsorption portion.

본 발명의 기술적 사상의 또 다른 양태에 따른 반도체 소자의 제조방법을 제공한다. 이 방법은 반도체 기판을 공정 챔버 내로 로딩하고, 상기 공정 챔버 내의 상기 반도체 기판 상에 증착 막을 형성하는 것을 포함한다. 상기 증착 막을 형성하는 것은 상기 반도체 기판 상에 단위 층을 반복적으로 형성하는 것을 포함한다. 상기 증착 막이 형성된 반도체 기판을 상기 공정 챔버 로부터 언로딩한다. 상기 단위 층을 형성하는 것은 상기 공정 챔버 내에 막-제어 물질 및 전구체 물질을 포함하는 제1 공정 물질을 공급하여 예비 단위 층을 형성하는 것을 포함하되, 상기 전구체 물질은 중심 원자 및 상기 중심 원자와 결합된 리간드를 포함하는 제1 화합물이고, 상기 예비 단위 층은 상기 전구체 물질과 상기 막-제어 물질이 결합 하여 형성된 제2 화합물을 포함하고, 상기 예비 단위 층을 갖는 반도체 기판이 위치하는 공정 챔버를 제1 퍼지하고, 상기 제1 퍼지된 공정 챔버 내의 상기 예비 단위 층을 단위 층으로 형성하되, 상기 예비 단위 층을 상기 단위 층으로 형성하면서 상기 예비 단위 층으로부터 상기 제2 화합물 내의 상기 리간드 및 상기 막-제어 물질이 분리되어 반응 부산물이 형성되고, 상기 단위 층을 갖는 반도체 기판이 위치하는 공정 챔버를 제2 퍼지하면서 상기 반응 부산물을 제거하는 것을 포함한다.According to another aspect of the inventive concept, a method of manufacturing a semiconductor device is provided. The method includes loading a semiconductor substrate into a process chamber and forming a deposition film on the semiconductor substrate in the process chamber. Forming the deposition film includes repeatedly forming a unit layer on the semiconductor substrate. The semiconductor substrate on which the deposition film is formed is unloaded from the process chamber. Forming the unit layer includes supplying a first process material comprising a film-control material and a precursor material into the process chamber to form a preliminary unit layer, wherein the precursor material is bonded to a central atom and the central atom. A first compound comprising a ligand, wherein the preliminary unit layer comprises a second compound formed by combining the precursor material and the film-controlling material, wherein the preliminary unit layer comprises a process chamber in which a semiconductor substrate having the preliminary unit layer is located. 1 purge and form the preliminary unit layer in the first purged process chamber as a unit layer, wherein the ligand and the membrane in the second compound from the preliminary unit layer are formed while forming the preliminary unit layer as the unit layer. The control material is separated to form a reaction byproduct, and a second process chamber in which the semiconductor substrate having the unit layer is located is placed. While not include removing the reaction by-products.

몇몇 실시예들에서, 상기 예비 단위 층을 형성하는 동안에, 상기 공정 챔버 내에서 상기 전구체 물질의 전구체 분자들이 서로 결합하여 전구체 클러스터를 형성하고, 상기 막-제어 물질은 상기 전구체 클러스터의 분자들 사이의 결합을 끊으면서 상기 전구체 클러스터의 분자와 결합하여 상기 제2 화합물을 형성할 수 있다.In some embodiments, during the formation of the preliminary unit layer, precursor molecules of the precursor material combine with each other to form a precursor cluster in the process chamber, and the film-control material is formed between molecules of the precursor cluster. The second compound may be formed by bonding to a molecule of the precursor cluster while breaking the bond.

다른 실시예에서, 상기 예비 단위 층을 형성하는 것은 상기 제1 화합물, 상기 막-제어 물질 및 상기 제2 화합물이 공존하는 공정 분위기에서 진행하는 것을 포함할 수 있다.In another embodiment, forming the preliminary unit layer may include proceeding in a process atmosphere in which the first compound, the film-controlling material, and the second compound coexist.

기타 실시 예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.The details of other embodiments are included in the detailed description and drawings.

본 발명의 기술적 사상의 실시 예들에 따르면, 스텝 커버리지 특성을 개선할 수 있는 증착 막 형성 방법 및 이를 이용하여 반도체 소자를 제조하는 방법을 제공할 수 있다. 또한, 본 발명의 기술적 사상의 실시 예들에 따르면, 수직한 측면을 갖는 구조물을 포함하는 반도체 기판 상에 증착 막의 균일도를 향상시킬 수 있는 증착 막 형성 방법 및 이를 이용하여 반도체 소자를 제조하는 방법을 제공할 수 있다. 또한, 본 발명의 기술적 사상의 실시예들에 따르면, 높은 종횡비를 갖는 홀을 갖는 기판 상에 형성하는 증착 막의 균일도를 향상시킬 수 있는 방법을 제공할 수 있다. According to embodiments of the inventive concept, a method of forming a deposition film and a method of manufacturing a semiconductor device using the same may be provided to improve step coverage characteristics. In addition, according to embodiments of the present invention, there is provided a deposition film formation method that can improve the uniformity of the deposition film on a semiconductor substrate including a structure having a vertical side and a method for manufacturing a semiconductor device using the same can do. In addition, according to embodiments of the inventive concept, it is possible to provide a method capable of improving the uniformity of a deposition film formed on a substrate having a hole having a high aspect ratio.

도 1은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법을 나타낸 공정 흐름도이다.
도 2는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 장비를 개념적으로 나타낸 도면이다.
도 3은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 장비의 일 변형 예를 개념적으로 나타낸 도면이다.
도 4는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 장비의 다른 변형 예를 개념적으로 나타낸 도면이다.
도 5는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 장비의 또 다른 변형 예를 개념적으로 나타낸 도면이다.
도 6은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 장비의 또 다른 변형 예를 개념적으로 나타낸 도면이다.
도 7a는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위하여 공정 챔버 내에 공정 물질을 공급하는 방법의 일 예를 나타내는 가스 펄싱 다이어그램이다.
도 7b는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위하여 공정 챔버 내에 공정 물질을 공급하는 방법의 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 7c는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위하여 공정 챔버 내에 공정 물질을 공급하는 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 7d는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위하여 공정 챔버 내에 공정 물질을 공급하는 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 7e는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위하여 공정 챔버 내에 공정 물질을 공급하는 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 7f는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위하여 공정 챔버 내에 공정 물질을 공급하는 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 7g는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위하여 공정 챔버 내에 공정 물질을 공급하는 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 7h는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위하여 공정 챔버 내에 공정 물질을 공급하는 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 7i는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위하여 공정 챔버 내에 공정 물질을 공급하는 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 8은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 장비의 또 다른 변형 예를 개념적으로 나타낸 도면이다.
도 9는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법을 나타낸 공정 흐름도이다.
도 10은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 일 예를 나타낸 가스 펄싱 다이어그램이다.
도 11은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 일 예를 나타낸 공정 흐름도이다.
도 12 내지 도 21은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 일 예들을 나타낸 도면들이다.
도 22는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 23은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 다른 예를 나타낸 공정 흐름도이다.
도 24 내지 도 29는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 다른 예를 나타낸 도면들이다.
도 30은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 31은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 32는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 33은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 34는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 35는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 36은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다.
도 37은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법에 따라 형성된 반도체 소자의 일 예를 나타낸 단면도이다.
도 38은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법에 따라 형성된 반도체 소자의 다른 예를 나타낸 단면도이다.
도 39는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법에 따라 형성된 반도체 소자의 또 다른 예를 나타낸 단면도이다.
도 40은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법에 따라 형성된 반도체 소자의 또 다른 예를 나타낸 단면도이다.
도 41a 내지 도 41c는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 일 예에 따라 제조된 반도체 소자의 일부분을 나타낸 도면들이다.
도 42a 내지 도 42c는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 다른 예에 따라 제조된 반도체 소자의 일부분을 나타낸 도면들이다.
도 43a 내지 도 43c는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예에 따라 제조된 반도체 소자의 일부분을 나타낸 도면들이다.
도 44a 내지 도 44c는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예에 따라 제조된 반도체 소자의 일부분을 나타낸 도면들이다.
도 45a 내지 도 45c는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예에 따라 제조된 반도체 소자의 일부분을 나타낸 도면들이다.
도 46은 본 발명의 기술적 사상의 실시예들에 따라 제조된 반도체 소자를 갖는 메모리 카드를 나타낸 개략도이다.
도 47은 본 발명의 기술적 사상의 실시예들에 따라 제조된 반도체 소자를 갖는 전자 시스템을 나타낸 블록도이다.
도 48은 본 발명의 기술적 사상의 실시예들에 따라 제조된 반도체 소자를 갖는 데이터 저장 장치를 나타낸 블록도이다.
도 49는 본 발명의 기술적 사상의 실시예에 의한 전자 시스템을 나타낸 도면이다.
도 50은 본 발명의 기술적 사상의 실시예들에 따라 제조된 반도체 소자를 포함하는 모바일 무선 폰을 개략적으로 도시한 도면이다.
1 is a process flowchart illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept.
2 is a view conceptually illustrating equipment for manufacturing a semiconductor device according to an embodiment of the inventive concept.
3 is a view conceptually illustrating a modified example of equipment for manufacturing a semiconductor device according to an embodiment of the inventive concept.
4 is a view conceptually showing another modified example of equipment for manufacturing a semiconductor device according to an embodiment of the inventive concept.
5 is a view conceptually illustrating another modified example of equipment for manufacturing a semiconductor device according to an embodiment of the inventive concept.
6 is a view conceptually illustrating another modified example of equipment for manufacturing a semiconductor device according to an embodiment of the inventive concept.
7A is a gas pulsing diagram illustrating an example of a method of supplying a process material into a process chamber to manufacture a semiconductor device according to an example embodiment of the inventive concepts.
FIG. 7B is a gas pulsing diagram illustrating another example of a method of supplying a process material into a process chamber to manufacture a semiconductor device according to an example embodiment of the inventive concepts; FIG.
FIG. 7C is a gas pulsing diagram illustrating another example of a method of supplying a process material into a process chamber to manufacture a semiconductor device according to an example embodiment of the inventive concepts; FIG.
FIG. 7D is a gas pulsing diagram illustrating still another example of a method of supplying a process material into a process chamber to manufacture a semiconductor device according to an example embodiment of the inventive concepts; FIG.
FIG. 7E is a gas pulsing diagram illustrating another example of a method of supplying a process material into a process chamber to manufacture a semiconductor device according to an example embodiment of the inventive concepts; FIG.
FIG. 7F is a gas pulsing diagram illustrating another example of a method of supplying a process material into a process chamber to manufacture a semiconductor device according to an example embodiment of the inventive concepts; FIG.
FIG. 7G is a gas pulsing diagram illustrating another example of a method of supplying a process material into a process chamber to manufacture a semiconductor device according to an example embodiment of the inventive concepts; FIG.
FIG. 7H is a gas pulsing diagram illustrating still another example of a method of supplying a process material into a process chamber to manufacture a semiconductor device according to an example embodiment of the inventive concepts; FIG.
FIG. 7I is a gas pulsing diagram illustrating another example of a method of supplying a process material into a process chamber to manufacture a semiconductor device according to an example embodiment of the inventive concepts; FIG.
8 is a view conceptually illustrating another modified example of equipment for manufacturing a semiconductor device according to an embodiment of the inventive concept.
9 is a flowchart illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept.
10 is a gas pulsing diagram illustrating an example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept.
11 is a process flowchart illustrating an example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.
12 to 21 are diagrams illustrating examples of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.
22 is a gas pulsing diagram illustrating another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept.
23 is a process flowchart illustrating another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.
24 to 29 are diagrams illustrating another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.
30 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept.
31 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept.
32 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept.
33 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept.
34 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept.
35 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept.
36 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept.
37 is a cross-sectional view illustrating an example of a semiconductor device formed by a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.
38 is a cross-sectional view illustrating another example of a semiconductor device formed according to a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.
39 is a cross-sectional view illustrating still another example of a semiconductor device formed according to a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.
40 is a cross-sectional view illustrating still another example of a semiconductor device formed according to a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.
41A to 41C illustrate a portion of a semiconductor device manufactured according to an example of a method of manufacturing a semiconductor device, according to an embodiment of the inventive concept.
42A to 42C illustrate portions of a semiconductor device manufactured according to another example of a method of manufacturing a semiconductor device, according to an embodiment of the inventive concept.
43A to 43C illustrate a portion of a semiconductor device manufactured according to still another example of a method of manufacturing a semiconductor device, according to an embodiment of the inventive concept.
44A to 44C illustrate portions of a semiconductor device manufactured according to yet another example of a method of manufacturing a semiconductor device, according to an embodiment of the inventive concept.
45A to 45C illustrate portions of a semiconductor device manufactured according to still another example of a method of manufacturing a semiconductor device, according to an embodiment of the inventive concept.
46 is a schematic diagram illustrating a memory card having a semiconductor device manufactured according to example embodiments of the inventive concepts.
47 is a block diagram illustrating an electronic system having a semiconductor device manufactured according to example embodiments of the inventive concepts.
48 is a block diagram illustrating a data storage device having a semiconductor device manufactured according to example embodiments of the inventive concept.
49 is a diagram illustrating an electronic system according to an embodiment of the inventive concept.
50 is a diagram schematically illustrating a mobile wireless phone including a semiconductor device manufactured according to embodiments of the inventive concept.

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 기술적 사상의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 도면에서 층 및 영역들의 크기 및 상대적인 크기는 설명의 명료성을 위해 과장된 것일 수 있다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.Advantages and features of the present invention and methods for achieving them will be apparent with reference to the embodiments described below in detail with the accompanying drawings. The present invention may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of the invention to those skilled in the art. It is intended that the scope of the invention be defined by the claims and the equivalents thereof. The dimensions and relative sizes of layers and regions in the figures may be exaggerated for clarity of illustration. Like reference numerals refer to like elements throughout.

본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 개략도인 단면도, 평면도 및 블록도를 참고하여 설명될 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시 도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이고, 발명의 범주를 제한하기 위한 것은 아니다.The embodiments described herein will be described with reference to cross-sectional views, plan views, and block diagrams, which are ideal schematics of the present invention. Accordingly, shapes of the exemplary drawings may be modified by manufacturing techniques and / or tolerances. Accordingly, the embodiments of the present invention are not limited to the specific forms shown, but also include variations in forms generated by the manufacturing process. Thus, the regions illustrated in the figures have schematic attributes, and the shapes of the regions illustrated in the figures are intended to illustrate specific types of regions of the elements and are not intended to limit the scope of the invention.

도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장된 것이다. 또한, 층이 다른 층 또는 기판 "상"에 있다고 언급되는 경우에 그것은 다른 층 또는 기판상에 직접 형성될 수 있거나 또는 그들 사이에 제3의 층이 개재될 수도 있다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분들은 동일한 구성요소들을 의미한다.In the drawings, the thicknesses of layers and regions are exaggerated for clarity. Also, when a layer is referred to as being "on" another layer or substrate, it may be formed directly on another layer or substrate, or a third layer may be interposed therebetween. Like numbers refer to like elements throughout the specification.

제1, 제2등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되는 것은 아니다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소는 제1 구성요소로 명명될 수 있다.The terms first, second, etc. may be used to describe various components, but the components are not limited by the terms. The terms are used only for the purpose of distinguishing one component from another. For example, without departing from the scope of the present invention, the first component may be referred to as a second component, and similarly, the second component may be referred to as a first component.

상단, 하단, 상면, 하면, 또는 상부, 하부 등의 용어는 구성요소에 있어 상대적인 위치를 구별하기 위해 사용되는 것이다. 예를 들어, 편의상 도면상의 위쪽을 상부, 도면상의 아래쪽을 하부로 명명하는 경우, 실제에 있어서는 본 발명의 권리 범위를 벗어나지 않으면서 상부는 하부로 명명될 수 있고, 하부는 상부로 명명될 수 있다.Terms such as top, bottom, top, bottom, or top, bottom, etc. are used to distinguish relative positions in components. For example, in the case of naming the upper part of the drawing as upper part and the lower part as lower part in the drawings for convenience, the upper part may be named lower part and the lower part may be named upper part without departing from the scope of right of the present invention .

본 출원에서 사용한 용어는 단지 특정한 실시 예를 설명하기 위해 사용된 것으로, 본 발명의 기술적 사상을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.The terminology used herein is for the purpose of describing particular embodiments only and is not intended to limit the scope of the present invention. Singular expressions include plural expressions unless the context clearly indicates otherwise. In this application, the terms "comprises", "having", and the like are used to specify that a feature, a number, a step, an operation, an element, a part or a combination thereof is described in the specification, But do not preclude the presence or addition of one or more other features, integers, steps, operations, components, parts, or combinations thereof.

다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 기술적 사상이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미가 있다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 의미가 있는 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.Unless defined otherwise, all terms used herein, including technical or scientific terms, have the same meaning as the present invention is generally understood by those skilled in the art. Terms such as those defined in commonly used dictionaries are to be interpreted as having a meaning consistent with the meaning in the context of the relevant art and are to be construed as ideal or overly formal in meaning unless explicitly defined in the present application Do not.

도 1은 본 발명의 기술적 사상의 실시 예들에 따른 반도체 소자의 제조 방법을 나타낸 공정 흐름도이다.1 is a process flowchart illustrating a method of manufacturing a semiconductor device in accordance with embodiments of the inventive concept.

도 1을 참조하면, 반도체 기판 상에 구조물을 형성할 수 있다. (S1) 상기 반도체 기판은 실리콘 기판일 수 있다. 상기 구조물은 수직한 측면을 갖는 구조물일 수 있다. 공정 챔버 내로 반도체 기판을 로딩할 수 있다. (S5) 상기 반도체 기판이 위치하는 상기 공정 챔버 내에 막-제어 물질 및 전구체 물질을 포함하는 공정 물질을 공급하는 것을 이용하여 반도체 기판 상에 증착 막을 형성할 수 있다. (S10) 상기 증착 막은 절연성 물질 막, 반도체 물질 막 또는 도전성 물질 막을 포함할 수 있다. 상기 증착 막을 형성 하는 것은 원하는 두께의 증착 막이 형성될 때까지 단위 층을 반복적으로 형성하는 것을 포함할 수 있다. Referring to FIG. 1, a structure may be formed on a semiconductor substrate. The semiconductor substrate may be a silicon substrate. The structure may be a structure having a vertical side. A semiconductor substrate may be loaded into the process chamber. (S5) A deposition film may be formed on the semiconductor substrate by supplying a process material including a film-control material and a precursor material into the process chamber in which the semiconductor substrate is located. The deposition film may include an insulating material film, a semiconductor material film, or a conductive material film. Forming the deposition film may include repeatedly forming a unit layer until a deposition film having a desired thickness is formed.

상기 증착 막이 형성된 반도체 기판을 상기 공정 챔버로부터 언로딩 할 수 있다. (S15) 상기 언로딩된 반도체 기판을 이용하여 반도체 칩을 형성할 수 있다. (S20) 상기 반도체 칩은 비메모리 반도체 칩 또는 메모리 반도체 칩일 수 있다. 상기 반도체 칩을 이용하여 반도체 부품을 제조할 수 있다. (S25) 상기 반도체 부품을 이용하여 전자 제품을 제조할 수 있다. (S30)The semiconductor substrate on which the deposition film is formed may be unloaded from the process chamber. A semiconductor chip may be formed using the unloaded semiconductor substrate. The semiconductor chip may be a non-memory semiconductor chip or a memory semiconductor chip. The semiconductor component may be manufactured using the semiconductor chip. (S25) An electronic product can be manufactured using the semiconductor component. (S30)

상기 공정 챔버 내에 상기 막-제어 물질 및 상기 전구체 물질을 포함하는 상기 공정 물질을 공급하는 것을 이용하여 반도체 기판 상에 증착 막을 형성하는 단계(S10)를 수행하기 위한 반도체 설비는 ALD(atomic layer deposition) 또는 CVD(chemical vapor deposition) 공정을 수행할 수 있는 설비일 수 있다. 상기 반도체 설비는 상기 공정 챔버를 포함할 수 있다. 상기 공정 챔버는 상기 구조물이 형성된 반도체 기판이 로딩되어 ALD(atomic layer deposition) 또는 CVD(chemical vapor deposition) 공정을 수행할 수 있는 챔버일 수 있다. 상기 공정 챔버 내에 상기 막-제어 물질 및 상기 전구체 물질을 공급하기 위한 공정 물질 공급 시스템이 제공될 수 있다. 이와 같은 공정 챔버 및 공정 물질 공급 시스템을 포함하는 반도체 설비에 대하여 도 2를 참조하여 설명하기로 한다. 도 2는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 설비를 개념적으로 나타낸 도면이다.The semiconductor apparatus for performing a step (S10) of forming a deposition film on a semiconductor substrate by supplying the process material including the film-control material and the precursor material into the process chamber includes an atomic layer deposition (ALD). Or it may be a facility that can perform a chemical vapor deposition (CVD) process. The semiconductor facility may include the process chamber. The process chamber may be a chamber in which a semiconductor substrate on which the structure is formed is loaded to perform an atomic layer deposition (ALD) or chemical vapor deposition (CVD) process. A process material supply system may be provided for supplying the film-controlled material and the precursor material into the process chamber. A semiconductor device including such a process chamber and a process material supply system will be described with reference to FIG. 2. 2 is a view conceptually illustrating a facility for manufacturing a semiconductor device according to an embodiment of the inventive concept.

도 2를 참조하면, 반도체 설비(1a)는 공정 챔버(10) 내에 막-제어 물질(14) 및 전구체 물질(16)를 독립적으로 공급할 수 있는 공정 물질 공급 시스템(20a)을 포함할 수 있다. 상기 공정 물질 공급 시스템(20a)은 상기 전구체 물질(16)과 상기 막-제어 물질(14)을 서로 독립적으로 그리고 서로 다른 시간대에 상기 공정 챔버(10) 내로 공급하거나, 또는 상기 전구체 물질(16)과 상기 막-제어 물질(14)을 동시에 상기 공정 챔버(10) 내로 공급할 수 있다. 상기 공정 챔버(10)는 구조물이 형성된 반도체 기판(100)이 로딩/언로딩될 수 있는 챔버일 수 있다.Referring to FIG. 2, the semiconductor facility 1a may include a process material supply system 20a capable of independently supplying the film-control material 14 and the precursor material 16 into the process chamber 10. The process material supply system 20a supplies the precursor material 16 and the film-control material 14 into the process chamber 10 independently of each other and at different times, or the precursor material 16 And the film-control material 14 may be simultaneously supplied into the process chamber 10. The process chamber 10 may be a chamber in which the semiconductor substrate 100 on which the structure is formed may be loaded / unloaded.

일 예에서, 상기 막-제어 물질(14)은 는 "LH"로 나타낼 수 있는 리간드-수소 화합물일 수 있고, 상기 전구체 물질(16)은 "MLn"으로 나타낼 수 있다. 상기 "MLn"에서, "M"은 상기 전구체 물질(16)의 중심 원자일 수 있고, "L"은 상기 전구체 물질(16)의 상기 중심 원자 "M"에 결합된 리간드일 수 있고, "n"은 상기 중심 원자 "M"과 상기 리간드 "L"에 의해 결정되는 수 로써, 예를 들어 2 내지 6 사이의 어느 하나의 값일 수 있다. 상기 막-제어 물질(14)은 상기 전구체 물질(16)의 상기 리간드 "L"의 수소 화합물일 수 있다. 예를 들어, 상기 전구체 물질(16)이 화학식 "Zr[N(CH3)(CH2CH3)]4"으로 나타내는 지르코늄 전구체인 경우에, 상기 막-제어 물질(14)은 지르코늄 전구체의 리간드(N(CH3)(CH2CH3))의 수소화합물(HN(CH3)(CH2CH3))일 수 있다. 상기 전구체 물질(16)이 화학식 "Ru(EtCp)2"으로 나타내는 루테늄 전구체인 경우에, 상기 막-제어 물질(14)은 루테늄 전구체의 리간드(EtCp)의 수소화합물(HEtCp) 일 수 있다. 상기 전구체 물질(16)이 화학식 "Ti(NMe2)4"으로 나타내는 타이타늄 전구체인 경우에, 상기 막-제어 물질(14)은 타이타늄 전구체의 리간드(NMe2)의 수소화합물(HNMe2) 일 수 있다. 여기서, "Me"는 메틸기(CH3)일 수 있다.In one example, the membrane-controlling material 14 may be a ligand-hydrogen compound, which may be represented by "LH", and the precursor material 16 may be represented by "ML n ". In the "ML n ", "M" may be a central atom of the precursor material 16, "L" may be a ligand bonded to the central atom "M" of the precursor material 16, " n "is a number determined by the central atom" M "and the ligand" L ", for example, may be any value between 2 and 6. The film-control material 14 may be a hydrogen compound of the ligand “L” of the precursor material 16. For example, when the precursor material 16 is a zirconium precursor represented by the formula “Zr [N (CH 3 ) (CH 2 CH 3 )] 4 ”, the film-control material 14 is a ligand of the zirconium precursor. Hydrogen compound (HN (CH 3 ) (CH 2 CH 3 )) of (N (CH 3 ) (CH 2 CH 3 )). When the precursor material 16 is a ruthenium precursor represented by the formula “Ru (EtCp) 2 ”, the film-controlling material 14 may be a hydrogen compound (HEtCp) of the ligand (EtCp) of the ruthenium precursor. When the precursor material 16 is a titanium precursor represented by the formula "Ti (NMe 2 ) 4 ", the film-controlling material 14 may be a hydrogen compound (HNMe 2 ) of the ligand (NMe 2 ) of the titanium precursor. have. Here, "Me" may be a methyl group (CH 3 ).

다른 예에서, 상기 전구체 물질(16)은 M(La)n(Lb)m으로 나타낼 수 있고, 상기 막-제어 물질(14)은 LaH 또는 LbH 으로 나타낼 수 있다. 상기 M(La)n(Lb)m에서, "M" 은 상기 전구체 물질(16)의 중심 원자일 수 있고, "La"는 상기 중심 원자(M)과 결합하는 제1 리간드일 수 있고, "Lb"는 상기 중심 원자(M)과 결합하며 상기 제1 리간드와 다른 제2 리간드일 수 있다. "n"은 중심 원자(M)과 제1 리간드(La)에 의해 결정되는 수일 수 있고, "m"은 중심 원자(M)과 제2 리간드(Lb)에 의해 결정되는 수일 수 있다.In another example, the precursor material 16 may be represented by M (L a ) n (L b ) m and the film-controlled material 14 may be represented by L a H or L b H. In M (L a ) n (L b ) m , “M” may be a central atom of the precursor material 16 and “L a ” may be a first ligand that binds to the central atom (M) And “L b ” may be a second ligand which binds to the central atom (M) and is different from the first ligand. "n" may be a number determined by the central atom (M) and the first ligand (L a ), "m" may be a number determined by the center atom (M) and the second ligand (L b ).

상기 막-제어 물질(14)은 상기 전구체 물질(16)의 제1 리간드(La)의 수소 화합물 LaH이거나, 또는 상기 전구체 물질(16)의 제2 리간드(Lb)의 수소 화합물 LbH일 수 있다. 예를 들어, 상기 전구체 물질(16)은 CpZr(N(CH3)2)3 일 수 있고, 상기 막-제어 물질(14)은 CpZr(N(CH3)2)3의 리간드의 수소화물일 수 있다. 여기서, "Cp"는 시클로펜타디에닐기 일 수 있다. 상기 막-제어 물질(14)은 전구체 CpZr(N(CH3)2)3 의 중심 원자 Zr과 결합된 리간드 N(CH3)2의 수소 화물 dimethylamine 일 수 있다. 여기서, dimethylamine은 HN(CH3)2 일 수 있다.The film-control material 14 is a hydrogen compound L a H of the first ligand L a of the precursor material 16, or a hydrogen compound L of the second ligand L b of the precursor material 16. b H. For example, the precursor material 16 may be CpZr (N (CH 3 ) 2 ) 3 , and the membrane-controlling material 14 may be a hydride of a ligand of CpZr (N (CH 3 ) 2 ) 3 . Can be. Here, "Cp" may be a cyclopentadienyl group. The membrane-controlling material 14 may be a hydride dimethylamine of ligand N (CH 3 ) 2 bonded with the central atom Zr of precursor CpZr (N (CH 3 ) 2 ) 3 . Here, dimethylamine may be HN (CH 3 ) 2 .

또 다른 예에서, 상기 전구체 물질(16)은 M(La)n(Lb)m일 수 있고, 상기 막-제어 물질(14)은 LcH일 수 있다. 이 경우에, 상기 막-제어 물질(14)의 LcH는 상기 전구체 물질(16)의 중심 원자 "M"과 결합하여 M(Lc)x(Ld)y를 형성 가능한 물질 일 수 있다. 여기서, M(Lc)x(Ld)y에서, 리간드 Ld는 상기 전구체 물질(16)의 제1 리간드 La 또는 제2 리간드 Lb 중 어느 하나일 수 있다. 그리고, M(Lc)x(Ld)y는 상기 전구체 물질(16)을 대체하여 상기 증착 막을 형성하기 위한 공정에 이용 가능한 물질일 수 있다. 여기서, "n"은 중심원자 "M"과 리간드 "La" 사이의 결합 상태, "m"은 중심 원자 "M"과 리간드 "Lb" 사이의 결합 상태, "x"는 중심 원자 "M"과 리간드 "Lc" 사이의 결합 상태, "y"는 중심 원자 "M"과 리간드 "Ld" 사이의 결합 상태에 의해 결정되는 수 일 수 있다. 예를 들어, 상기 전구체 물질(16)이 TEMAZ 전구체인 경우에, 상기 막-제어 물질(14)은 다이메틸 아민(dimethylamine) 일 수 있다. 상기 TEMAZ는 화학식 Zr[N(CH3)(CH2CH3)]4 일 수 있고, 상기 dimethylamine은 화학식 HN(CH3)2 일 수 있다. 상기 전구체 물질(16)이 CpZr(N(CH3)2)3 전구체인 경우에, 상기 막-제어 물질(14)은 "Ethylmethylamine" 일 수 있다. 여기서, 상기 Ethylmethylamine 는 화학식 HN(CH3)(CH2CH3) 일 수 있다.In another example, the precursor material 16 may be M (L a ) n (L b ) m , and the film-control material 14 may be L c H. In this case, L c H of the film-control material 14 may be a material capable of forming M (L c ) x (L d ) y in combination with the central atom “M” of the precursor material 16. . Here, in M (L c ) x (L d ) y , the ligand L d may be either the first ligand L a or the second ligand L b of the precursor material 16. In addition, M (L c ) x (L d ) y may be a material usable in a process for forming the deposition film by replacing the precursor material 16. Where "n" is the binding state between the central atom "M" and the ligand "L a ", "m" is the binding state between the central atom "M" and the ligand "L b ", and "x" is the central atom "M The binding state between "and ligand" L c "," y "may be a number determined by the binding state between central atom" M "and ligand" L d ". For example, when the precursor material 16 is a TEMAZ precursor, the film-controlling material 14 may be dimethylamine. The TEMAZ may be of formula Zr [N (CH 3 ) (CH 2 CH 3 )] 4 , and the dimethylamine may be of formula HN (CH 3 ) 2 . When the precursor material 16 is a CpZr (N (CH 3 ) 2 ) 3 precursor, the film-controlling material 14 may be "Ethylmethylamine". Here, the Ethylmethylamine may be a chemical formula HN (CH 3 ) (CH 2 CH 3 ).

또 다른 예에서, 상기 전구체 물질(16)은 M(La)n(Lb)m일 수 있고, 상기 막-제어 물질(14)은 상기 전구체 물질(16)의 리간드의 alkyl 화합물일 수 있다. 예를 들어, 상기 막-제어 물질(14)은 LaR 또는 LbR일 수 있다. 여기서, La 및 Lb는 상기 전구체의 중심 원자 M과 결합된 리간드들일 수 있고, R은 CH3 또는 CH2CH3 등과 같은 alkyl 화합물 또는 alkyl 계 화합물일 수 있다. 예를 들어, 상기 전구체 물질(16)은 TEMAZ 또는 CpZr(N(CH3)2)3 일 수 있고, 상기 막-제어 물질(14)은 NMe3 또는 NEt3 등일 수 있다. "Me"는 메틸기를 지칭할 수 있고, "Et"는 에틸기를 지칭할 수 있고, N은 질소일 수 있다. In another example, the precursor material 16 may be M (L a ) n (L b ) m , and the film-control material 14 may be an alkyl compound of a ligand of the precursor material 16. . For example, the membrane control material 14 may be L a R or L b R. Here, L a and L b may be ligands bonded to the central atom M of the precursor, and R may be an alkyl compound or an alkyl compound such as CH 3 or CH 2 CH 3 . For example, the precursor material 16 may be TEMAZ or CpZr (N (CH 3 ) 2 ) 3 , the film-controlling material 14 may be NMe 3 or NEt 3 , or the like. "Me" may refer to a methyl group, "Et" may refer to an ethyl group, and N may be nitrogen.

또 다른 예에서, 상기 전구체 물질(16)은 M(La)n(Lb)m 일 수 있고, 상기 막-제어 물질(14)은 LcR일 수 있다. 상기 LcR은 상기 전구체 물질(16)의 중심 원자 M과 결합하여 상기 전구체 물질(16)과 다른 전구체(예, M(Lc)x(Ld)y)를 형성할 수 있는 물질일 수 있다. 상기 LcR에서, Lc는 M(Lc)x(Ld)y 등과 같이 상기 전구체 물질(16)과 다른 전구체를 형성할 수 있는 화합물일 수 있고, R은 CH3 또는 CH2CH3 등과 같은 alkyl 화합물 또는 alkyl 계 화합물일 수 있다. 여기서, 상기 전구체 물질(16)과 다른 전구체 M(Lc)x(Ld)y는 상기 전구체 물질(16)을 대체하여 상기 증착 막을 형성하는데 이용가능한 물질일 수 있다.In another example, the precursor material 16 may be M (L a ) n (L b ) m and the film-control material 14 may be L c R. The L c R may be a material capable of combining with the central atom M of the precursor material 16 to form a different precursor (eg, M (L c ) x (L d ) y ) from the precursor material 16. have. In the L c R, Lc may be a compound capable of forming a precursor different from the precursor material 16, such as M (L c ) x (L d ) y, etc., R is CH 3 or CH 2 CH 3 It may be the same alkyl compound or alkyl-based compound. Here, precursor M (L c ) x (L d ) y different from precursor material 16 may be a material available to replace the precursor material 16 to form the deposition film.

본 발명의 기술적 사상은 예로 든 지르코늄 전구체, 타이타늄 전구체 또는 루테튬 전구체에 한정되지 않는다. 예를 들어, 상기 타이타늄 전구체로써 앞에서 예로 든 화학식 Ti(NMe2)4으로 나타낼 수 있는 전구체뿐만 아니라, TDMAT(tetrakis (dimethylamido) titanim) 등과 같은 물질도 사용될 수 있다. 다른 예를 들면, 상기 막-제어 물질(14)이 "LaH"의 화학식으로 나타내는 물질이고, 상기 전구체 물질(16)이 "MLb n"의 화학식으로 나타내는 전구체인 경우에, 상기 전구체 물질(16)의 화학식 "MLb n"에서, 중심 원자 "M"은 Be, B, Mg, Al, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Sr, Y, Zr, Nb, Mo, Tc, Ru, Rh, Pd, Ag, Cd, In, Sn, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Hg, Tl, Pb 또는 Bi 중 어느 하나이거나 둘 이상을 포함할 수 있다. 그리고, 상기 전구체 물질(16)의 상기 리간드 "Lb" 또는 상기 막-제어 물질(14)의 리간드 "La"은 독립적으로 H, F, Cl, Br, I, C1-10 alkyl, C1-C9 alkoxy, C5-C12 aryl, b-diketonate, cyclopentadienyl, C1-C8 alkylcyclopentadienyl, C1-C9 amino, C1-C9 thio 또는 amidinate 중 어느 하나이거나, 또는 H, F, Cl, Br, I, C1-10 alkyl, C1-C9 alkoxy, C5-C12 aryl, b-diketonate, cyclopentadienyl, C1-C8 alkylcyclopentadienyl, C1-C9 amino, C1-C9 thio 또는 amidinate 중 어느 하나에 할로겐이 첨가된 유도체일 수 있다. 또는, 상기 전구체 물질(16)의 상기 리간드 "Lb" 또는 상기 막-제어 물질(14)의 리간드 "La"은 독립적으로 C1-C10 ether, C1-C12 amine, C1-C10 sulfide, C1-C9 nitrile, pyridine, pyrrole 또는 furan 중 어느 하나이거나, C1-C10 ether, C1-C12 amine, C1-C10 sulfide, C1-C9 nitrile, pyridine, pyrrole 또는 furan 중 어느 하나에 할로겐이 첨가된 유도체일 수 있다.The technical spirit of the present invention is not limited to the zirconium precursor, the titanium precursor, or the ruthetium precursor. For example, as the titanium precursor, not only a precursor represented by the above-described formula Ti (NMe 2 ) 4 , but a material such as tetrakis (dimethylamido) titanim (TDMAT) may be used. In another example, when the film-controlling material 14 is a material represented by the chemical formula of "L a H", and the precursor material 16 is a precursor represented by the chemical formula of "ML b n ", the precursor material In the formula "ML b n ", the central atom "M" represents Be, B, Mg, Al, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Sr, Y, Zr, Nb, Mo, Tc, Ru, Rh, Pd, Ag, Cd, In, Sn, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Hg, Tl, It may include any one or more of Pb or Bi. In addition, the ligand "L b " of the precursor material 16 or the ligand "L a " of the membrane-controlling material 14 is independently H, F, Cl, Br, I, C1-10 alkyl, C1- C9 alkoxy, C5-C12 aryl, b-diketonate, cyclopentadienyl, C1-C8 alkylcyclopentadienyl, C1-C9 amino, C1-C9 thio or amidinate, or H, F, Cl, Br, I, C1-10 alkyl It may be a derivative in which halogen is added to any one of C1-C9 alkoxy, C5-C12 aryl, b-diketonate, cyclopentadienyl, C1-C8 alkylcyclopentadienyl, C1-C9 amino, C1-C9 thio or amidinate. Alternatively, the ligand "L b " of the precursor material 16 or ligand "L a " of the membrane-controlling material 14 is independently C1-C10 ether, C1-C12 amine, C1-C10 sulfide, C1- It may be any one of C9 nitrile, pyridine, pyrrole or furan, or a derivative in which halogen is added to any of C1-C10 ether, C1-C12 amine, C1-C10 sulfide, C1-C9 nitrile, pyridine, pyrrole or furan. .

상기 공정 물질 공급 시스템(20a)은 전구체 공급 장치(30a) 및 막-제어 물질 공급 장치(60a)를 포함할 수 있다. 상기 전구체 공급 장치(30a)는 상기 전구체 물질(16)을 상기 공정 챔버(10) 내로 공급하기 위한 장치일 수 있다.The process material supply system 20a may include a precursor supply device 30a and a film-controlled material supply device 60a. The precursor supply device 30a may be a device for supplying the precursor material 16 into the process chamber 10.

상기 전구체 공급 장치(30a)는 전구체 저장 용기(40) 및 기화기(50)를 포함할 수 있다. 상기 전구체 저장 용기(40) 및 상기 기화기(50)는 배관(42)에 의해 연결될 수 있고, 상기 배관(42)에 유량 제어 장치(44)가 배치될 수 있다. 상기 기화기(50)와 상기 공정 챔버(10)는 배관(52)에 의해 연결될 수 있고, 상기 배관(52)에 유량 제어 장치(54)가 배치될 수 있다. The precursor supply device 30a may include a precursor storage container 40 and a vaporizer 50. The precursor storage container 40 and the vaporizer 50 may be connected by a pipe 42, the flow rate control device 44 may be disposed in the pipe 42. The vaporizer 50 and the process chamber 10 may be connected by a pipe 52, and a flow control device 54 may be disposed in the pipe 52.

상기 전구체 저장 용기(40) 내의 상기 전구체 물질(16)은 상기 기화기(50)로 이동되어 상기 기화기(50)에서 기화될 수 있다. 또한, 상기 기화기(50)에서 기화된 상기 전구체 물질은 상기 공정 챔버(10) 내로 공급될 수 있다.The precursor material 16 in the precursor storage container 40 may be transferred to the vaporizer 50 and vaporized in the vaporizer 50. In addition, the precursor material vaporized in the vaporizer 50 may be supplied into the process chamber 10.

상기 막-제어 물질 공급 장치(60a)는 상기 막-제어 물질(14)을 상기 공정 챔버(10) 내에 공급하기 위한 장치일 수 있다. 상기 막-제어 물질 공급 장치(60a) 내에 상기 막-제어 물질(14)이 저장될 수 있고, 상기 막-제어 물질(14)은 상기 막-제어 물질 공급 장치(60a)로부터 상기 공정 챔버(10) 내로 배관(62)을 통하여 공급될 수 있다.The membrane-controlled material supply device 60a may be a device for supplying the membrane-controlled material 14 into the process chamber 10. The membrane-controlled material 14 may be stored in the membrane-controlled material supply device 60a, and the membrane-controlled material 14 is transferred from the membrane-controlled material supply device 60a to the process chamber 10. ) May be supplied through the pipe 62.

상기 막-제어 물질 공급 장치(60a)와 상기 공정 챔버(10)는 상기 배관(62)에 의해 연결될 수 있고, 상기 배관(62)에 상기 막-제어 물질(14)의 유량을 제어할 수 있는 유량 제어 장치(64)가 배치될 수 있다. 상기 배관들(42, 52, 62)은 유체가 흐를 수 있는 배관들일 수 있고, 상기 유량 제어 장치들(44, 54, 64)은 유체의 흐름을 제어할 수 있는 밸브 시스템을 포함할 수 있다.  The membrane-controlled material supply device 60a and the process chamber 10 may be connected by the pipe 62, and may control the flow rate of the membrane-controlled material 14 in the pipe 62. Flow control device 64 may be disposed. The pipes 42, 52, and 62 may be pipes through which fluid can flow, and the flow control devices 44, 54, and 64 may include a valve system capable of controlling the flow of the fluid.

상기 공정 물질 공급 시스템(20a)은 상기 전구체 물질(16)과 상기 막-제어 물질(14)을 독립적으로 상기 공정 챔버(10) 내에 공급할 수 있는 시스템일 수 있다. 상기 공정 물질 공급 시스템(20a0은 상기 전구체 물질(16)과 상기 막-제어 물질(14)을 서로 다른 시간대에 상기 공정 챔버(10) 내로 공급할 수 있다.
The process material supply system 20a may be a system capable of supplying the precursor material 16 and the film-control material 14 independently into the process chamber 10. The process material supply system 20a0 may supply the precursor material 16 and the film-control material 14 into the process chamber 10 at different times.

본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 반도체 제조 설비는 도 2에서 설명한 설비에 한정되지 않는다. 도 3 내지 도 6을 각각 참조하여 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 설비의 다른 예들에 대하여 설명하기로 한다. 도 3 내지 도 6의 각각은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 설비의 다른 예들을 개념적으로 나타낸 도면들이다.Semiconductor manufacturing equipment for manufacturing a semiconductor device according to an embodiment of the present invention is not limited to the equipment described in FIG. Other examples of equipment for manufacturing a semiconductor device according to an embodiment of the inventive concept will be described with reference to FIGS. 3 to 6, respectively. 3 to 6 are diagrams conceptually showing other examples of equipment for manufacturing a semiconductor device according to an embodiment of the inventive concept.

도 3은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 설비의 다른 예를 개념적으로 나타낸 도면이다. 3 is a view conceptually illustrating another example of a facility for manufacturing a semiconductor device according to an embodiment of the inventive concept.

도 3을 참조하면, 전구체 물질(16) 및 막-제어 물질(14)을 공정 챔버(10) 내에 공급할 수 있는 공정 물질 공급 시스템(20b)을 포함하는 반도체 설비(1b)가 제공될 수 있다. 상기 공정 물질 공급 시스템(20b)은 상기 전구체 물질(16)을 상기 공정 챔버(10) 내로 공급하기 위한 전구체 공급 장치(30b) 및 상기 막-제어 물질(14)을 상기 공정 챔버(10) 내로 공급하기 위한 막-제어 물질 공급 장치(60b)를 포함할 수 있다. Referring to FIG. 3, a semiconductor facility 1b may be provided that includes a process material supply system 20b capable of supplying a precursor material 16 and a film-controlled material 14 into the process chamber 10. The process material supply system 20b supplies a precursor supply device 30b for supplying the precursor material 16 into the process chamber 10 and the film-controlled material 14 into the process chamber 10. And a membrane-controlled material supply device 60b for the purpose of.

상기 전구체 공급 장치(30b)는 상기 전구체 물질(16)을 액체 상태로 저장할 수 있는 전구체 저장 용기(40) 및 상기 전구체 물질(16)을 기화시킬 수 있는 기화기(50)를 포함할 수 있다. 상기 전구체 저장 용기(40) 및 상기 기화기(50)는 배관(42)에 의해 연결될 수 있고, 상기 배관(42)에 유량 제어 장치(44)가 배치될 수 있다. 상기 기화기(50)와 상기 공정 챔버(10)는 배관(52)에 의해 연결될 수 있다.The precursor supply device 30b may include a precursor storage container 40 capable of storing the precursor material 16 in a liquid state and a vaporizer 50 capable of vaporizing the precursor material 16. The precursor storage container 40 and the vaporizer 50 may be connected by a pipe 42, the flow rate control device 44 may be disposed in the pipe 42. The vaporizer 50 and the process chamber 10 may be connected by a pipe 52.

상기 기화기(50)와 상기 공정 챔버(10)를 연결하는 배관은 제1 배관(52)으로 정의할 수 있다. 상기 막-제어 물질 공급 장치(60b)는 상기 제1 배관(52)과 연결될 수 있다. 상기 막-제어 물질 공급 장치(60b)는 상기 제1 배관(52)과 상기 막-제어 물질 공급 장치(60b)를 연결하는 제2 배관(62)이 배치될 수 있다. The pipe connecting the vaporizer 50 and the process chamber 10 may be defined as a first pipe 52. The membrane-controlled material supply device 60b may be connected to the first pipe 52. The membrane-controlled material supply device 60b may be provided with a second pipe 62 connecting the first pipe 52 and the membrane-controlled material supply device 60b.

상기 제1 배관(52)과 상기 제2 배관(62)의 연결 부분(56)과 상기 기화기(50) 사이의 상기 제1 배관(52)에 유량 제어 장치(54)가 배치될 수 있다. 상기 제1 배관(52)과 상기 제2 배관(62)의 연결 부분(56)과 상기 공정 챔버(50) 사이의 상기 제1 배관(52)에 유량 제어 장치(58)가 배치될 수 있다. The flow rate control device 54 may be disposed in the first pipe 52 between the connecting portion 56 of the first pipe 52 and the second pipe 62 and the vaporizer 50. The flow rate control device 58 may be disposed in the first pipe 52 between the connection portion 56 of the first pipe 52 and the second pipe 62 and the process chamber 50.

상기 막-제어 물질 공급 장치(60b) 내에 상기 막-제어 물질(14)이 저장될 수 있고, 상기 막-제어 물질(14)은 상기 막-제어 물질 공급 장치(60b)로부터 제2 배관(62) 및 상기 제1 배관(52)을 통하여 상기 공정 챔버(10) 내로 공급될 수 있다. The membrane-controlled material 14 may be stored in the membrane-controlled material supply device 60b, and the membrane-controlled material 14 is transferred from the membrane-controlled material supply device 60b to the second pipe 62. And the first pipe 52 may be supplied into the process chamber 10.

상기 공정 물질 공급 시스템(20b)은 상기 전구체 물질(16)과 상기 막-제어 물질(14)을 서로 다른 시간대에 상기 공정 챔버(10) 내로 공급할 수 있다. 또한, 상기 공정 물질 공급 시스템(20b)은 상기 전구체 물질(16)과 상기 막-제어 물질(14)을 동시에 공급할 수도 있다. 상기 전구체 물질(16)과 상기 막-제어 물질(14)을 상기 공정 챔버(10) 내에 동시에 공급하는 경우에, 상기 공정 물질 공급 시스템(20b)은 상기 막-제어 물질(14)을 상기 기화기(50)를 통하여 기화된 상태의 전구체 물질과 혼합하여 상기 공정 챔버(10) 내로 공급할 수 있다. The process material supply system 20b may supply the precursor material 16 and the film-control material 14 into the process chamber 10 at different times. In addition, the process material supply system 20b may simultaneously supply the precursor material 16 and the film-controlled material 14. In the case where the precursor material 16 and the film-controlled material 14 are simultaneously supplied into the process chamber 10, the process material supply system 20b causes the film-controlled material 14 to be supplied to the vaporizer. 50 may be mixed with the precursor material in a vaporized state and supplied into the process chamber 10.

도 4는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 설비의 또 다른 예를 개념적으로 나타낸 도면이다. 4 is a view conceptually illustrating another example of a facility for manufacturing a semiconductor device according to an embodiment of the inventive concept.

도 4를 참조하면, 전구체 물질(16) 및 막-제어 물질(14)을 공정 챔버(10) 내에 공급할 수 있는 공정 물질 공급 시스템(20c)을 포함하는 반도체 설비(1c)가 제공될 수 있다.Referring to FIG. 4, a semiconductor installation 1c may be provided that includes a process material supply system 20c capable of supplying a precursor material 16 and a film-controlled material 14 into the process chamber 10.

상기 공정 물질 공급 시스템(20c)은 전구체 공급 장치(30c) 및 막-제어 물질 공급 장치(60c)를 포함할 수 있다. The process material supply system 20c may include a precursor supply device 30c and a film-controlled material supply device 60c.

상기 전구체 공급 장치(30c)는 상기 전구체 물질(16)을 액체 상태로 저장할 수 있는 전구체 저장 용기(40) 및 상기 전구체 물질(16)을 기화시킬 수 있는 기화기(50)를 포함할 수 있다. 상기 전구체 저장 용기(40) 및 상기 기화기(50)는 배관(42)에 의해 연결될 수 있다. 상기 기화기(50)와 상기 공정 챔버(10)는 배관(52)에 의해 연결될 수 있고, 상기 배관(52)에 유량 제어 장치(54)가 배치될 수 있다.The precursor supply device 30c may include a precursor storage container 40 capable of storing the precursor material 16 in a liquid state and a vaporizer 50 capable of vaporizing the precursor material 16. The precursor storage container 40 and the vaporizer 50 may be connected by a pipe 42. The vaporizer 50 and the process chamber 10 may be connected by a pipe 52, and a flow control device 54 may be disposed in the pipe 52.

상기 전구체 저장 용기(40)와 상기 기화기(50)를 연결하는 배관을 제1 배관(42)으로 정의할 수 있다. 상기 막-제어 물질(14)을 저장할 수 있는 상기 막-제어 물질 공급 장치(60c)는 제2 배관(62)을 통하여 상기 제1 배관(42)과 연결될 수 있다. 따라서, 상기 제2 배관(62)은 상기 제1 배관(42)과 상기 막-제어 물질 공급 장치(30c)를 연결할 수 있다. 상기 제2 배관(62)에 상기 막-제어 물질(14)의 유량을 제어할 수 있는 유량 제어 장치(64)가 배치될 수 있다.A pipe connecting the precursor storage container 40 and the vaporizer 50 may be defined as a first pipe 42. The membrane-controlled material supply device 60c capable of storing the membrane-controlled material 14 may be connected to the first pipe 42 through a second pipe 62. Accordingly, the second pipe 62 may connect the first pipe 42 and the membrane-controlled material supply device 30c. A flow control device 64 capable of controlling the flow rate of the membrane-controlled material 14 may be disposed in the second pipe 62.

상기 제1 배관(42)과 상기 제2 배관(62)의 연결 부분(46)과, 상기 전구체 저장 용기(40) 사이에 유량 제어 장치(44)가 제공될 수 있고, 상기 제1 배관(42)과 상기 제2 배관(62)의 연결 부분(46)과, 상기 제1 기화기(50) 사이에 유량 제어 장치(48)가 제공될 수 있다. 상기 막-제어 물질 공급 장치(60c) 내의 상기 막-제어 물질(14)은 상기 기화기(50)를 통하여 상기 공정 챔버(10) 내로 공급될 수 있다.A flow rate control device 44 may be provided between the connecting portion 46 of the first pipe 42 and the second pipe 62 and the precursor storage container 40, and the first pipe 42 may be provided. ) And a flow control device 48 may be provided between the connecting portion 46 of the second pipe 62 and the first vaporizer 50. The membrane-controlled material 14 in the membrane-controlled material supply device 60c may be supplied into the process chamber 10 through the vaporizer 50.

상기 공정 물질 공급 시스템(20c)은 상기 전구체 물질(16)과 상기 막-제어 물질(14)을 서로 독립적으로 상기 공정 챔버(10) 내로 공급할 수 있다. 또한, 상기 공정 물질 공급 시스템(20c)은 상기 전구체 물질(16)과 상기 막-제어 물질(14)을 동시에 공급할 수도 있다. The process material supply system 20c may supply the precursor material 16 and the film-control material 14 into the process chamber 10 independently of each other. The process material supply system 20c may also supply the precursor material 16 and the film-controlled material 14 simultaneously.

상기 전구체 물질(16)과 상기 막-제어 물질(14)을 상기 공정 챔버(10) 내에 동시에 공급하는 경우에, 상기 전구체 물질(16)과 상기 막-제어 물질(14)은 상기 기화기(50) 내로 동시에 이동되고, 상기 기화기(50)에서 동시에 기화되어 상기 공정 챔버(10) 내로 공급될 수 있다.In the case where the precursor material 16 and the film-control material 14 are simultaneously supplied into the process chamber 10, the precursor material 16 and the film-control material 14 are the vaporizer 50. Simultaneously moved into and vaporized at the same time in the vaporizer 50 may be supplied into the process chamber 10.

도 5는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 설비의 또 다른 예를 개념적으로 나타낸 도면이다. 5 is a view conceptually illustrating another example of a facility for manufacturing a semiconductor device according to an embodiment of the inventive concept.

도 5를 참조하면, 전구체 물질(16) 및 막-제어 물질(14)을 공정 챔버(10) 내에 공급할 수 있는 공정 물질 공급 시스템(20d)을 포함하는 반도체 설비(1d)가 제공될 수 있다. 상기 공정 물질 공급 시스템(20d)은 전구체 공급 장치(30d) 및 막-제어 물질 공급 장치(60d)를 포함할 수 있다. Referring to FIG. 5, a semiconductor installation 1d may be provided that includes a process material supply system 20d capable of supplying a precursor material 16 and a film-controlled material 14 into the process chamber 10. The process material supply system 20d may include a precursor supply device 30d and a film-controlled material supply device 60d.

상기 전구체 공급 장치(30c)는 상기 전구체 물질(16)을 액체 상태로 저장할 수 있는 전구체 저장 용기(40) 및 상기 전구체 물질(16)을 기화시킬 수 있는 기화기(50)를 포함할 수 있다. 상기 전구체 저장 용기(40) 및 상기 기화기(50)는 배관(42)에 의해 연결될 수 있다. 상기 기화기(50)와 상기 공정 챔버(10)는 배관(52)에 의해 연결될 수 있고, 상기 배관(52)에 유량 제어 장치(54)가 배치될 수 있다.The precursor supply device 30c may include a precursor storage container 40 capable of storing the precursor material 16 in a liquid state and a vaporizer 50 capable of vaporizing the precursor material 16. The precursor storage container 40 and the vaporizer 50 may be connected by a pipe 42. The vaporizer 50 and the process chamber 10 may be connected by a pipe 52, and a flow control device 54 may be disposed in the pipe 52.

상기 막-제어 물질 공급 장치(60d)는 상기 막-제어 물질(14)을 저장할 수 있다. 상기 막-제어 물질 공급 장치(60d)는 상기 전구체 공급 장치(30d)에 배관(62)을 통하여 연결될 수 있다. 상기 배관(62)에 유량 제어 장치(64)가 배치될 수 있다.The membrane-controlled substance supply device 60d may store the membrane-controlled substance 14. The film-controlled material supply device 60d may be connected to the precursor supply device 30d through a pipe 62. The flow rate control device 64 may be disposed in the pipe 62.

상기 막-제어 물질 공급 장치(60d)는 상기 전구체 공급 장치(30d)의 상기 전구체 저장 용기(40)에 상기 배관(62)을 통하여 연결될 수 있다.The film-controlled material supply device 60d may be connected to the precursor storage container 40 of the precursor supply device 30d through the pipe 62.

상기 막-제어 물질 공급 장치(60d) 내의 상기 막-제어 물질(14)은 상기 전구체 저장 용기(40) 내로 이동하여 상기 전구체 물질(16)과 같이 혼합된 후, 상기 전구체 저장 용기(40)로부터 상기 기화기(50)를 통하여 상기 전구체 물질(16)과 같이 상기 공정 챔버(10) 내로 공급될 수 있다.The film-controlled material 14 in the film-controlled material supply device 60d moves into the precursor storage container 40 and is mixed with the precursor material 16 and then from the precursor storage container 40. The vaporizer 50 may be supplied into the process chamber 10 together with the precursor material 16.

도 6은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 제조하기 위한 설비의 또 다른 예를 개념적으로 나타낸 도면이다. 6 is a view conceptually illustrating another example of a facility for manufacturing a semiconductor device according to an embodiment of the inventive concept.

도 6을 참조하면, 전구체 물질(16) 및 막-제어 물질(14)을 공정 챔버(10) 내에 공급할 수 있는 공정 물질 공급 시스템(20e)을 포함하는 반도체 설비(1e)가 제공될 수 있다.Referring to FIG. 6, a semiconductor facility 1e may be provided that includes a process material supply system 20e capable of supplying a precursor material 16 and a film-controlled material 14 into the process chamber 10.

상기 공정 물질 공급 시스템(20e)은 공정 물질 저장 용기(70) 및 기화기(50)를 포함할 수 있다. 상기 공정 물질 저장 용기(70)는 상기 전구체 물질 및 상기 막-제어 물질을 동시에 저장할 수 있다. 예를 들어, 상기 전구체 물질 및 상기 막-제어 물질은 혼합된 액체 상태의 물질(18)로 상기 공정 물질 저장 용기(70)에 저장될 수 있다. The process material supply system 20e may include a process material storage container 70 and a vaporizer 50. The process material storage container 70 may store the precursor material and the film-controlled material simultaneously. For example, the precursor material and the film-controlled material may be stored in the process material storage container 70 as a mixed liquid material 18.

상기 공정 물질 저장 용기(70)는 상기 기화기(50)와 배관(72)을 통하여 연결될 수 있다. 상기 배관(72)에 유체 제어 장치(74)가 배치될 수 있다. 상기 기화기(50)는 상기 공정 챔버(10)와 배관(52)을 통하여 연결될 수 있다. 상기 배관(52)에 유체 제어 장치(54)가 배치될 수 있다. The process material storage container 70 may be connected to the vaporizer 50 and the pipe 72. The fluid control device 74 may be disposed in the pipe 72. The vaporizer 50 may be connected to the process chamber 10 and the pipe 52. The fluid control device 54 may be disposed in the pipe 52.

따라서, 상기 공정 물질 저장 용기(70) 내의 상기 전구체 물질 및 상기 막-제어 물질을 포함하는 공정 물질(18)은 상기 기화기(50)로 이동되어 상기 기화기(50)에서 기화될 수 있다. 상기 기화기(50)에서 기화된 상기 전구체 물질 및 상기 막-제어 물질은 상기 공정 챔버(10) 내로 동시에 공급될 수 있다.
Thus, the process material 18 including the precursor material and the film-controlled material in the process material storage container 70 may be transferred to the vaporizer 50 and vaporized in the vaporizer 50. The precursor material and the film-controlled material vaporized in the vaporizer 50 may be simultaneously supplied into the process chamber 10.

도 1, 및 도 2-6에서 설명한 것과 같이, 본 발명의 기술적 사상의 실시예들에 따르면 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 것을 이용하여 반도체 기판 상에 증착 막을 형성할 수 있다. 이와 같이, 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 방법 또는 공급하는 순서에 대하여 도 7a 내지 도 7i를 각각 참조하여 설명하기로 한다. As described with reference to FIGS. 1 and 2-6, according to embodiments of the inventive concept, the supply of the film-control material 14 and the precursor material 16 into the process chamber 10 may be performed. Can be used to form a deposited film on a semiconductor substrate. As such, the method or order of supplying the film-control material 14 and the precursor material 16 into the process chamber 10 will be described with reference to FIGS. 7A to 7I, respectively.

도 7a는 상기 공정 챔버(10) 내에 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 방법의 일 예를 설명하기 위한 가스 펄싱 다이어그램이다. 도 2 내지 도 4 중 어느 하나의 반도체 설비와, 도 7a를 참조하면, 상기 공정 챔버(10) 내에 상기 막-제어 물질(14)을 상기 전구체 물질(16) 보다 먼저 공급한 후에, 상기 막-제어 물질(14)의 공급을 중단하고 상기 전구체 물질(16)을 공급할 수 있다. 7A is a gas pulsing diagram for explaining an example of a method of supplying the film-control material 14 and the precursor material 16 into the process chamber 10 in the process chamber 10. Referring to the semiconductor device of any one of FIGS. 2 to 4 and FIG. 7A, after the film-control material 14 is supplied to the process chamber 10 before the precursor material 16, the film- The supply of control material 14 can be stopped and the precursor material 16 can be supplied.

도 7b는 상기 공정 챔버(10) 내에 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 방법의 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다. 도 2 내지 도 4 중 어느 하나의 반도체 설비와, 도 7b를 참조하면, 상기 공정 챔버(10) 내에 상기 막-제어 물질(14)을 상기 전구체 물질(16) 보다 먼저 공급을 시작하고, 상기 막-제어 물질(14)이 공급되는 동안에 상기 전구체 물질(16)의 공급을 시작하고, 상기 막-제어 물질(14)의 공급을 중단한 후에, 상기 전구체 물질(16)의 공급을 중단할 수 있다. 따라서, 상기 막-제어 물질(14)과 상기 전구체 물질(16)은 일정 시간동안 상기 공정 챔버(10) 내에 함께 공급될 수 있다.7B is a gas pulsing diagram for explaining another example of a method of supplying the film-control material 14 and the precursor material 16 into the process chamber 10 in the process chamber 10. Referring to the semiconductor device of any one of FIGS. 2 to 4 and FIG. 7B, the film-controlled material 14 is started into the process chamber 10 before the precursor material 16 and the film is started. The supply of the precursor material 16 can be started while the control material 14 is being supplied and the supply of the precursor material 16 can be stopped after the supply of the film-control material 14 is stopped. . Thus, the film-control material 14 and the precursor material 16 may be supplied together in the process chamber 10 for a period of time.

도 7c는 상기 공정 챔버(10) 내에 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 방법의 또 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다. 도 2 내지 도 4중 어느 하나의 반도체 설비와, 도 7c를 참조하면, 상기 공정 챔버(10) 내에 상기 전구체 물질(16)을 상기 막-제어 물질(14) 보다 먼저 공급한 후에, 상기 전구체 물질(16)의 공급을 중단하고 상기 막-제어 물질(14)를 공급할 수 있다. 7C is a gas pulsing diagram for explaining another example of a method of supplying the film-control material 14 and the precursor material 16 into the process chamber 10 in the process chamber 10. Referring to the semiconductor device of any one of FIGS. 2 to 4 and FIG. 7C, after the precursor material 16 is supplied to the process chamber 10 before the film-control material 14, the precursor material Supply of the membrane-controlled material 14 can be stopped and supply of 16.

도 7d는 상기 공정 챔버(10) 내에 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 방법의 또 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다. 도 2 내지 도 4 중 어느 하나의 설비와, 도 7d를 참조하면, 상기 공정 챔버(10) 내에 상기 전구체 물질(16)을 상기 막-제어 물질(14) 보다 먼저 공급을 시작하고, 상기 전구체 물질(16)이 공급되는 동안에 상기 막-제어 물질(14)의 공급을 시작하고, 상기 전구체 물질(16)의 공급을 중단한 후에, 상기 막-제어 물질(14)의 공급을 중단할 수 있다. 따라서, 상기 막-제어 물질(14)과 상기 전구체 물질(16)은 일정 시간 동안 상기 공정 챔버(10) 내에 함께 공급될 수 있다.FIG. 7D is a gas pulsing diagram for explaining another example of a method of supplying the film-control material 14 and the precursor material 16 into the process chamber 10 in the process chamber 10. Referring to the installation of any one of FIGS. 2-4, and FIG. 7D, the precursor material 16 is started into the process chamber 10 before the film-controlled material 14 and the precursor material is started. The supply of the film-control material 14 can be stopped while the supply of the film-control material 14 is started while the supply of the precursor material 16 is stopped while the 16 is being supplied. Thus, the film-control material 14 and the precursor material 16 may be supplied together in the process chamber 10 for a period of time.

도 7e는 상기 공정 챔버(10) 내에 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 방법의 또 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다. 도 2 내지 도 6 중 어느 하나의 반도체 설비와, 도 7e를 참조하면, 상기 공정 챔버(10) 내에 상기 전구체 물질(16) 및 상기 막-제어 물질(14)을 동시에 공급을 시작하고, 동시에 공급을 중단할 수 있다.FIG. 7E is a gas pulsing diagram for explaining another example of a method of supplying the film-control material 14 and the precursor material 16 into the process chamber 10 in the process chamber 10. Referring to the semiconductor device of any one of FIGS. 2 to 6 and FIG. 7E, simultaneously supplying and simultaneously supplying the precursor material 16 and the film-controlled material 14 into the process chamber 10. You can stop.

도 7f는 상기 공정 챔버(10) 내에 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 방법의 또 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다. 도 2 내지 도 4중 어느 하나의 반도체 설비와, 도 7f를 참조하면, 상기 공정 챔버(10) 내에 상기 막-제어 물질(14)을 상기 전구체 물질(16) 보다 먼저 공급하고, 상기 막-제어 물질(14)이 공급되는 중간에 상기 전구체 물질(16)을 상기 막-제어 물질(14)과 함께 공급할 수 있다. 이어서, 상기 공정 챔버(10) 내에 상기 전구체 물질(16)과 상기 막-제어 물질(14)을 공급하는 것을 동시에 중단할 수 있다. FIG. 7F is a gas pulsing diagram for explaining another example of a method of supplying the film-control material 14 and the precursor material 16 into the process chamber 10 in the process chamber 10. Referring to the semiconductor device of any one of FIGS. 2 to 4 and FIG. 7F, the film-controlled material 14 is supplied into the process chamber 10 before the precursor material 16 and the film-controlled. The precursor material 16 may be supplied together with the film-control material 14 in the middle of the material 14 being supplied. Subsequently, the supply of the precursor material 16 and the film-control material 14 into the process chamber 10 may be stopped at the same time.

도 7g는 상기 공정 챔버(10) 내에 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 방법의 또 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다. 도 2 내지 도 4 중 어느 하나의 반도체 설비와, 도 7g를 참조하면, 상기 공정 챔버(10) 내에 상기 전구체 물질(16) 및 상기 막-제어 물질(14)을 공급하기 위하여, 상기 막-제어 물질(14)를 첫 번째로 펄싱한 후에, 상기 전구체 물질(16)을 펄싱하고, 이어서 상기 막-제어 물질(14)을 두 번째로 펄싱할 수 있다. 상기 공정 챔버(10) 내에 상기 막-제어 물질(14)을 상기 전구체 물질(16) 보다 먼저 공급하고, 상기 막-제어 물질(14)의 공급을 중단하면서 상기 전구체 물질(16)을 공급하고, 상기 전구체 물질(16)의 공급을 중단하면서 상기 막-제어 물질(14)을 공급할 수 있다.7G is a gas pulsing diagram for explaining another example of a method of supplying the film-control material 14 and the precursor material 16 into the process chamber 10 in the process chamber 10. Referring to the semiconductor device of any of FIGS. 2-4 and FIG. 7G, the film-controlled material is supplied to supply the precursor material 16 and the film-controlled material 14 into the process chamber 10. After first pulsing the material 14, the precursor material 16 may be pulsed, followed by the second pulsing of the film-control material 14. Supplying the film-control material 14 into the process chamber 10 before the precursor material 16, stopping the supply of the film-control material 14 and supplying the precursor material 16, The film-control material 14 may be supplied while the supply of the precursor material 16 is stopped.

도 7h는 상기 공정 챔버(10) 내에 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 방법의 또 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다. 도 2 내지 도 4 중 어느 하나의 반도체 설비와, 도 7h를 참조하면, 상기 공정 챔버(10) 내에 상기 전구체 물질(16) 및 상기 막-제어 물질(14)을 공급하기 위하여, 상기 막-제어 물질(14)를 펄싱 하는 중간에 상기 전구체 물질(16)을 펄싱할 수 있다. 상기 공정 챔버(10) 내에 상기 막-제어 물질(14)을 공급하는 중간에 상기 전구체 물질(16)을 공급할 수 있다. 상기 공정 챔버(10) 내에 상기 막-제어 물질(14)을 상기 전구체 물질(16) 보다 먼저 공급하고, 일정 시간 후에 상기 전구체 물질(16)을 상기 막-제어 물질(14)과 같이 공급하고, 상기 전구체 물질(16)의 공급을 중단한 후에도 상기 막-제어 물질을 공급할 수 있다.7H is a gas pulsing diagram for explaining another example of a method of supplying the film-control material 14 and the precursor material 16 into the process chamber 10 in the process chamber 10. Referring to the semiconductor device of any one of FIGS. 2-4 and FIG. 7H, the film-controlled material is supplied to supply the precursor material 16 and the film-controlled material 14 into the process chamber 10. The precursor material 16 may be pulsed in the middle of pulsing the material 14. The precursor material 16 may be supplied in the middle of supplying the film-controlled material 14 into the process chamber 10. Supplying the film-control material 14 into the process chamber 10 before the precursor material 16, and after a predetermined time, supplying the precursor material 16 with the film-control material 14, The film-controlling material may be supplied even after the supply of the precursor material 16 is stopped.

도 7i는 상기 공정 챔버(10) 내에 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 방법의 또 다른 예를 설명하기 위한 가스 펄싱 다이어그램이다. 도 2 내지 도 4 중 어느 하나의 반도체 설비와, 도 7i를 참조하면, 상기 공정 챔버(10) 내에 상기 막-제어 물질(14)과 상기 전구체 물질(16)을 동시에 공급하고, 상기 막-제어 물질(14)을 상기 전구체 물질(16) 보다 긴 시간 동안 공급할 수 있다. FIG. 7I is a gas pulsing diagram for explaining another example of a method of supplying the film-control material 14 and the precursor material 16 into the process chamber 10 in the process chamber 10. Referring to the semiconductor device of any one of FIGS. 2 to 4 and FIG. 7I, the film-control material 14 and the precursor material 16 are simultaneously supplied into the process chamber 10, and the film-control is performed. Material 14 may be supplied for a longer time than precursor material 16.

도 8은 본 발명의 기술적 사상의 실시예들에 따른 반도체 소자를 제조하기 위한 반도체 설비의 또 다른 예를 개념적으로 나타낸 도면이다.FIG. 8 is a diagram conceptually illustrating another example of a semiconductor facility for manufacturing a semiconductor device according to example embodiments of the inventive concepts; FIG.

도 8를 참조하면, 공정 챔버(10), 제1 공정 물질 공급 장치(20) 및 제2 공정 물질 공급 장치(80)를 포함하는 반도체 설비(1)가 제공될 수 있다. 상기 반도체 설비(1)는 ALD 또는 CVD 등과 같은 증착 설비일 수 있다. 상기 공정 챔버(10) 내에 구조물이 형성된 반도체 기판(100)이 로딩될 수 있다. Referring to FIG. 8, a semiconductor device 1 including a process chamber 10, a first process material supply device 20, and a second process material supply device 80 may be provided. The semiconductor facility 1 may be a deposition facility such as ALD or CVD. The semiconductor substrate 100 having the structure formed therein may be loaded in the process chamber 10.

상기 제1 공정 물질 공급 장치(20)는 제1 공정 물질을 상기 공정 챔버(10) 내에 공급하기 위한 장치일 수 있다. 예를 들어, 상기 제1 공정 물질 공급 장치(20)는 제1 전구체 및 제1 막-제어 물질을 상기 공정 챔버(10) 내에 공급하기 위한 장치일 수 있다. 상기 제1 공정 물질 공급 장치(20)는 도 2 내지 도 6에서 설명한 상기 공정 물질 공급 시스템들(20a, 20b, 20c, 20d, 20e) 중 어느 하나일 수 있다. 예를 들어, 상기 제1 공정 물질 공급 장치(20)는 도 2 내지 도 6에서 설명한 것과 같은 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내로 공급할 수 있는 장치일 수 있다.The first process material supply device 20 may be a device for supplying a first process material into the process chamber 10. For example, the first process material supply device 20 may be a device for supplying a first precursor and a first film-controlled material into the process chamber 10. The first process material supply device 20 may be any one of the process material supply systems 20a, 20b, 20c, 20d, and 20e described with reference to FIGS. 2 to 6. For example, the first process material supply device 20 may supply the film-controlled material 14 and the precursor material 16 as described in FIGS. 2 to 6 into the process chamber 10. It may be a device.

상기 제2 공정 물질 공급 장치(80)는 제2 공정 물질을 상기 공정 챔버(10) 내에 공급하기 위한 장치일 수 있다. The second process material supply device 80 may be a device for supplying a second process material into the process chamber 10.

실시예들에서, 상기 제2 공정 물질 공급 장치(80)는 상기 제1 전구체 물질의 제1 중심 원자와 다른 제2 중심 원자를 갖는 제2 전구체 물질을 포함하는 제2 공정 물질을 상기 공정 챔버(10) 내에 공급하기 위한 장치일 수 있다. 상기 제2 공정 물질 공급 장치(80)는 상기 제2 전구체 물질과 함께 제2 막-제어 물질을 상기 공정 챔버(10) 내에 공급하기 위한 장치일 수 있다. 상기 제2 공정 물질 공급 장치(80)는 도 2 내지 도 6에서 설명한 상기 공정 물질 공급 시스템들(20a, 20b, 20c, 20d, 20e) 중 어느 하나일 수 있다.In embodiments, the second process material supply device 80 may include a second process material including a second precursor material having a second center atom different from the first center atom of the first precursor material. 10) may be a device for supplying. The second process material supply device 80 may be a device for supplying a second film-controlled material into the process chamber 10 together with the second precursor material. The second process material supply device 80 may be any one of the process material supply systems 20a, 20b, 20c, 20d, and 20e described with reference to FIGS. 2 to 6.

실시예들에서, 상기 제2 공정 물질 공급 장치(80)는 상기 제1 공정 물질 공급 장치(20)로부터 상기 공정 챔버(10) 내로 공급되어 상기 반도체 기판(100)의 표면에 흡착된 제1 전구체 물질의 중심 원자와 반응할 수 있는 반응물을 공급하기 위한 장치일 수 있다. 예를 들어, 상기 제1 공정 물질 공급 장치(10)로부터 상기 공정 챔버(10) 내로 공급되는 상기 제1 전구체 물질의 중심 원자는 금속일 수 있고, 상기 제2 공정 물질 공급 장치(80)에서 상기 공정 챔버(10) 내로 공급되는 반응물은 산화제 또는 질화제 등과 같은 물질일 수 있다. 상기 산화제는 오존(O3), 산소(O2), 수증기(H2O), 오존 플라즈마 또는 산소 플라즈마를 포함할 수 있다. 상기 질화제는 암모니아(NH3), 이산화질소(NO2) 또는 산화질소(N2O)를 포함할 수 있다. In example embodiments, the second process material supply device 80 may be supplied from the first process material supply device 20 into the process chamber 10 and adsorbed onto the surface of the semiconductor substrate 100. It may be a device for supplying a reactant that can react with the central atom of the material. For example, the central atom of the first precursor material supplied from the first process material supply device 10 into the process chamber 10 may be a metal, and in the second process material supply device 80, The reactant supplied into the process chamber 10 may be a material such as an oxidizing agent or a nitriding agent. The oxidant may include ozone (O 3 ), oxygen (O 2 ), water vapor (H 2 O), ozone plasma or oxygen plasma. The nitriding agent may comprise ammonia (NH 3 ), nitrogen dioxide (NO 2 ) or nitrogen oxide (N 2 O).

따라서, 상기 제1 전구체 물질의 금속 원자와 상기 산화제가 반응하여 상기 공정 챔버(10) 내의 상기 반도체 기판(100) 상에 금속 산화물이 형성되거나, 또는 상기 제1 전구체의 금속 원자와 상기 질화제가 반응하여 상기 공정 챔버(10) 내의 상기 반도체 기판(100) 상에 금속 질화물이 형성될 수 있다.Accordingly, the metal atom of the first precursor material and the oxidant react to form a metal oxide on the semiconductor substrate 100 in the process chamber 10, or the metal atom of the first precursor and the nitriding agent react. Thus, metal nitride may be formed on the semiconductor substrate 100 in the process chamber 10.

도 9는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법에서 도 1에서 설명한 상기 증착 막을 형성하는 단계(S10)를 설명하기 위한 공정 흐름도이다. 도 1 및 도 8과 함께 도 9를 참조하여 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법에 대하여 설명하기로 한다. FIG. 9 is a flowchart illustrating a step S10 of forming the deposition film described with reference to FIG. 1 in a method of manufacturing a semiconductor device according to an embodiment of the inventive concept. A method of manufacturing a semiconductor device according to an embodiment of the inventive concept will be described with reference to FIG. 9 along with FIGS. 1 and 8.

도 1, 도 8 및 도 9를 참조하면, 상기 반도체 설비(1)의 상기 공정 챔버(10) 내에 막-제어 물질 및 전구체 물질을 포함하는 제1 공정 물질을 공급하여 상기 반도체 기판(100) 상에 예비 단위 층을 형성할 수 있다. (S110) 상기 제1 공정 물질은 상기 반도체 설비(1)의 상기 제1 공정 물질 공급 장치(20)로부터 배관(22)을 통하여 상기 공정 챔버(10) 내부로 공급될 수 있다. 상기 제1 공정 물질 공급 장치(20)는 도 2 내지 도 6에서 설명한 상기 공정 물질 공급 시스템들(20a, 20b, 20c, 20d, 20e) 중 어느 하나일 수 있다.1, 8, and 9, a first process material including a film-controlling material and a precursor material is supplied into the process chamber 10 of the semiconductor facility 1 to be disposed on the semiconductor substrate 100. Can form a preliminary unit layer. The first process material may be supplied into the process chamber 10 through the pipe 22 from the first process material supply device 20 of the semiconductor device 1. The first process material supply device 20 may be any one of the process material supply systems 20a, 20b, 20c, 20d, and 20e described with reference to FIGS. 2 to 6.

상기 막-제어 물질 및 상기 전구체는 도 7a 내지 도 7i에서 설명한 상기 막-제어 물질(14) 및 상기 전구체 물질(16)을 상기 공정 챔버(10) 내에 공급하는 방법들 중 어느 하나의 방법으로 상기 공정 챔버(10) 내에 공급될 수 있다. 상기 예비 단위 층이 형성된 반도체 기판이 위치하는 상기 공정 챔버(10)를 퍼지할 수 있다. (S115) 상기 퍼지된 상기 공정 챔버(10) 내에 제2 공정 물질을 공급하여 상기 예비 단위 층을 단위 층으로 형성할 수 있다. (S120) 상기 제2 공정 물질은 상기 반도체 설비(1)의 상기 제2 공정 물질 공급 장치(80)로부터 배관(82)을 통하여 상기 공정 챔버(10) 내부로 공급될 수 있다. 상기 단위 층이 형성된 반도체 기판이 위치하는 상기 공정 챔버(10)를 퍼지할 수 있다. 원하는 증착 막 두께에 도달하지 않았을 때, 상기 단위 층을 형성하는 것을 1주기로 하는 공정을 반복적으로 진행할 수 있다. 원하는 증착 막 두께에 도달하였을 때, 증착 막이 형성된 반도체 기판을 상기 공정 챔버(10)로부터 언로딩할 수 있다. The film-controlled material and the precursor may be formed by any one of the methods of supplying the film-controlled material 14 and the precursor material 16 into the process chamber 10 described with reference to FIGS. 7A-7I. It may be supplied in the process chamber 10. The process chamber 10 in which the semiconductor substrate having the preliminary unit layer is formed may be purged. The preliminary unit layer may be formed as a unit layer by supplying a second process material into the purged process chamber 10. The second process material may be supplied into the process chamber 10 through the pipe 82 from the second process material supply device 80 of the semiconductor facility 1. The process chamber 10 in which the semiconductor substrate having the unit layer is formed may be purged. When the desired deposition film thickness is not reached, the process of forming the unit layer in one cycle may be repeatedly performed. When the desired deposition film thickness is reached, the semiconductor substrate on which the deposition film is formed may be unloaded from the process chamber 10.

도 1 및 도 8과 함께, 도 10 내지 도 21을 참조하여 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법의 일 예들에 대하여 설명하기로 한다. 1 and 8, an example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept will be described with reference to FIGS. 10 to 21.

도 10은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 일 예를 나타낸 가스 펄싱 다이어그램이고, 도 11은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 일 예를 나타낸 공정 흐름도이고, 도 12 내지 도 21은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 예들을 나타낸 도면들이다. 도 12 내지 도 21에서, 도 12, 도 13, 도 17, 도 19 및 도 21은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 예들을 나타낸 단면도들이다. 도 14a 및 도 14b는 표면-제어 층을 형성하기 위한 방법의 일 예를 설명하기 위하여 도 13의 "A"로 표시된 부분을 확대한 도면들이고, 도 15는 표면-제어 층을 형성하기 위한 방법의 다른 예를 설명하기 위하여 도 13의 "A"로 표시된 부분을 확대한 도면이고, 도 16은 표면-제어 층을 형성하기 위한 방법의 또 다른 예를 설명하기 위한 도면이다. 도 18a 및 도 18b는 도 17의 "A"로 표시된 부분을 확대한 도면들이고, 도 20은 도 19의 "A"로 표시된 부분을 확대한 도면이다.FIG. 10 is a gas pulsing diagram illustrating an example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept, and FIG. 11 is a method of manufacturing a semiconductor device according to an embodiment of the inventive concept. 12 to 21 are diagrams showing examples of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept. 12 to 21, FIGS. 12, 13, 17, 19, and 21 are cross-sectional views illustrating examples of a method of manufacturing a semiconductor device in accordance with some example embodiments of the inventive concepts. 14A and 14B are enlarged views of a portion indicated by “A” in FIG. 13 to illustrate an example of a method for forming a surface-control layer, and FIG. 15 is a diagram of a method for forming a surface-control layer. FIG. 13 is an enlarged view of portion “A” of FIG. 13 for explaining another example, and FIG. 16 is a diagram for describing another example of a method for forming a surface-control layer. 18A and 18B are enlarged views of a portion indicated by "A" in FIG. 17, and FIG. 20 is an enlarged view of a portion denoted by "A" in FIG. 19.

우선, 도 1 및 도 12를 참조하면, 반도체 기판(100)을 준비할 수 있다. 상기 반도체 기판(100)은 실리콘 기판 또는 실리콘 웨이퍼일 수 있다. 상기 반도체 기판(100) 상에 하지 막(105)을 형성할 수 있다. First, referring to FIGS. 1 and 12, the semiconductor substrate 100 may be prepared. The semiconductor substrate 100 may be a silicon substrate or a silicon wafer. An underlayer 105 may be formed on the semiconductor substrate 100.

상기 하지 막(105)을 갖는 반도체 기판(100) 상에 구조물(110)을 형성할 수 있다. (S1) 상기 구조물(110)은 수직한 부분을 갖는 측면(110s)을 가질 수 있다. 상기 구조물(110)의 상기 측면(110s)은 수직할 수 있다. 상기 구조물(110)의 상기 측면(110s)은 상부 측면 부분(110s1) 및 상기 상부 측면 부분(110s1) 아래에 위치하는 하부 측면 부분(110s2)을 포함할 수 있다. 상기 구조물(110)을 관통하는 개구부(110a)가 형성될 수 있다. 상기 개구부(110a)는 상기 구조물(110)의 측면(110s)에 의해 한정될 수 있다. 상기 개구부(110a)는 폭(W) 보다 깊이(D)가 클 수 있다.The structure 110 may be formed on the semiconductor substrate 100 having the underlayer 105. (S1) The structure 110 may have a side surface 110s having a vertical portion. The side surface 110s of the structure 110 may be vertical. The side surface 110s of the structure 110 may include an upper side portion 110s1 and a lower side portion 110s2 disposed below the upper side portion 110s1. An opening 110a penetrating the structure 110 may be formed. The opening 110a may be defined by the side surfaces 110s of the structure 110. The opening 110a may have a depth D greater than the width W.

도 1, 도 8 및 도 12를 참조하면, 상기 구조물(110)을 갖는 반도체 기판(100)을 상기 반도체 설비(1)의 상기 공정 챔버(10) 내로 로딩할 수 있다. (S5)1, 8, and 12, the semiconductor substrate 100 having the structure 110 may be loaded into the process chamber 10 of the semiconductor facility 1. (S5)

도 1, 도 8, 도 10, 도 11 및 도 13을 참조하면, 상기 구조물(110)을 갖는 반도체 기판이 위치하는 상기 공정 챔버(10) 내에 막-제어 물질(115)을 공급하여 상기 구조물(110)을 갖는 반도체 기판 상에 표면-제어 층(120)을 형성할 수 있다. (S205) 1, 8, 10, 11, and 13, the film-control material 115 is supplied into the process chamber 10 in which the semiconductor substrate having the structure 110 is located. Surface-control layer 120 may be formed on a semiconductor substrate having 110. (S205)

상기 막-제어 물질(115)은 상기 제1 공정 물질 공급 장치(20)로부터 상기 공정 챔버(10) 내로 공급될 수 있다. 상기 제1 공정 물질 공급 장치(20)는 도 2, 도 3 및 도 4에서 설명한 상기 공정 물질 공급 시스템들(20a, 20b, 20c) 중 어느 하나의 공정 물질 공급 시스템일 수 있다. 따라서, 상기 막-제어 물질(115)은 도 2, 도 3 및 도 4에서 설명한 상기 공정 물질 공급 시스템들(20a, 20b, 20c) 중 어느 하나로부터 공급되는 상기 막-제어 물질(14)과 동일한 물질일 수 있다. 이러한 막-제어 물질(14, 115)의 종류에 대하여 도 2의 설명 부분에서 설명하고 있으므로, 중복된 설명을 피하기 위하여 여기서 자세한 설명은 생략하기로 한다.The film-controlled material 115 may be supplied into the process chamber 10 from the first process material supply device 20. The first process material supply device 20 may be a process material supply system of any one of the process material supply systems 20a, 20b, and 20c described with reference to FIGS. 2, 3, and 4. Thus, the film-controlled material 115 is the same as the film-controlled material 14 supplied from any of the process material supply systems 20a, 20b, 20c described in FIGS. 2, 3, and 4. It may be a substance. Since the kind of the film-controlling materials 14 and 115 is described in the description of FIG. 2, detailed descriptions thereof will be omitted herein in order to avoid repeated descriptions.

상기 막-제어 물질(115)은 아르곤 등과 같은 불활성 기체와 함께 상기 공정 챔범(10) 내로 공급될 수 있다. 이러한 불활성 기체는 상기 막-제어 물질(115)을 막-제어 물질 공급 장치로부터 상기 공정 챔버(10) 내로 이동시키기 위한 역할을 할 수 있다. The film-control material 115 may be supplied into the process chamber 10 with an inert gas such as argon. This inert gas may serve to move the membrane-controlled material 115 from the membrane-controlled material supply device into the process chamber 10.

상기 표면-제어 층(120)을 형성하는 방법의 일 예에 대하여 도 8과 함께 도 14a 및 도 14b를 참조하여 설명하기로 한다. 도 14a 및 도 14b는 도 13의 "A"로 표시된 부분을 확대한 도면들이다.An example of a method of forming the surface-control layer 120 will be described with reference to FIGS. 14A and 14B along with FIG. 8. 14A and 14B are enlarged views of a portion indicated by “A” in FIG. 13.

도 8, 도 13 및 도 14a를 참조하면, 상기 구조물(110)을 갖는 반도체 기판이 위치하는 상기 공정 챔버(10) 내에 상기 막-제어 물질(115)을 공급할 수 있다. 상기 막-제어 물질(115)은 도 2에서 설명한 상기 막-제어 물질(14)과 동일한 물질일 수 있다. 예를 들어, 상기 막-제어 물질(115)은 도 2에서 설명한 상기 막-제어 물질(14)의 예들 중 어느 하나, 예를 들어 "La-H"로 나타낼 수 있는 리간드-수소 화합물일 수 있다. 여기서, "La"는 증착 막을 형성하기 위한 전구체의 중심원자와 결합될 수 있는 리간드일 수 있고, "H"는 수소일 수 있다.8, 13, and 14A, the film-control material 115 may be supplied into the process chamber 10 in which the semiconductor substrate having the structure 110 is located. The film-control material 115 may be the same material as the film-control material 14 described with reference to FIG. 2. For example, the membrane-controlling material 115 may be a ligand-hydrogen compound, which may be represented by any one of the examples of the membrane-controlling material 14 described in FIG. 2, eg, “L a -H”. have. Here, “L a ” may be a ligand that may be bonded to a central atom of a precursor for forming a deposited film, and “H” may be hydrogen.

도 8, 도 13 및 도 14b를 참조하면, 상기 공정 챔버(10) 내로 공급된 상기 막-제어 물질(115)은 상기 구조물(110)을 갖는 반도체 기판 상에 상기 리간드-수소 화합물의 La-H 분자 상태로 흡착되어 제1 표면-제어 층(120a)을 형성할 수 있다. 상기 제1 표면-제어 층(120a)은 상기 막-제어 물질(115)이 상기 구조물(110)을 갖는 반도체 기판의 표면 상에 La-H 분자 상태로 흡착되어 형성될 수 있다. 상기 제1 표면-제어 층(120a)을 갖는 반도체 기판이 위치하는 상기 공정 챔버(10)의 빈 공간 내에 상기 표면-제어 층(120a)을 형성하지 않은 막-제어 물질(115a)이 잔존할 수 있다.
8, 13, and 14B, the film-control material 115 supplied into the process chamber 10 is formed by the L a -of the ligand-hydrogen compound on the semiconductor substrate having the structure 110. Adsorbed in the H molecular state to form the first surface-control layer 120a. The first surface-control layer 120a may be formed by adsorbing the film-control material 115 on the surface of the semiconductor substrate having the structure 110 in the state of a La- H molecule. The film-control material 115a which does not form the surface-control layer 120a may remain in the empty space of the process chamber 10 in which the semiconductor substrate having the first surface-control layer 120a is located. have.

상기 표면-제어 층(120)을 형성하는 방법의 다른 예에 대하여 도 15를 참조하기로 한다.Another example of a method of forming the surface-control layer 120 will be referred to FIG. 15.

도 15를 참조하면, 상기 막-제어 물질(115)은 "L1-H"로 나타낼 수 있는 리간드(L1)-수소(H) 화합물로 상기 공정 챔버(10) 내에 공급될 수 있다. 상기 구조물(110)을 갖는 반도체 기판의 표면에 상기 막-제어 물질(115)의 상기 리간드(L1)를 결합시키어 제2 표면-제어 층(120b)을 형성할 수 있다. 상기 막-제어 물질(115)의 수소(H)는 상기 막-제어 물질(115)의 리간드(L1)가 상기 구조물(110)의 표면에 결합되면서 상기 리간드(L1)로부터 떨어져 나갈 수 있다. 따라서, 제2 상기 표면-제어 층(120b)은 상기 막-제어 물질(115)의 리간드(L1)로 형성될 수 있다.
Referring to FIG. 15, the film-controlling material 115 may be supplied into the process chamber 10 with a ligand (L 1 ) -hydrogen (H) compound, which may be represented as “L 1 -H”. The ligand (L 1 ) of the film-control material 115 may be bonded to a surface of the semiconductor substrate having the structure 110 to form a second surface-control layer 120b. The membrane-hydrogen (H) of the control material (115) is the film - as bonded to the surface of the ligand (L 1) of the control material 115, the structure 110 can be chipped away from the ligand (L 1) . Accordingly, the second surface-control layer 120b may be formed of the ligand L 1 of the film-control material 115.

상기 표면-제어 층(120)을 형성하는 방법의 또 다른 예에 대하여 도 16을 참조하기로 한다. 도 16은 상기 구조물(110)의 일부 표면과 상기 표면-제어 층(120)을 나타낸 도면이다.Another example of a method of forming the surface-control layer 120 will be referred to FIG. 16. 16 illustrates a portion of the surface of the structure 110 and the surface-control layer 120.

도 16을 참조하면, 상기 막-제어 물질(115)은 "L2-H"로 나타낼 수 있는 리간드(L2)-수소(H) 화합물로 상기 공정 챔버(10) 내에 공급될 수 있다. 상기 구조물(110)을 갖는 반도체 기판의 표면에 상기 막-제어 물질(115)을 화학적으로 흡착시키어 제3 표면-제어 층(120)을 형성할 수 있다. 예를 들어, 상기 구조물(110)의 표면은 제1 원자(Ea)와 제2 원자(Eb)가 결합되어 형성될 수 있다. 그리고, 상기 막-제어 물질(115)의 리간드(L2)가 상기 구조물(110)의 상기 제1 원자(Ea)와 화학적으로 결합하고, 상기 막-제어 물질(115)의 수소(H)가 상기 구조물(110)의 상기 제2 원자(Eb)와 결합하여 상기 제3 표면-제어 층(120)을 형성할 수 있다. 예를 들어, 상기 막-제어 물질(115)의 리간드(L2)-수소(H) 화합물의 상기 리간드(L2)가 상기 구조물(110)의 상기 제1 원자(Ea)와 물리적으로 결합하면서 리간드(L2)-수소(H) 화합물의 리간드(L2)와 수소(H)의 결합이 끊어질 수 있다. 상기 리간드(L2)-수소(H) 화합물에서, 리간드(L2)와 분자 결합이 끊어진 상기 수소(H)는 상기 제2 원자(Eb)에 결합될 수 있다.Referring to FIG. 16, the film-controlling material 115 may be supplied into the process chamber 10 with a ligand (L 2 ) -hydrogen (H) compound, which may be represented as “L 2 -H”. The film-control material 115 may be chemically adsorbed on the surface of the semiconductor substrate having the structure 110 to form the third surface-control layer 120. For example, the surface of the structure 110 may be formed by combining a first atom Ea and a second atom Eb. In addition, the ligand (L 2 ) of the membrane control material 115 chemically bonds with the first atom (Ea) of the structure 110, and hydrogen (H) of the membrane control material 115 is The third surface-control layer 120 may be combined with the second atom Eb of the structure 110. For example, the membrane and physically coupled to the first atom (Ea) in which the ligand (L 2) of hydrogen (H) compounds wherein the structure (110) - ligand (L 2) of the control substance 115 ligand (L 2) - a combination of hydrogen (H) ligand (L 2) and hydrogen (H) of the compound can be cut off. In the ligand (L 2 ) -hydrogen (H) compound, the hydrogen (H), which is in a molecular bond with the ligand (L 2 ), may be bonded to the second atom (Eb).

상기 구조물(110)의 표면이 금속-산화물인 경우에, 상기 제1 원자(Ea)는 금속 원자일 수 있고, 상기 제2 원자(Eb)는 산소 원자일 수 있다. 상기 막-제어 물질(115)의 리간드(L2)-수소(H) 화합물에서, 상기 리간드(L2)는 상기 수소(H)와 결합이 끊어지면서 상기 제1 원자(Ea), 즉 금속 원자와 화학적으로 결합하고, 상기 리간드(L2)와 결합이 끊어진 상기 수소(H)는 상기 제2 원자(Eb), 즉 산소 원자와 결합할 수 있다.When the surface of the structure 110 is a metal oxide, the first atom Ea may be a metal atom, and the second atom Eb may be an oxygen atom. In the ligand (L 2 ) -hydrogen (H) compound of the membrane-controlling material 115, the ligand (L 2 ) is disconnected from the hydrogen (H) and thus the first atom (Ea), that is, a metal atom Chemically bonded to, and the hydrogen (H) is disconnected from the ligand (L 2 ) may be bonded to the second atom (Eb), that is, the oxygen atom.

상기 구조물(100)의 표면이 금속-질화물인 경우에, 상기 제1 원자(Ea)는 타이타늄 등과 같은 금속 원자일 수 있고, 상기 제2 원자(Eb)는 질소일 수 있다. 예를 들어, 상기 막-제어 물질(115)의 리간드(L2)-수소(H) 화합물에서, 상기 리간드(L2)는 상기 수소(H)와 결합이 끊어지면서 상기 제1 원자(Ea), 즉 금속 원자와 화학적으로 결합하고, 상기 리간드(L2)와 결합이 끊어진 상기 수소(H)는 상기 제2 원자(Eb), 즉 질소 원자와 결합할 수 있다.
When the surface of the structure 100 is a metal-nitride, the first atom Ea may be a metal atom such as titanium, and the second atom Eb may be nitrogen. For example, in the ligand (L 2 ) -hydrogen (H) compound of the membrane-controlling material 115, the ligand (L 2 ) is disconnected from the hydrogen (H) and the first atom (Ea) That is, the hydrogen (H) that is chemically bonded to a metal atom, and the bond (L 2 ) is broken, may be bonded to the second atom (Eb), that is, a nitrogen atom.

상기 제1 내지 제3 표면-제어 층들(120a, 120b, 120c)에서, "제1, 제2 및 제3"의 용어는 본 발명의 기술적 사상의 실시 예에 따른 반도체 소자의 제조 방법의 다양한 예들에 따라 형성될 수 있는 상기 표면-제어 층(120)을 설명하기 위한 것으로써, 본 발명을 한정하려는 것이 아니다. 예를 들어, 상기 표면-제어 층(120)은 도 14b, 도 15 및 도 16에서의 상기 제1 내지 제3 표면-제어 층들(120a, 120b, 120c) 중 어느 하나로 형성될 수 있다.
In the first to third surface-control layers 120a, 120b, and 120c, the terms “first, second, and third” are various examples of a method of manufacturing a semiconductor device, according to an embodiment of the inventive concept. It is intended to describe the surface-control layer 120, which may be formed according to, and is not intended to limit the invention. For example, the surface-control layer 120 may be formed of any one of the first to third surface-control layers 120a, 120b, and 120c in FIGS. 14B, 15, and 16.

도 1, 도 8, 도 10, 도 11 및 도 17를 참조하면, 상기 표면-제어 층(120)을 갖는 반도체 기판(100)이 위치하는 상기 공정 챔버(10) 내에 제1 전구체 물질(125)을 공급하여 상기 표면-제어 층(120)을 갖는 반도체 기판(100) 상에 전구체 흡착 층(130)을 형성할 수 있다. (S207) 1, 8, 10, 11, and 17, a first precursor material 125 in the process chamber 10 in which the semiconductor substrate 100 having the surface-control layer 120 is located. The precursor adsorption layer 130 may be formed on the semiconductor substrate 100 having the surface-control layer 120. (S207)

상기 제1 전구체 물질(125)은 아르곤 등과 같은 불활성 기체와 함께 상기 공정 챔범(10) 내로 공급될 수 있다. 이러한 불활성 기체는 상기 제1 전구체 물질(125)을 전구체 공급 장치로부터 상기 공정 챔버(10) 내로 이동시키기 위한 역할을 할 수 있다. The first precursor material 125 may be supplied into the process chamber 10 with an inert gas such as argon. This inert gas may serve to move the first precursor material 125 from the precursor supply device into the process chamber 10.

상기 제1 전구체 물질(125)은 상기 제1 공정 물질 공급 장치(20)로부터 상기 공정 챔버(10) 내로 공급될 수 있다. 상기 제1 공정 물질 공급 장치(20)는 도 2, 도 3 및 도 4에서 설명한 상기 공정 물질 공급 시스템들(20a, 20b, 20c) 중 어느 하나의 공정 물질 공급 시스템일 수 있다. 따라서, 상기 제1 전구체 물질(125)은 도 2, 도 3 및 도 4에서 설명한 상기 공정 물질 공급 시스템들(20a, 20b, 20c) 중 어느 하나로부터 공급되는 상기 전구체 물질(16)과 동일한 물질일 수 있다. 이러한 전구체(16, 125)의 종류에 대하여 도 2의 설명 부분에서 설명하고 있으므로, 중복된 설명을 피하기 위하여 여기서 자세한 설명은 생략하기로 한다.The first precursor material 125 may be supplied into the process chamber 10 from the first process material supply device 20. The first process material supply device 20 may be a process material supply system of any one of the process material supply systems 20a, 20b, and 20c described with reference to FIGS. 2, 3, and 4. Thus, the first precursor material 125 may be the same material as the precursor material 16 supplied from any of the process material supply systems 20a, 20b, 20c described in FIGS. 2, 3, and 4. Can be. Since the types of the precursors 16 and 125 are described in the description of FIG. 2, detailed descriptions thereof will be omitted herein to avoid repeated descriptions.

상기 전구체 흡착 층(130)은 상기 제1 전구체 물질(125)이 상기 표면-제어 층(120)에 물리적으로 흡착됨으로써 형성될 수 있다. 상기 전구체 흡착 층(130)은 상기 표면-제어 층(120) 상에 물리적으로 흡착(또는 결합)될 수 있다. The precursor adsorption layer 130 may be formed by physically adsorbing the first precursor material 125 to the surface-control layer 120. The precursor adsorption layer 130 may be physically adsorbed (or coupled) on the surface-control layer 120.

상기 표면-제어 층(120) 및 상기 전구체 흡착 층(130)은 예비 단위 층(135)을 구성할 수 있다. 따라서, 상기 공정 챔버(10) 내에 상기 막-제어 물질(115) 및 상기 제1 전구체 물질(125)을 포함하는 제1 공정 물질을 공급함으로써 상기 예비 단위 층(135)을 형성할 수 있다. (S210) 도 17에서 상기 예비 단위 층(135)이 있는 "B"로 표시된 부분을 확대하여 나타낸 "B'" 부분과 같이, 상기 예비 단위 층(135)은 상기 표면-제어 층(120) 및 상기 표면-제어 층(120) 상에 물리적으로 결합된 상기 전구체 흡착 층(130)을 포함할 수 있다. The surface-control layer 120 and the precursor adsorption layer 130 may constitute a preliminary unit layer 135. Therefore, the preliminary unit layer 135 may be formed by supplying a first process material including the film-control material 115 and the first precursor material 125 into the process chamber 10. (S210) The preliminary unit layer 135 includes the surface-control layer 120 and the portion "B '", which is an enlarged view of the portion labeled "B" with the preliminary unit layer 135 in FIG. 17. The precursor adsorption layer 130 may be physically coupled onto the surface-control layer 120.

상기 전구체 흡착 층(130)을 형성하는 방법의 일 예에 대하여 도 8과 함께, 도 18a 및 도 18b를 참조하여 설명하기로 한다. 도 18a 및 도 18b는 도 17의 "A"로 표시된 부분을 확대한 도면들이다.An example of a method of forming the precursor adsorption layer 130 will be described with reference to FIGS. 18A and 18B along with FIG. 8. 18A and 18B are enlarged views of a portion denoted by "A" in FIG. 17.

도 8, 도 17 및 도 18a를 참조하면, 상기 표면-제어 층(120)을 갖는 반도체 기판(100)이 위치하는 상기 공정 챔버(10) 내에 제1 전구체 물질(125)을 공급할 수 있다. 상기 제1 전구체 물질(125)은 상기 공정 챔버(10) 내로 상기 막-제어 물질(115)의 공급을 중단한 후에 공급할 수 있다.8, 17, and 18A, a first precursor material 125 may be supplied into the process chamber 10 in which the semiconductor substrate 100 having the surface-control layer 120 is located. The first precursor material 125 may be supplied after stopping the supply of the film-control material 115 into the process chamber 10.

상기 제1 전구체 물질(125)은 도 2에서 설명한 상기 전구체 물질(16)과 동일한 물질일 수 있다. 예를 들어, 상기 제1 전구체 물질(125)은 도 2에서 설명한 상기 전구체 물질(16)의 예들 중 어느 하나, 예를 들어 "MLb n"으로 나타낼 수 있는 물질 일 수 있다. 여기서, "M"은 상기 제1 전구체 물질(125)의 중심 원자이고, "Lb"는 상기 중심 원자 "M"에 결합된 리간드일 수 있다. The first precursor material 125 may be the same material as the precursor material 16 described with reference to FIG. 2. For example, the first precursor material 125 may be any one of the examples of the precursor material 16 described with reference to FIG. 2, for example, “ML b n ”. Here, "M" may be a central atom of the first precursor material 125 and "L b " may be a ligand bonded to the central atom "M".

상기 막-제어 물질(115) 및 상기 제1 전구체 물질(125)은 도 2에서의 설명한 상기 막-제어 물질(14) 및 상기 전구체 물질(16)과 동일한 물질로 형성될 수 있으므로, 여기서 자세한 설명은 생략하기로 한다.The film-control material 115 and the first precursor material 125 may be formed of the same material as the film-control material 14 and the precursor material 16 described in FIG. Will be omitted.

도 8, 도 17 및 도 18b를 참조하면, 상기 공정 챔버(10) 내에 공급된 상기 제1 전구체 물질(125)은 상기 표면-제어 층(120)의 표면에 흡착되어 전구체 흡착 층(130)을 형성할 수 있다. 상기 표면-제어 층(120)은 상기 구조물(110)의 표면에 형성되어, 상기 제1 전구체 물질(125)이 상기 구조물(110)의 표면에 화학적으로 흡착되는 것을 방지할 수 있다. 상기 제1 전구체 물질(125)은 상기 표면-제어 층(120)에 물리적으로 흡착될 수 있다.8, 17, and 18B, the first precursor material 125 supplied into the process chamber 10 is adsorbed onto the surface of the surface-control layer 120 to form the precursor adsorption layer 130. Can be formed. The surface-control layer 120 may be formed on the surface of the structure 110 to prevent the first precursor material 125 from being chemically adsorbed on the surface of the structure 110. The first precursor material 125 may be physically adsorbed to the surface-control layer 120.

몇몇 실시예들에서, 상기 표면-제어 층(120)은 도 14b, 도 15 및 도 16에서 설명한 상기 제1 내지 제3 표면-제어 층들(120a, 120b, 120c) 중 어느 하나일 수 있다.In some embodiments, the surface-control layer 120 may be any one of the first to third surface-control layers 120a, 120b, 120c described with reference to FIGS. 14B, 15, and 16.

한편, 상기 공정 챔버(10) 내에 공급된 상기 제1 전구체 물질(125) 중 일부(125a)는 상기 표면-제어 층(120)에 흡착되지 않고 상기 공정 챔버 내의 빈 공간에 잔존할 수 있다. 또한, 상기 공정 챔버(10)의 빈 공간 내에 상기 막-제어 물질(115)의 일부(115a)도 상기 제1 전구체(125a)와 함께 잔존할 수 있다. 따라서, 상기 공정 챔버(10) 내에 상기 막-제어 물질(115) 및 상기 제1 전구체 물질(125)을 포함하는 제1 공정 물질을 공급하여 상기 표면-제어 층(120) 및 상기 전구체 흡착 층(130)을 포함하는 예비 단위 층(135)을 형성할 수 있다. (S210)Meanwhile, a portion 125a of the first precursor material 125 supplied in the process chamber 10 may remain in the empty space in the process chamber without being adsorbed to the surface-control layer 120. In addition, a portion 115a of the film-control material 115 may also remain together with the first precursor 125a in the empty space of the process chamber 10. Thus, a first process material including the film-control material 115 and the first precursor material 125 is supplied into the process chamber 10 to provide the surface-control layer 120 and the precursor adsorption layer ( A preliminary unit layer 135 including 130 may be formed. (S210)

상기 공정 챔버(10) 내에 상기 제1 전구체 물질(125)이 공급되는 동안에, 상기 공정 챔버(10) 내에 잔존하는 상기 막-제어 물질(115a)은 과흡착된 제1 전구체 및/또는 분자간 결합된 제1 전구체와 결합하여 화합물(127)을 형성할 수 있다. 예를 들어, 상기 공정 챔버(10) 내에 공급되는 상기 제1 전구체 물질(125)의 분자들이 약한 배위 결합을 하면서 클러스터(cluster)를 형성하는 경우에, 상기 공정 챔버(10) 내에 잔존하는 상기 막-제어 물질(115a)은 약한 배위 결합한 제1 전구체 물질(125)의 분자들 사이의 결합을 끊고 상기 제1 전구체 물질(125)의 분자와 강한 배위 결합을 할 수 있다. 여기서, "약한 배위 결합" 및 "강한 배위 결합"에서, "약한" 및 "강한"은 상대적인 배위 결합 관계를 설명하기 위한 용어이다. 예를 들어, 상기 제1 전구체 물질(125)과 상기 막-제어 물질(115a) 사이의 배위 결합은 상기 제1 전구체 물질(125)의 분자들 사이의 배위 결합보다 강하다는 것을 의미할 수 있다.While the first precursor material 125 is supplied into the process chamber 10, the film-controlled material 115a remaining in the process chamber 10 may be bonded to the first adsorbed first precursor and / or intermolecularly. The compound 127 may be formed by combining with the first precursor. For example, when the molecules of the first precursor material 125 supplied in the process chamber 10 form clusters with weak coordination bonds, the film remaining in the process chamber 10. The control material 115a breaks the bond between the molecules of the weakly coordinated first precursor material 125 and makes strong coordination with the molecules of the first precursor material 125. Here, in "weak coordination bond" and "strong coordination bond", "weak" and "strong" are terms used to describe the relative coordination bond relationship. For example, the coordination bond between the first precursor material 125 and the film-control material 115a may mean that the coordination bond between the molecules of the first precursor material 125 is stronger than that of the coordination bond.

상기 화합물(127)은 증착 막을 형성할 수 있는 제2 전구체 물질로 정의될 수 있다. 상기 화합물, 즉 상기 제2 전구체 물질(127)은 상기 개구부(110a)의 하부 영역 내로 이동하여 상기 개구부(110a)의 하부 영역 내에 위치하는 기판의 표면에 흡착될 수 있다. 따라서, 상기 개구부(110a)의 하부 영역 내에서는 상기 제1 전구체 물질(125), 상기 제2 전구체 물질(127) 및 일부 잔존하는 상기 막-제어 물질(115a)이 공존하는 공정 분위기에서 상기 예비 단위 층(135)이 형성될 수 있다.The compound 127 may be defined as a second precursor material capable of forming a deposited film. The compound, that is, the second precursor material 127 may be moved into the lower region of the opening 110a and adsorbed onto the surface of the substrate positioned in the lower region of the opening 110a. Accordingly, the preliminary unit in a process atmosphere in which the first precursor material 125, the second precursor material 127, and the remaining film-control material 115a coexist in the lower region of the opening 110a. Layer 135 may be formed.

도 1, 도 8, 도 10, 도 11 및 도 19를 참조하면, 상기 예비 단위 층(135)을 갖는 반도체 기판(100)이 위치하는 상기 공정 챔버(10)를 퍼지할 수 있다. (S215) 이어서, 상기 공정 챔버(10) 내에 제2 공정 물질(140)을 공급하여 상기 예비 단위 층(135)을 갖는 반도체 기판(100) 상에 단위 층(145)을 형성할 수 있다. (S220) 1, 8, 10, 11, and 19, the process chamber 10 in which the semiconductor substrate 100 having the preliminary unit layer 135 is located may be purged. Subsequently, the second process material 140 may be supplied into the process chamber 10 to form a unit layer 145 on the semiconductor substrate 100 having the preliminary unit layer 135. (S220)

상기 예비 단위 층(135)을 상기 단위 층(145)으로 형성하면서 상기 예비 단위 층(135)을 구성하는 상기 전구체 흡착 층(130)의 상기 리간드 및 상기 표면-제어 층(120)의 상기 막-제어 물질은 상기 예비 단위 층(135)으로부터 분리되어 반응 부산물(147)로 형성될 수 있다. Forming the preliminary unit layer 135 into the unit layer 145 and forming the preliminary unit layer 135 and the ligand of the precursor adsorption layer 130 and the membrane of the surface-control layer 120. The control material may be separated from the preliminary unit layer 135 and formed as a reaction byproduct 147.

상기 제2 공정 물질(140)은 산화제, 질화제 또는 환원제를 포함할 수 있다. 상기 산화제는 산소 전구체일 수 있고, 상기 질화제는 질소 전구체일 수 있다. 상기 제2 공정 물질(140)은 오존(O3), 산소(O2), 수증기(H2O), 오존 플라즈마 또는 산소 플라즈마를 포함하는 산화제일 수 있다. 이와는 달리, 상기 제2 공정 물질(140)은 암모니아(NH3), 이산화질소(NO2) 또는 산화질소(N2O)을 포함하는 질화제일 수 있다. 이와는 달리, 상기 제2 공정 물질(140)은 반도체 기판의 표면에 흡착된 텅스텐 전구체 또는 루테늄 전구체 등과 같은 금속 전구체에서 텅스텐 또는 루테늄 등과 같은 금속 원자만 반도체 기판의 표면에 잔존하여 텅스텐 층 또는 루테늄 층을 형성할 수 있도록 금속 원자와 결합된 리간드를 제거하는 역할을 하는 환원제 일 수 있다. The second process material 140 may include an oxidizing agent, a nitriding agent, or a reducing agent. The oxidant may be an oxygen precursor, and the nitriding agent may be a nitrogen precursor. The second process material 140 may be an oxidant including ozone (O 3 ), oxygen (O 2 ), water vapor (H 2 O), ozone plasma, or oxygen plasma. Alternatively, the second process material 140 may be a nitriding agent including ammonia (NH 3 ), nitrogen dioxide (NO 2 ) or nitrogen oxide (N 2 O). In contrast, the second process material 140 may be formed of a metal precursor, such as tungsten precursor or ruthenium precursor, adsorbed on the surface of the semiconductor substrate, so that only metal atoms such as tungsten or ruthenium remain on the surface of the semiconductor substrate to form a tungsten layer or ruthenium layer. It may be a reducing agent that serves to remove the ligand bound to the metal atom to form.

상기 제2 공정 물질(140)이 오존 등과 같은 산화제인 경우에, 상기 단위 층(145)은 상기 제1 전구체 물질(125)의 중심 원자와 산소가 결합한 산화물일 수 있다. 예를 들어, 상기 제1 전구체 물질(125)의 중심 원자가 지르코늄, 타이타늄 또는 루테늄 등과 같은 금속인 경우에, 상기 단위 층(145)은 지르코늄 산화물, 타이타늄 산화물 또는 루테늄 산화물 등과 같은 금속 산화물로 형성될 수 있다.When the second process material 140 is an oxidant such as ozone, the unit layer 145 may be an oxide in which oxygen and the central atom of the first precursor material 125 are bonded. For example, when the central atom of the first precursor material 125 is a metal such as zirconium, titanium or ruthenium, the unit layer 145 may be formed of a metal oxide such as zirconium oxide, titanium oxide or ruthenium oxide. have.

상기 제2 공정 물질(140)이 질화제인 경우에, 상기 단위 층(145)은 상기 제1 전구체 물질(125)의 중심 원자와 질소가 결합한 질화물일 수 있다. 예를 들어, 상기 제1 전구체 물질(125)의 중심 원자가 텅스텐 또는 타이타늄 등과 같은 금속인 경우에, 상기 단위 층(145)은 텅스텐 질화물 또는 타이타늄 질화물 등과 같은 금속 질화물로 형성될 수 있다.When the second process material 140 is a nitriding agent, the unit layer 145 may be a nitride in which the central atom of the first precursor material 125 and nitrogen are bonded. For example, when the central atom of the first precursor material 125 is a metal such as tungsten or titanium, the unit layer 145 may be formed of a metal nitride such as tungsten nitride or titanium nitride.

상기 제2 공정 물질(140)이 환원제인 경우에, 상기 단위 층(145)은 상기 제1 전구체 물질(125)의 중심 원자로 이루어진 금속 층일 수 있다. 예를 들어, 상기 제1 전구체 물질(125)의 중심 원자가 텅스텐, 루테늄 또는 타이타늄 등과 같은 금속인 경우에, 상기 단위 층(145)은 텅스텐 층, 루테늄 층 또는 타이타늄 층 등과 같은 금속 층으로 형성될 수 있다.When the second process material 140 is a reducing agent, the unit layer 145 may be a metal layer made of a central atom of the first precursor material 125. For example, when the central atom of the first precursor material 125 is a metal such as tungsten, ruthenium or titanium, the unit layer 145 may be formed of a metal layer such as tungsten layer, ruthenium layer or titanium layer. have.

상기 예비 단위 층(135)은 상기 제2 공정 물질(140)과 반응하여 상기 단위 층(145)으로 형성될 수 있다. 상기 단위 층(145)은 산화물, 질화물 또는 금속 물질 중 어느 하나로 형성될 수 있다. 또한, 상기 단위 층(145)은 단일 금속막, 이성분계 금속 산화물 또는 이성분계 금속 질화물일 수 있다. 또한, 상기 단위 층(145)은 적어도 3가지 원소들을 포함하는 다성분계 물질 층일 수 있다. 예를 들어, 상기 제2 공정 물질(140)이 오존 등과 같은 산화제인 경우에, 도 20에서와 같이, 상기 단위 층(145)은 MOx으로 나타낼 수 있는 산화물일 수 있다. 여기서, "M"은 상기 제1 전구체 물질(125)의 중심 원자일 수 있고, 상기 "O"는 산소 원자일 수 있고, "x"는 상기 중심 원자의 종류에 따라 결정될 수 있는 양의 수 일 수 있다. 예를 들어, TiO2 등과 같은 금속 산화물의 경우, "x"는 2일 수 있다. The preliminary unit layer 135 may be formed of the unit layer 145 by reacting with the second process material 140. The unit layer 145 may be formed of any one of an oxide, a nitride, or a metal material. In addition, the unit layer 145 may be a single metal layer, a binary metal oxide, or a binary metal nitride. In addition, the unit layer 145 may be a multi-component material layer including at least three elements. For example, when the second process material 140 is an oxidizing agent such as ozone, as shown in FIG. 20, the unit layer 145 may be an oxide which may be represented by MO x . Here, "M" may be a central atom of the first precursor material 125, "O" may be an oxygen atom, and "x" may be an amount of one that may be determined according to the type of the central atom. Can be. For example, in the case of a metal oxide such as TiO 2 , “x” may be two.

도 21을 참조하면, 상기 단위 층(145)을 형성하면서 발생하는 반응 부산물(147)을 제거하기 위하여 상기 공정 챔버(10)를 퍼지할 수 있다. (S225) 상기 공정 챔버(10)를 퍼지하면서 상기 반응 부산물(147)이 제거될 수 있다. 상기 단위 층(145)을 반복적으로 형성하여 원하는 두께의 증착 막(150)을 형성할 수 있다.Referring to FIG. 21, the process chamber 10 may be purged to remove reaction by-products 147 generated while forming the unit layer 145. The reaction byproduct 147 may be removed while purging the process chamber 10. The unit layer 145 may be repeatedly formed to form a deposition film 150 having a desired thickness.

상기 제1 전구체 물질(125) 및 상기 막-제어 물질(115)은 도 2에서 설명한 상기 전구체 물질(16) 및 상기 막-제어 물질(14)과 동일한 물질일 수 있다. 이러한 상기 제1 전구체 물질(125) 및 상기 막-제어 물질(115)의 종류와, 상기 제2 공정 물질(140)의 종류에 대하여 예를 들어 설명하기로 한다.The first precursor material 125 and the film-control material 115 may be the same materials as the precursor material 16 and the film-control material 14 described with reference to FIG. 2. The kind of the first precursor material 125 and the film-control material 115 and the kind of the second process material 140 will be described by way of example.

<예 1><Example 1>

상기 제1 전구체 물질(125)은 MLn 로 나타낼 수 있는 물질일 수 있고, 상기 막-제어 물질(115)은 LH로 나타낼 수 있는 물질 일 수 있다. 여기서, 상기 MLn에서, M은 중심 원자일 수 있고, L은 중심 원자 M과 결합하는 리간드일 수 있고, n은 중심 원자 M과 리간드 L에 의해 결정되는 수 로써, 예를 들어 2 내지 6 사이의 어느 하나의 값일 수 있다. 상기 막-제어 물질(115)의 LH에서, L은 상기 제1 전구체 물질(125)의 상기 리간드 L과 동일한 물질일 수 있다. 따라서, 상기 막-제어 물질(125)은 상기 제1 전구체 물질(125)의 상기 리간드의 수소 화합물일 수 있다. The first precursor material 125 may be a material represented by ML n , and the film-control material 115 may be a material represented by LH. Here, in the ML n , M may be a central atom, L may be a ligand that binds to the central atom M, n is a number determined by the central atom M and ligand L, for example between 2 to 6 It can be any one value of. In LH of the film-control material 115, L may be the same material as the ligand L of the first precursor material 125. Thus, the film-control material 125 may be a hydrogen compound of the ligand of the first precursor material 125.

일 예로써, 상기 제1 전구체 물질(125)은 TEMAZ 전구체일 수 있고, 막-제어 물질(115)은 TEMAZ를 구성하는 리간드의 수소화물일 수 있다. 여기서, TEMAZ의 화학식은 Zr[N(CH3)(CH2CH3)]4 일 수 있다. 전구체 Zr[N(CH3)(CH2CH3)]4 에서, 중심 원자는 Zr이고, 리간드는 N(CH3)(CH2CH3) 일 수 있다. 상기 막-제어 물질(115)은 리간드 N(CH3)(CH2CH3) 의 수소 화합물 "Ethylmethylamine" 일 수 있다. Ethylmethylamine 의 화학식은 HN(CH3)(CH2CH3) 일 수 있다. As an example, the first precursor material 125 may be a TEMAZ precursor, and the film-controlling material 115 may be a hydride of a ligand constituting TEMAZ. Here, the chemical formula of TEMAZ may be Zr [N (CH 3 ) (CH 2 CH 3 )] 4 . In precursor Zr [N (CH 3 ) (CH 2 CH 3 )] 4 the central atom is Zr and the ligand is N (CH 3 ) (CH 2 CH 3 ) Lt; / RTI &gt; The membrane-controlling material 115 is ligand N (CH 3 ) (CH 2 CH 3 ) May be a hydrogen compound of "Ethylmethylamine". The chemical formula of Ethylmethylamine may be HN (CH 3 ) (CH 2 CH 3 ).

다른 예로써, 상기 제1 전구체 물질(125)은 Ru(EtCp)2이고, 상기 막-제어 물질(115)은 Ru(EtCp)2의 중심 원자 Ru와 결합된 리간드 EtCp의 수소 화합물, 즉 HEtCp일 수 있다.As another example, the first precursor material 125 is Ru (EtCp) 2 , and the film-control material 115 is a hydrogen compound of the ligand EtCp bonded to the central atom Ru of Ru (EtCp) 2 , that is, HEtCpyl. Can be.

또 다른 예로써, 상기 제1 전구체 물질(125)은 Ti(NMe2)4 이고, 상기 막-제어 물질(115)은 Ti(NMe2)4의 중심 원자 Ti와 결합된 리간드 NMe2의 수소 화합물, 즉 HNMe2일 수 있다. As another example, the first precursor material 125 is Ti (NMe 2 ) 4 , and the film-control material 115 is a hydrogen compound of ligand NMe 2 bonded with the central atom Ti of Ti (NMe 2 ) 4 . That is, it may be HNMe 2 .

<예 2><Example 2>

상기 제1 전구체 물질(125)은 M(La)n(Lb)m의 식으로 나타낼 수 있는 물질일 수 있고, 상기 막-제어 물질(115)은 LaH 또는 LbH의 식으로 나타낼 수 있는 물질 일 수 있다. 상기 제1 전구체 물질(125)의 M(La)n(Lb)m에서, M은 상기 전구체의 중심 원자일 수 있고, La는 상기 중심 원자 M과 결합하는 제1 리간드일 수 있고, 상기 Lb는 상기 중심 원자 M과 결합하며 상기 제1 리간드와 다른 제2 리간드일 수 있다. 상기 n은 중심 원자 M과 제1 리간드 La에 의해 결정되는 양의 수일 수 있고, 상기 m은 중심 원자 M과 제2 리간드 Lb에 의해 결정되는 양의 수일 수 있다.The first precursor material 125 may be a material that can be represented by the formula M (L a ) n (L b ) m , and the film-control material 115 is represented by L a H or L b H It may be a substance that can be represented. In M (L a ) n (L b ) m of the first precursor material 125, M may be a central atom of the precursor, L a may be a first ligand that binds to the central atom M, L b may be a second ligand which binds to the central atom M and is different from the first ligand. N may be a number determined by the central atom M and the first ligand L a , and m may be a number determined by the central atom M and the second ligand L b .

상기 막-제어 물질(115)은 상기 제1 전구체 물질(125)의 제1 리간드(La)의 수소 화합물 LaH이거나, 또는 상기 제1 전구체 물질(125)의 제2 리간드(Lb)의 수소 화합물 LbH일 수 있다. 예를 들어, 상기 제1 전구체 물질(125)은 CpZr(N(CH3)2)3 일 수 있고, 상기 막-제어 물질(115)은 CpZr(N(CH3)2)3의 리간드의 수소화물일 수 있다. 여기서, "Cp"는 시클로펜타디에닐기 를 지칭할 수 있다. 상기 막-제어 물질(115)은 전구체 CpZr(N(CH3)2)3 의 중심 원자 Zr과 결합된 리간드 N(CH3)2의 수소 화물 dimethylamine 일 수 있다. 여기서, dimethylamine은 HN(CH3)2 일 수 있다.The film-control material 115 is a hydrogen compound L a H of the first ligand (L a ) of the first precursor material 125, or a second ligand (L b ) of the first precursor material 125. May be a hydrogen compound L b H. For example, the first precursor material 125 may be CpZr (N (CH 3 ) 2 ) 3 , and the membrane-controlling material 115 may be a number of ligands of CpZr (N (CH 3 ) 2 ) 3 . It may be a digest. Here, "Cp" may refer to a cyclopentadienyl group. The membrane-controlling material 115 may be a hydride dimethylamine of ligand N (CH 3 ) 2 bonded with the central atom Zr of precursor CpZr (N (CH 3 ) 2 ) 3 . Here, dimethylamine may be HN (CH 3 ) 2 .

<예 3><Example 3>

상기 제1 전구체 물질(125)은 M(La)n(Lb)m일 수 있고, 상기 막-제어 물질(115)은 LcH일 수 있다. 이 경우에, 상기 막-제어 물질(115)의 화학식 LcH는 M(Lc)x(Ld)y를 형성 가능한 물질 일 수 있다. 여기서, 화학식 M(Lc)x(Ld)y에서, Ld는 La 또는 Lb일 수 있다. 그리고, M(Lc)x(Ld)y는 상기 제1 전구체 물질(125)을 대체하여 상기 증착 막을 형성하기 위한 공정에 이용가능한 물질일 수 있다. 여기서, "n"은 중심원자 "M"과 리간드 "La" 사이의 결합 상태, "m"은 중심 원자 "M"과 리간드 "Lb" 사이의 결합 상태, "x"는 중심 원자 "M"과 리간드 "Lc" 사이의 결합 상태, "y"는 중심 원자 "M"과 리간드 "Ld" 사이의 결합 상태에 의해 결정되는 양의 수 일 수 있다.The first precursor material 125 may be M (L a ) n (L b ) m , and the film-control material 115 may be L c H. In this case, the chemical formula L c H of the film control material 115 may be a material capable of forming M (L c ) x (L d ) y . Here, in the formula M (L c ) x (L d ) y , L d may be L a or L b . In addition, M (L c ) x (L d ) y may be a material usable in a process for forming the deposition film by replacing the first precursor material 125. Where "n" is the binding state between the central atom "M" and the ligand "L a ", "m" is the binding state between the central atom "M" and the ligand "L b ", and "x" is the central atom "M The binding state between "and ligand" L c "," y "may be an amount number determined by the binding state between central atom" M "and ligand" L d ".

상기 제1 전구체 물질(125)은 M(La)n(Lb)m일 수 있고, 상기 막-제어 물질(115)은 LcH인 첫 번째 사례로써, 상기 제1 전구체 물질(125)은 TEMAZ일 수 있고, 상기 막-제어 물질(115)은 dimethylamine일 수 있다. 상기 TEMAZ는 Zr[N(CH3)(CH2CH3)]4 일 수 있고, 상기 dimethylamine은 HN(CH3)2 일 수 있다.The first precursor material 125 may be M (L a ) n (L b ) m , and the film-control material 115 is L c H as a first example, the first precursor material 125 May be TEMAZ and the membrane-controlling material 115 may be dimethylamine. The TEMAZ may be Zr [N (CH 3 ) (CH 2 CH 3 )] 4 , and the dimethylamine may be HN (CH 3 ) 2 .

상기 제1 전구체 물질(125)은 M(La)n(Lb)m일 수 있고, 상기 막-제어 물질(115)은 LcH인 두 번째 사례로써, 상기 제1 전구체 물질(125)은 CpZr(N(CH3)2)3일 수 있고, 상기 막-제어 물질(115)은 "ethylmethylamine" 일 수 있다.상기 Ethylmethylamine 는 HN(CH3)(CH2CH3) 일 수 있다. The first precursor material 125 may be M (L a ) n (L b ) m , and the film-control material 115 is L c H, in a second example, the first precursor material 125 May be CpZr (N (CH 3 ) 2 ) 3 and the membrane-controlling material 115 may be “ethylmethylamine”. The Ethylmethylamine may be HN (CH 3 ) (CH 2 CH 3 ).

<예 4><Example 4>

상기 제1 전구체 물질(125)은 M(La)n(Lb)m일 수 있고, 상기 막-제어 물질(115)은 상기 제1 전구체 물질(125)의 리간드의 alkyl 화합물일 수 있다. 예를 들어, 상기 막-제어 물질(115)은 La-R 또는 Lb-R일 수 있다. 여기서, La 및 Lb는 상기 전구체의 중심 원자 M과 결합된 리간드들일 수 있고, R은 CH3 또는 CH2CH3 등과 같은 alkyl 화합물 또는 alkyl 계 화합물일 수 있다. The first precursor material 125 may be M (L a ) n (L b ) m , and the film-control material 115 may be an alkyl compound of a ligand of the first precursor material 125. For example, the membrane control material 115 may be L a- R or L b- R. Here, L a and L b may be ligands bonded to the central atom M of the precursor, and R may be an alkyl compound or an alkyl compound such as CH 3 or CH 2 CH 3 .

예를 들어, 상기 제1 전구체 물질(125)은 TEMAZ 또는 CpZr(N(CH3)2)3 일 수 있고, 상기 막-제어 물질(115)은 NMe3 또는 NEt3 등일 수 있다. "Me"는 메틸기를 지칭할 수 있고, "Et"는 에틸기를 지칭할 수 있다.For example, the first precursor material 125 may be TEMAZ or CpZr (N (CH 3 ) 2 ) 3 , the film-controlling material 115 may be NMe 3, NEt 3 , or the like. "Me" may refer to a methyl group and "Et" may refer to an ethyl group.

<예 5><Example 5>

상기 제1 전구체 물질(125)은 M(La)n(Lb)m 일 수 있고, 상기 막-제어 물질(115)은 LcR일 수 있다. 상기 LcR은 상기 제1 전구체 물질(125)의 중심 원자 M과 결합하여 상기 제1 전구체 물질(125)과 다른 전구체(예, M(Lc)x(Ld)y)를 형성할 수 있는 물질일 수 있다. 상기 LcR에서, Lc는 M(Lc)x(Ld)y 등과 같이 상기 제1 전구체 물질(125)과 다른 전구체 물질을 형성할 수 있는 화합물일 수 있고, R은 CH3 또는 CH2CH3 등과 같은 alkyl 화합물 또는 alkyl 계 화합물일 수 있다. 여기서, 상기 제1 전구체 물질(125)과 다른 전구체 M(Lc)x(Ld)y는 상기 제1 전구체 물질(125)을 대체하여 이용가능한 물질일 수 있다.The first precursor material 125 may be M (L a ) n (L b ) m and the film-control material 115 may be L c R. The L c R may combine with the central atom M of the first precursor material 125 to form a precursor different from the first precursor material 125 (eg, M (L c ) x (L d ) y ). Material may be present. In the L c R, Lc may be a compound capable of forming a precursor material different from the first precursor material 125, such as M (L c ) x (L d ) y , and R is CH 3 or CH 2 It may be an alkyl compound such as CH 3 or an alkyl-based compound. Here, the precursor M (L c ) x (L d ) y different from the first precursor material 125 may be a material available to replace the first precursor material 125.

도 1 및 도 8과 함께, 도 22 내지 도 29를 참조하여 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법의 다른 예에 대하여 설명하기로 한다. 1 to 8, another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept will be described with reference to FIGS. 22 to 29.

도 22는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 다른 예를 나타낸 가스 펄싱 다이어그램이고, 도 23은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 다른 예를 나타낸 공정 흐름도이고, 도 24 내지 도 29는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 다른 예를 나타낸 도면들이다.FIG. 22 is a gas pulsing diagram illustrating another example of a method of manufacturing a semiconductor device, according to an embodiment of the inventive concept, and FIG. 23 is another example of a method of manufacturing a semiconductor device, according to an embodiment of the inventive concept. 24 to 29 are diagrams illustrating another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.

도 24 내지 도 29에서, 도 24, 도 26, 도 28 및 도 29는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 제조방법의 다른 예를 나타낸 단면도들이고, 도 25a 및 도 25b는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 제조방법의 다른 예를 설명하기 위하여 도 24의 "C"로 표시된 부분을 확대한 도면들이고, 도 27a 내지 도 27c는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자 제조방법의 다른 예를 설명하기 위하여 도 26의 "C"로 표시된 부분을 확대한 도면들이다. 24 to 29, FIGS. 24, 26, 28, and 29 are cross-sectional views illustrating another example of a method of fabricating a semiconductor device in accordance with some example embodiments of the inventive concepts, and FIGS. 25A and 25B illustrate the present invention. To illustrate another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept, FIGS. 24A and 27C are enlarged views, and FIGS. 27A to 27C illustrate one embodiment of the inventive concept. FIG. 26 is an enlarged view illustrating a portion “C” of FIG. 26 to describe another example of a method of manufacturing a semiconductor device.

우선, 도 1, 도 8, 도 22, 도 23 및 도 24를 참조하면, 도 12에서 설명한 것과 같이, 반도체 기판(200) 상에 하지 막(205)을 형성하고, 상기 하지 막(205) 상에 구조물(210)을 차례로 형성할 수 있다. (S1) First, referring to FIGS. 1, 8, 22, 23, and 24, as described with reference to FIG. 12, a base film 205 is formed on a semiconductor substrate 200, and the base film 205 is formed on the base film 205. The structures 210 may be formed in turn. (S1)

상기 구조물(210)은 상부 측면 부분(210s1) 및 상기 상부 측면 부분(210s1) 하부의 하부 측면 부분(210s2)을 포함하는 측면(210s)을 가질 수 있다. 상기 구조물(210)의 상기 상부 측면 부분(210s1) 및 상기 하부 측면 부분(210s2)은 수직할 수 있다. 상기 구조물(110)을 관통하는 개구부(210a)가 형성될 수 있다. 상기 개구부(210a)는 상기 구조물(210)의 측면(210s)에 의해 한정될 수 있다. 상기 개구부(210a)는 폭(W) 보다 깊이(D)가 클 수 있다.The structure 210 may have a side surface 210s including an upper side portion 210s1 and a lower side portion 210s2 below the upper side portion 210s1. The upper side portion 210s1 and the lower side portion 210s2 of the structure 210 may be vertical. An opening 210a penetrating the structure 110 may be formed. The opening 210a may be defined by the side surfaces 210s of the structure 210. The opening 210a may have a depth D greater than the width W.

상기 구조물(210)을 갖는 반도체 기판을 상기 공정 챔버(10) 내로 로딩할 수 있다. (S5)A semiconductor substrate having the structure 210 may be loaded into the process chamber 10. (S5)

상기 구조물을 갖는 반도체 기판(200)이 위치하는 상기 공정 챔버(10) 내에 제1 전구체 물질(215)을 공급하여 상기 구조물(210)을 갖는 반도체 기판(200) 상에 과흡착 부분(220b)을 갖는 제1 예비 단위 층(222)을 형성할 수 있다. (S305) 상기 제1 예비 단위 층(222)은 상기 제1 전구체 물질(215)이 상기 구조물(210)을 갖는 반도체 기판의 표면 상에 흡착되어 형성될 수 있다. The first precursor material 215 is supplied into the process chamber 10 in which the semiconductor substrate 200 having the structure is located to form the superadsorption portion 220b on the semiconductor substrate 200 having the structure 210. The first preliminary unit layer 222 may be formed. The first preliminary unit layer 222 may be formed by adsorbing the first precursor material 215 on the surface of the semiconductor substrate having the structure 210.

상기 제1 예비 단위 층(222)은 불균일 예비 단위 층으로 이해될 수 있다. 예를 들어, 상기 제1 예비 단위 층(222)은 과흡착 부분(220b)을 포함하는 제1 부분(221a) 및 과흡착 부분을 포함하지 않는 제2 부분(221b)을 포함할 수 있다. 상기 제1 예비 단위 층(222)에서, 상기 제1 부분(221a)은 상기 제2 부분(221b) 보다 두꺼울 수 있다. 따라서, 상기 제1 예비 단위 층(222)은 불균일한 두께의 층으로 형성될 수 있다.The first preliminary unit layer 222 may be understood as a nonuniform preliminary unit layer. For example, the first preliminary unit layer 222 may include a first portion 221a including the superadsorbed portion 220b and a second portion 221b not including the superadsorbed portion. In the first preliminary unit layer 222, the first portion 221a may be thicker than the second portion 221b. Therefore, the first preliminary unit layer 222 may be formed of a layer having a non-uniform thickness.

상기 제1 예비 단위 층(222)의 상기 제1 부분(221a)은 베이스 부분(220a) 및 과흡착 부분(220b)을 포함할 수 있다. 상기 베이스 부분(220a)은 상기 과흡착 부분(220b) 보다 상기 구조물(210)을 갖는 반도체 기판의 표면에 가까울 수 있다. 상기 과흡착 부분(220b)은 상기 베이스 부분(220a) 상에 결합된 물질일 수 있다. The first portion 221a of the first preliminary unit layer 222 may include a base portion 220a and a superadsorption portion 220b. The base portion 220a may be closer to the surface of the semiconductor substrate having the structure 210 than the superadsorption portion 220b. The superadsorption portion 220b may be a material bonded on the base portion 220a.

상기 제1 예비 단위 층(222)의 상기 제1 부분(221a)은 상기 구조물(210)의 상부 영역에 형성될 수 있고, 상기 제1 예비 단위 층(222)의 상기 제2 부분(221b)은 상기 구조물(210)의 하부 영역에 형성될 수 있다. 상기 제1 예비 단위 층(222)의 상기 제1 부분(221a)은 상기 구조물(210)의 상기 상부 측면 부분(210s1) 상에 형성될 수 있고, 상기 제1 예비 단위 층(222)의 상기 제2 부분(221b)은 상기 구조물(210)의 상기 하부 측면 부분(210s2) 상에 형성될 수 있다. The first portion 221a of the first preliminary unit layer 222 may be formed in an upper region of the structure 210, and the second portion 221b of the first preliminary unit layer 222 may be formed. It may be formed in the lower region of the structure 210. The first portion 221a of the first preliminary unit layer 222 may be formed on the upper side portion 210s1 of the structure 210, and the first portion of the first preliminary unit layer 222 may be formed. Two portions 221b may be formed on the lower side portion 210s2 of the structure 210.

상기 제1 전구체 물질(215)은 추가 결합을 하여 보다 더 안정화되는 물질일 수 있다. 예를 들어, 상기 제1 전구체 물질(215)은 약한 배위 결합을 하면서 클러스터(cluster)를 형성할 수 있는 물질일 수 있다. 상기 제1 전구체 물질(215)은 상기 제1 전구체 물질(215)을 운반하기 위한 캐리어 가스와 함께 상기 공정 챔버(10) 내부로 공급될 수 있다. 상기 캐리어 가스는 아르곤 등과 같은 불활성 가스일 수 있다. The first precursor material 215 may be a material that is further stabilized by further bonding. For example, the first precursor material 215 may be a material capable of forming a cluster while making weak coordination bonds. The first precursor material 215 may be supplied into the process chamber 10 together with a carrier gas for transporting the first precursor material 215. The carrier gas may be an inert gas such as argon or the like.

상기 제1 전구체 물질(215)은 상기 제1 공정 물질 공급 장치(20)로부터 상기 공정 챔버(10) 내로 공급될 수 있다. 상기 제1 공정 물질 공급 장치(20)는 도 2, 도 3 및 도 4에서 설명한 상기 공정 물질 공급 시스템들(20a, 20b, 20c) 중 어느 하나의 공정 물질 공급 시스템일 수 있다. 따라서, 상기 전구체 물질(215)은 도 2, 도 3 및 도 4에서 설명한 상기 공정 물질 공급 시스템들(20a, 20b, 20c) 중 어느 하나로부터 공급되는 상기 전구체 물질(16)과 동일한 물질일 수 있다. The first precursor material 215 may be supplied into the process chamber 10 from the first process material supply device 20. The first process material supply device 20 may be a process material supply system of any one of the process material supply systems 20a, 20b, and 20c described with reference to FIGS. 2, 3, and 4. Thus, the precursor material 215 may be the same material as the precursor material 16 supplied from any of the process material supply systems 20a, 20b, 20c described in FIGS. 2, 3, and 4. .

도 8 및 도 24와 함께 도 25a 및 도 25b를 참조하여, 상기 공정 챔버(10) 내로 공급되는 상기 제1 전구체 물질(215) 및 상기 제1 전구체 물질(215)에 의해 형성되는 상기 제1 예비 단위 층(222)에 대하여 설명하기로 한다. 도 25a 및 도 25b에 도시된 분자 구조는 본 발명의 기술적 사상을 쉽게 이해할 수 있도록 하기 위하여 하나의 예로 도시한 것으로써 본 발명의 기술적 사상을 한정하는 것이 아니다.25A and 25B in conjunction with FIGS. 8 and 24, the first preliminary formed by the first precursor material 215 and the first precursor material 215 supplied into the process chamber 10. The unit layer 222 will be described. The molecular structures shown in FIGS. 25A and 25B are illustrated as one example in order to facilitate understanding of the technical spirit of the present invention, and do not limit the technical spirit of the present invention.

도 8, 도 24 및 도 25a를 참조하면, 상기 공정 챔버(10) 내로 공급되는 상기 제1 전구체 물질(215)은 중심 원자(215M) 및 상기 중심 원자(215M)에 결합된 제1 리간드(215La) 및 제2 리간드(215Lb)을 포함할 수 있다. 상기 제1 전구체 물질(215)은 M(La)x(Lb)y으로 나타낼 수 있는 물질일 수 있다. 상기 중심 원자(215M)은 M(La)x(Lb)y에서의 "M"일 수 있고, 상기 제1 리간드(215La)는 M(La)x(Lb)y에서의 제1 리간드"La"일 수 있고, 상기 제2 리간드(215Lb)는 M(La)x(Lb)y에서의 제2 리간드 "Lb"일 수 있다. 상기 "x" 및 "y"는 상기 중심 원자(215M)와 상기 제1 및 제2 리간드들(215La, 215Lb)의 종류에 의해 결정되는 수 일 수 있다. 상기 "x" 및 "y" 중 어느 하나는 0(zero) 또는 양의 수일 수 있고, 나머지 하나는 양의 수 일 수 있다.8, 24, and 25A, the first precursor material 215 supplied into the process chamber 10 includes a central atom 215M and a first ligand 215L bound to the central atom 215M. a ) and the second ligand 215L b . The first precursor material 215 may be a material represented by M (L a ) x (L b ) y . The central atom 215M may be "M" at M (L a ) x (L b ) y , and the first ligand 215L a is selected from M (L a ) x (L b ) y 1 ligand "L a " and the second ligand 215L b may be the second ligand "L b " at M (L a ) x (L b ) y . The “x” and “y” may be numbers determined by the kind of the central atom 215M and the first and second ligands 215L a and 215L b . Any one of "x" and "y" may be zero or a positive number, and the other may be a positive number.

상기 제1 전구체 물질(215)은, 예를 들어 아래의 <화학구조식 1>과 같은 지르코늄(Zr) 전구체일 수 있다. 상기 제1 전구체 물질(215)로 이용될 수 있는 아래의 <화학구조식 1>과 같은 지르코늄 전구체에서, 중심원자 지르코늄은 4배위일 수 있다. The first precursor material 215 may be, for example, a zirconium (Zr) precursor as shown in <Formula 1>. In the zirconium precursor, such as <Chemical Formula 1>, which may be used as the first precursor material 215, the central atom zirconium may be quadrupled.

<화학구조식 1><Chemical Structural Formula 1>

Figure pat00001
Figure pat00001

도 8, 도 24 및 도 25b를 참조하면, 상기 제1 전구체 물질(215)은 상기 구조물(210)을 갖는 반도체 기판의 표면에 흡착되어 제1 예비 단위 층(222)을 형성할 수 있다. 상기 제1 예비 단위 층(222)은 상기 제1 부분(221a) 및 상기 제2 부분(221b)을 포함할 수 있다. 상기 제1 예비 단위 층(222)의 상기 제1 부분(221a)은 상기 베이스 부분(220a) 및 상기 베이스 부분(220a)과 결합된 상기 과흡착 부분(220b)을 포함할 수 있다. 8, 24, and 25B, the first precursor material 215 may be adsorbed onto the surface of the semiconductor substrate having the structure 210 to form a first preliminary unit layer 222. The first preliminary unit layer 222 may include the first portion 221a and the second portion 221b. The first portion 221a of the first preliminary unit layer 222 may include the base portion 220a and the hyperadsorption portion 220b coupled to the base portion 220a.

상기 과흡착 부분(220b)은 상기 베이스 부분(220a)과 약한 배위 결합을 할 수 있다. 상기 구조물(210)의 상기 하부 측면 부분(210s2) 상에 상기 제1 예비 단위 층(222)이 형성되지 않는 빈 영역(221v)이 존재할 수 있다. The superadsorption portion 220b may be in weak coordination with the base portion 220a. An empty region 221v in which the first preliminary unit layer 222 is not formed may exist on the lower side portion 210s2 of the structure 210.

상기 구조물(210)의 상기 상부 측면 부분(210s1) 상에 과흡착 부분(220b)이 형성될 수 있고, 상기 구조물(210)의 상기 하부 측면 부분(210s2) 상에 상기 제1 전구체 물질(215)이 흡착되지 않은 빈 영역(221v)이 형성될 수 있다. 도 22에서와 같이, 상기 과흡착 부분(220b)은 상기 구조물(210)의 상기 상부 측면 부분(210s1) 뿐만 아니라, 상기 구조물(210)의 상부면(210t) 상에 형성될 수 있다. 따라서, 상기 빈 영역(221v)에 의하여 상기 제1 예비 단위 층(222)은 불연속적인 층으로 형성될 수 있고, 상기 과흡착 부분(220b)에 의하여 상기 제1 예비 단위 층(222)은 위치에 따라 불균일한 두께를 갖는 층으로 형성될 수 있다.An over adsorption portion 220b may be formed on the upper side portion 210s1 of the structure 210, and the first precursor material 215 may be formed on the lower side portion 210s2 of the structure 210. The non-adsorbed empty region 221v may be formed. As shown in FIG. 22, the superadsorption portion 220b may be formed on the upper surface 210t of the structure 210 as well as the upper side portion 210s1 of the structure 210. Accordingly, the first preliminary unit layer 222 may be formed as a discontinuous layer by the empty region 221v, and the first preliminary unit layer 222 may be formed by the superadsorption portion 220b. Thus can be formed into a layer having a non-uniform thickness.

한편, 상기 제1 예비 단위 층(222)이 형성된 반도체 기판(200)이 위치하는 상기 공정 챔버(10) 내에 상기 구조물(210)을 갖는 상기 반도체 기판(200)의 표면에 흡착되지 않은 제1 전구체(215a)가 잔존할 수 있다.Meanwhile, a first precursor that is not adsorbed onto the surface of the semiconductor substrate 200 having the structure 210 in the process chamber 10 in which the semiconductor substrate 200 on which the first preliminary unit layer 222 is formed is located. 215a may remain.

도 1, 도 8, 도 22, 도 23 및 도 26을 참조하면, 상기 제1 예비 단위 층(222)을 갖는 반도체 기판이 위치하는 상기 공정 챔버(10) 내에 막-제어 물질(225)을 공급하여 상기 제1 예비 단위 층(222)을 제2 예비 단위 층(235)으로 형성할 수 있다. (S307) 상기 제2 예비 단위 층(235)은 상기 제1 예비 단위 층(222)에 비하여 균일한 막으로 형성될 수 있다. 상기 막-제어 물질(225)은 상기 공정 챔버(10) 내에 상기 제1 전구체 물질(215)의 공급을 중단하면서 공급을 시작할 수 있다.1, 8, 22, 23, and 26, a film-controlled material 225 is supplied into the process chamber 10 in which a semiconductor substrate having the first preliminary unit layer 222 is located. Thus, the first preliminary unit layer 222 may be formed as the second preliminary unit layer 235. The second preliminary unit layer 235 may be formed of a uniform film as compared with the first preliminary unit layer 222. The film-control material 225 may start supplying the supply of the first precursor material 215 into the process chamber 10.

상기 과흡착 부분(220b)은 상기 막-제어 물질(225)과 결합하여 제1 화합물(227)을 형성할 수 있다. 상기 공정 챔버(10) 내에 잔존하는 상기 제1 전구체 물질(215a)은 상기 막-제어 물질(225)과 결합하여 제2 화합물을 형성할 수 있다.The superadsorption portion 220b may be combined with the film control material 225 to form a first compound 227. The first precursor material 215a remaining in the process chamber 10 may be combined with the film-control material 225 to form a second compound.

상기 막-제어 물질(225)은 상기 제1 공정 물질 공급 장치(20)로부터 상기 공정 챔버(10) 내로 공급될 수 있다. 상기 제1 공정 물질 공급 장치(20)는 도 2, 도 3 및 도 4에서 설명한 상기 공정 물질 공급 시스템들(20a, 20b, 20c) 중 어느 하나의 공정 물질 공급 시스템일 수 있다. 따라서, 상기 막-제어 물질(225)은 도 2, 도 3 및 도 4에서 설명한 상기 공정 물질 공급 시스템들(20a, 20b, 20c) 중 어느 하나로부터 공급되는 상기 막-제어 물질(14)과 동일한 물질일 수 있다. 이러한 막-제어 물질(14, 225)의 종류에 대하여 도 2의 설명 부분에서 설명하고 있으므로, 중복된 설명을 피하기 위하여 여기서 자세한 설명은 생략하기로 한다.The film-controlled material 225 may be supplied into the process chamber 10 from the first process material supply device 20. The first process material supply device 20 may be a process material supply system of any one of the process material supply systems 20a, 20b, and 20c described with reference to FIGS. 2, 3, and 4. Thus, the film-controlled material 225 is the same as the film-controlled material 14 supplied from any of the process material supply systems 20a, 20b, 20c described in FIGS. 2, 3 and 4. It may be a substance. Since the type of the film-controlling materials 14 and 225 is described in the description of FIG. 2, detailed descriptions thereof will be omitted herein in order to avoid redundant description.

상기 제1 예비 단위 층(222)을 상기 제2 예비 단위 층(235)으로 형성하는 방법에 대하여 도 25a, 도 25b 및 도 25c를 참조하여 설명하기로 한다. 도 27a, 도 27b 및 도 27c는 본 발명의 기술적 사상을 쉽게 이해시키기 위한 개략적인 도면으로써 도 27a, 도 27b 및 도 27c에 도시된 분자 구조에 의해 본 발명의 기술적 사상이 한정되는 것이 아님을 유의하도록 한다. 도 27a, 도 27b 및 도 27c는 도 25a 및 도 25b에서 설명한 내용과 연관되어 이해될 수 있다.A method of forming the first preliminary unit layer 222 as the second preliminary unit layer 235 will be described with reference to FIGS. 25A, 25B, and 25C. 27A, 27B, and 27C are schematic views for easily understanding the technical spirit of the present invention. Note that the technical spirit of the present invention is not limited by the molecular structures shown in FIGS. 27A, 27B, and 27C. Do it. 27A, 27B, and 27C may be understood in connection with the contents described with reference to FIGS. 25A and 25B.

도 1, 도 8, 도 26 및 도 27a를 참조하면, 상기 제1 예비 단위 층(222)을 갖는 반도체 기판이 위치하는 공정 챔버(10) 내에 상기 제1 전구체 물질(215)의 공급을 중단하고 상기 막-제어 물질(225)을 공급할 수 있다. 상기 공정 챔버(10) 내에 상기 제1 전구체 물질(215)의 공급을 중단한 후에, 상기 공정 챔버(10)에 대한 별도의 퍼지 공정 없이 상기 공정 챔버(10) 내에 상기 막-제어 물질(225)을 공급할 수 있다. 상기 막-제어 물질(225)은 분자간 결합된 제1 전구체의 화합물(ex, 상기 과흡착 부분(220b) 및 분자간 결합된 제1 전구체)에서 분자간 결합을 끊을 수 있는 화합물일 수 있다. 1, 8, 26, and 27a, the supply of the first precursor material 215 is stopped in the process chamber 10 in which the semiconductor substrate having the first preliminary unit layer 222 is located. The membrane-controlling material 225 may be supplied. After stopping the supply of the first precursor material 215 into the process chamber 10, the film-controlled material 225 in the process chamber 10 without a separate purge process to the process chamber 10. Can be supplied. The film-controlling material 225 may be a compound capable of breaking intermolecular bonds in the compound of the first precursor intermolecularly bonded (eg, the superadsorption portion 220b and the intermolecularly bound first precursor).

한편, 상기 공정 챔버(10) 내로 공급된 상기 제1 전구체 물질(215)이 상기 <화학구조식 1>과 같은 지르코늄 전구체인 경우에, 상기 <화학구조식 1>의 지르코늄 전구체에서, 안정한 상태가 되기 위하여 지르코늄 전구체의 분자들이 서로 결합할 수 있다. 이와 같이, 지르코늄 전구체(215)의 분자들이 서로 결합함으로써, 상기 제1 예비 단위 층(222)의 상기 제1 부분(221a)에 상기 과흡착 부분(220b)이 형성될 수 있다. 또한, 상기 지르코늄 전구체(215)의 분자들이 서로 결합하여 클러스터를 형성함으로써, 상기 지르코늄 전구체(215)가 상기 개구부(210a)의 하부 영역 내로 원활히 공급되는 것이 방해될 수 있다. On the other hand, when the first precursor material 215 supplied into the process chamber 10 is a zirconium precursor such as <Formula 1>, in the zirconium precursor of <Formula 1>, in order to be stable Molecules of zirconium precursors can bind to each other. As such, the molecules of the zirconium precursor 215 may be bonded to each other, such that the superadsorption portion 220b may be formed in the first portion 221a of the first preliminary unit layer 222. In addition, since the molecules of the zirconium precursor 215 are bonded to each other to form a cluster, it may be prevented that the zirconium precursor 215 smoothly supplied into the lower region of the opening 210a.

이와 같이 분자간 결합된 지르코늄 전구체는 아래의 <화학구조식 2>와 같을 수 있다. 아래의 <화학구조식 2>에서와 같이 지르코늄 전구체가 안정한 분자 상태로 되기 위하여 6배위를 형성할 수 있다. As such, the zirconium precursors bonded to the molecules may be as shown in <Formula 2>. As shown in <Chemical Structural Formula 2>, the zirconium precursor may form a sixth coordinate in order to be in a stable molecular state.

<화학구조식 2><Chemical Structural Formula 2>

Figure pat00002
Figure pat00002

아래의 <화학구조식 3>은 상기 분자간 결합된 지르코늄 전구체를 나타내는 <화학구조식 2>의 화합물에 막-제어 물질(225)이 제공되는 것을 나타낸 화학식이다. 상기 <화학구조식 3>은 상기 불균일 예비 단위 층(222)의 상기 과흡착 부분(220b)에 상기 막-제어 물질(225)이 제공되는 것을 나타낸 화학구조식이다. 여기서, 상기 막-제어 물질(225)은 상기 <화학구조식 1> 화합물의 리간드(NMe2)의 수소화물(

Figure pat00003
)일 수 있다. <Chemical Structural Formula 3> below is a chemical formula showing that the film-controlling material 225 is provided to the compound of <Chemical Structural Formula 2> which represents the intermolecularly bonded zirconium precursor. <Formula 3> is a chemical formula showing that the membrane-controlling material 225 is provided to the superadsorption portion 220b of the heterogeneous preliminary unit layer 222. Here, the film-controlling material 225 is a hydride (NMe 2 ) of the ligand of the <Formula 1>
Figure pat00003
).

<화학구조식 3><Chemical Structural Formula 3>

Figure pat00004
Figure pat00004

도 1, 도 8, 도 26 및 도 27b를 참조하면, 상기 막-제어 물질(225)과 상기 과흡착 부분(220b)이 반응하여 상기 제1 화합물(227)을 형성할 수 있다. 또한, 상기 막-제어 물질(225)은 상기 공정 챔버(10)의 빈 공간에 잔존하는 상기 제1 전구체(215a) 또는 분자간 결합된 제1 전구체와 결합하여 제2 화합물(215b)을 형성할 수 있다. 1, 8, 26, and 27B, the membrane-controlling material 225 and the superadsorption portion 220b may react to form the first compound 227. In addition, the film-control material 225 may combine with the first precursor 215a or the intermolecularly coupled first precursor remaining in the empty space of the process chamber 10 to form a second compound 215b. have.

상기 제1 화합물(227) 및 상기 제2 화합물(215b)은 상기 제1 전구체 물질(215)과 동일한 종류의 중심 원자를 갖는 화합물들일 수 있고, 단위 층을 형성하기 위한 전구체로 이용될 수 있다. 따라서, 상기 제1 및 제2 화합물들(227, 215b)은 제2 전구체 물질로 정의할 수도 있다.The first compound 227 and the second compound 215b may be compounds having the same kind of central atom as the first precursor material 215, and may be used as a precursor for forming a unit layer. Accordingly, the first and second compounds 227 and 215b may be defined as a second precursor material.

상기 과흡착 부분(220b)은 상기 막-제어 물질(225)과 반응하여 상기 제1 화합물(227)을 형성하면서 상기 베이스 부분(220a)으로부터 분리될 수 있다. The superadsorption portion 220b may be separated from the base portion 220a while reacting with the film-control material 225 to form the first compound 227.

상기 제1 화합물(227)은 중심 원자(227M) 및 상기 중심 원자(227M)와 결합된 하나 또는 복수의 리간드들(227La, 227Lb, 225L)을 포함할 수 있다. The first compound 227 may include a central atom 227M and one or more ligands 227L a , 227L b , and 225L bonded to the central atom 227M.

또한, 상기 제2 화합물(215b)은 상기 공정 챔버(10)의 빈 공간 내에 잔존하는 상기 제1 전구체(215a)와 상기 막-제어 물질(225)이 결합된 화합물일 수 있다. 또는 상기 제2 화합물(215b)은 상기 공정 챔버(10)의 빈 공간 내에서 상기 막-제어 물질(225)이 분자간 결합된 제1 전구체 물질의 분자간 결합을 끊으면서 제1 전구체 물질과 결합된 화합물일 수 있다. 예를 들어, 상기 제2 화합물(215b)은 중심 원자(215M) 및 상기 중심 원자(215M)와 결합된 리간드들(215La, 215Lb, 225L)을 포함할 수 있다. 상기 제1 전구체(215a)와 결합된 상기 막-제어 물질(225)은 상기 제2 화합물(215b)의 리간드들(215La, 215Lb, 225L) 중 어느 하나의 리간드(225L)일 수 있다. 한편, 상기 제1 전구체 물질(215)은 중심 원자(215M) 및 상기 제1 중심 원자(215M)와 결합된 제1 및 제2 리간드들(215La, 215Lb)을 포함할 수 있다. In addition, the second compound 215b may be a compound in which the first precursor 215a remaining in the empty space of the process chamber 10 and the film-control material 225 are combined. Alternatively, the second compound 215b is a compound bonded to the first precursor material while breaking the intermolecular bond of the first precursor material to which the film-controlling material 225 is intermolecularly coupled in the empty space of the process chamber 10. Can be. For example, the second compound 215b may include a central atom 215M and ligands 215L a , 215L b , and 225L bound to the central atom 215M. The film-control material 225 coupled with the first precursor 215a may be one ligand 225L of the ligands 215L a , 215L b , 225L of the second compound 215b. Meanwhile, the first precursor material 215 may include a center atom 215M and first and second ligands 215L a and 215L b bonded to the first center atom 215M.

상기 제1 화합물(227)의 중심 원자(227M), 상기 제2 화합물(215b)의 중심 원자(215M) 및 상기 제1 전구체 물질(215)의 중심 원자(215M)는 동일한 원자일 수 있다. 여기서, "동일한 원자"라는 의미는 원자 주기율표에서 동일한 원자 라는 것을 의미할 수 있다. 예를 들어, 상기 제1 화합물(227)의 중심 원자(227M), 상기 제2 화합물(215b)의 중심 원자(215M) 및 상기 제1 전구체 물질(215)의 중심 원자(215M)는 Zr, Ti, W, Ru 또는 Al 중 어느 하나일 수 있다. 여기서, 본 발명의 기술적 사상은 여기서 예로 든 "Zr, Ti, W, Ru 또는 Al"에 한정되지 않고 상기 중심 원자는 다른 금속 원자일 수도 있다. The center atom 227M of the first compound 227, the center atom 215M of the second compound 215b, and the center atom 215M of the first precursor material 215 may be the same atom. Here, "the same atom" may mean the same atom in the atomic periodic table. For example, the center atom 227M of the first compound 227, the center atom 215M of the second compound 215b, and the center atom 215M of the first precursor material 215 may be Zr, Ti. , W, Ru or Al. Here, the technical idea of the present invention is not limited to "Zr, Ti, W, Ru or Al" as exemplified herein, and the center atom may be another metal atom.

상기 제1 화합물(227)의 상기 리간드들(227La, 227Lb, 225L) 중 어느 하나의 리간드(225L)는 상기 제1 전구체 물질(215)의 상기 리간드들(215La, 215Lb)과 다른 리간드일 수 있고, 나머지 리간드(227La, 227Lb)는 상기 제1 전구체 물질(215)의 상기 리간드들(215La, 215Lb)과 동일한 화합물로 형성될 수 있다. Wherein said ligand of the first compound (227) (227L a, 227L b, 225L) any one of the ligand (225L) is the first of the ligands of the precursor material (215) (215L a, 215L b) and the other of The ligand may be a ligand, and the remaining ligands 227L a and 227L b may be formed of the same compound as the ligands 215L a and 215L b of the first precursor material 215.

상기 제1 화합물(227)은 중심 원자(227M), 제1 리간드(227La), 제2 리간드(227Lb), 및 제3 리간드(225L)로 구성될 수 있다. 상기 제1 리간드(227La), 상기 제2 리간드(227Lb) 및 상기 제3 리간드(225L)는 상기 중심 원자(227M)와 결합될 수 있다. The first compound 227 may be composed of a central atom 227M, a first ligand 227L a , a second ligand 227L b , and a third ligand 225L. The first ligand 227L a , the second ligand 227L b , and the third ligand 225L may be combined with the central atom 227M.

상기 제1 화합물(227)의 상기 중심 원자(227M), 상기 제1 리간드(227La) 및 상기 제2 리간드(227Lb)는 상기 제1 전구체 물질(215)의 상기 중심 원자(225M), 상기 제1 리간드(225La) 및 상기 제2 리간드(225Lb)와 동일할 수 있다. 그리고, 상기 제1 화합물(227)의 상기 제3 리간드(225L)는 상기 막-제어 물질(225)이 상기 중심 원자(227M)와 결합되어 형성될 수 있다. The central atom 227M of the first compound 227, the first ligand 227L a , and the second ligand 227L b may include the central atom 225M of the first precursor material 215, and the It may be the same as the first ligand 225L a and the second ligand 225L b . In addition, the third ligand 225L of the first compound 227 may be formed by combining the membrane-controlling material 225 with the central atom 227M.

상기 제1 화합물(227)은 상기 제1 전구체 물질(215)에 비하여 추가 배위 결합된 상기 제3 리간드(225L)를 더 포함 함으로써, 상기 제1 전구체 물질(215) 보다 안정성이 증가된 상태의 물질일 수 있다. The first compound 227 further includes the third ligand 225L additionally coordinated with respect to the first precursor material 215, thereby increasing the stability of the first precursor material 215. Can be.

아래의 <화학구조식 4>는 상기 막-제어 물질(225)이 상기 제1 전구체 분자들(220a, 220b)간의 결합을 끊고 배위 결합을 한 상태를 나타낸 화학구조식이다. 상기 <화학구조식 4>는 상기 막-제어 물질(

Figure pat00005
)을 이용하여 상기 과흡착 부분(220b)을 상기 베이스 부분(220a)으로부터 분리함으로써 형성되는 상기 제1 및 제2 화합물들(227, 215b)의 일 예를 나타낸 화학구조식일 수 있다. 이러한 상기 제1 및 제2 화합물들(227, 215b)의 중심 원자 지르코늄은 6배위일 수 있다.<Chemical Structural Formula 4> is a chemical structural formula showing a state in which the film-controlling material 225 breaks bonds between the first precursor molecules 220a and 220b and forms coordination bonds. <Formula 4> is the membrane-controlling material (
Figure pat00005
It may be a chemical structural formula showing an example of the first and second compounds (227, 215b) formed by separating the superadsorption portion (220b) from the base portion (220a) by using. The central atom zirconium of the first and second compounds 227 and 215b may be six coordinating.

<화학구조식 4><Chemical Structural Formula 4>

Figure pat00006
Figure pat00006

상기 <화학구조식 1>은 상기 제1 전구체 물질(215)을 나타내고, 상기 <화학구조식 4>는 상기 제1 및 제2 화합물(227, 215b)을 나타낼 수 있다.The Chemical Formula 1 may represent the first precursor material 215, and the Chemical Formula 4 may represent the first and second compounds 227 and 215b.

상기 <화학구조식 1>의 상기 제1 전구체 물질(215)과 상기 <화학구조식 4>의 상기 제1 및 제2 화합물(227, 215b)은 서로 동일한 중심 원자 "Zr"을 포함할 수 있다. 그리고, 상기 <화학구조식 1>의 상기 제1 전구체 물질(215)과 상기 <화학구조식 4>의 상기 제1 및 제2 화합물(227, 215b)은 서로 같은 종류의 중심 원자 "Zr"을 가지면서 서로 다른 리간드들을 가질 수 있다. 예를 들어, 상기 <화학구조식 4>의 상기 제1 및 제2 화합물(227, 215b)은 상기 <화학구조식 1>의 상기 제1 전구체 물질(215)의 리간드(

Figure pat00007
)와 다른 리간드(
Figure pat00008
)를 포함할 수 있다. 상기 <화학구조식 4>의 상기 제1 및 제2 화합물(227, 215b)의 상기 리간드(
Figure pat00009
)는 상기 <화학구조식 1>의 상기 제1 전구체 물질(215)의 리간드(
Figure pat00010
)의 수소화물일 수 있다.The first precursor material 215 of Chemical Formula 1 and the first and second compounds 227 and 215b of Chemical Formula 4 may include the same central atom “Zr”. In addition, the first precursor material 215 of <Chemical Formula 1> and the first and second compounds 227 and 215b of <Chemical Formula 4> have the same kind of central atom "Zr" May have different ligands. For example, the first and second compounds 227 and 215b of Chemical Formula 4 may be ligands of the first precursor material 215 of Chemical Formula 1.
Figure pat00007
) And other ligands (
Figure pat00008
). The ligands of the first and second compounds 227 and 215b of <Formula 4>
Figure pat00009
) Is a ligand of the first precursor material 215 of <Formula 1>
Figure pat00010
Hydride).

상기 제1 및 제2 화합물(227, 215b), 즉 상기 제2 전구체는 잔존하는 상기 제1 전구체(215a)와 함께 상기 구조물(210)의 상기 하부 측면 부분(210s2)의 상기 빈 영역(221v) 상으로 이동할 수 있다.The empty region 221v of the lower side portion 210s2 of the structure 210 with the first and second compounds 227 and 215b, that is, the second precursor, together with the remaining first precursor 215a. Can move up.

도 8, 도 26 및 도 27c를 참조하면, 상기 제1 및 제2 화합물들(227, 215b)은 상기 구조물(210)의 상기 하부 측면 부분(210s2)의 상기 빈 영역(221v) 상으로 이동하여 상기 하부 측면 부분(210s2)의 상기 빈 영역(221v) 상에 흡착될 수 있다. 상기 제1 화합물(227)이 상기 빈 영역(221v)에 의해 노출된 상기 구조물(210)의 표면에 흡착된 부분(228a) 및 상기 제2 화합물(215b)이 상기 빈 영역(221v)에 의해 노출된 상기 구조물(210)의 표면에 흡착된 부분(228b)이 형성될 수 있다.8, 26 and 27c, the first and second compounds 227 and 215b move onto the empty region 221v of the lower side portion 210s2 of the structure 210. Adsorbed on the empty region (221v) of the lower side portion 210s2. The portion 228a and the second compound 215b adsorbed on the surface of the structure 210 where the first compound 227 is exposed by the empty region 221v are exposed by the empty region 221v. An adsorbed portion 228b may be formed on the surface of the structure 210.

상기 제1 및 제2 화합물들(227, 215b)이 상기 빈 영역(221v)에 의해 노출된 상기 구조물(210)의 표면에 흡착된 부분들(228a, 228b)은 불연속적인 상기 제1 예비 단위 층(222)을 연속적인 상기 제2 예비 단위 층(235)으로 형성할 수 있다. The portions 228a and 228b where the first and second compounds 227 and 215b are adsorbed on the surface of the structure 210 exposed by the empty region 221v are discontinuous in the first preliminary unit layer. 222 may be formed as the second preliminary unit layer 235.

또한, 상기 제1 화합물(227)이 형성되면서 상기 과흡착 부분(220b)이 분리되어 제거됨으로써, 상기 제1 예비 단위 층(222)을 일정한 두께를 갖는 제2 예비 단위 층(235)으로 형성할 수 있다. 따라서, 상기 제1 예비 단위 층(222)은 불균일한 두께를 갖도록 형성되지만, 상기 제2 예비 단위 층(235)는 상기 제1 예비 단위 층(222)에 비하여 균일한 두께를 갖도록 형성될 수 있다.In addition, the first adsorption portion 220b is separated and removed while the first compound 227 is formed, thereby forming the first preliminary unit layer 222 as the second preliminary unit layer 235 having a predetermined thickness. Can be. Accordingly, the first preliminary unit layer 222 may be formed to have a non-uniform thickness, but the second preliminary unit layer 235 may be formed to have a uniform thickness than the first preliminary unit layer 222. .

상기 제1 예비 단위 층(222)은 상기 제1 전구체 물질(215)이 상기 구조물(210)을 갖는 기판 상에 흡착되어 형성될 수 있고, 상기 제2 예비 단위 층(235)은 상기 제1 전구체 물질(215)과 상기 막-제어 물질(225)이 추가 배위 결합하여 형성된 물질로 형성될 수 있다. 따라서, 상기 제2 예비 단위 층(235)은 상기 제1 예비 단위 층(222)에 비하여 상기 막-제어 물질(225)을 더 포함할 수 있다. 상기 제2 예비 단위 층(235)은 중심 원자(235M) 및 상기 중심 원자(235M)와 결합한 복수의 리간드들(235La, 235Lb, 225L)을 포함할 수 있다. 여기서, 복수의 리간드들(235La, 235Lb, 225L) 중 하나(225L)는 상기 막-제어 물질(225)이 상기 중심 원자(235M)에 결합되어 형성된 것일 수 있다.The first preliminary unit layer 222 may be formed by adsorbing the first precursor material 215 on a substrate having the structure 210, and the second preliminary unit layer 235 may be formed of the first precursor. The material 215 and the film-control material 225 may be formed of a material formed by further coordination coupling. Thus, the second preliminary unit layer 235 may further include the membrane-controlling material 225 as compared to the first preliminary unit layer 222. The second preliminary unit layer 235 may include a center atom 235M and a plurality of ligands 235L a , 235L b , and 225L bonded to the center atom 235M. Here, one of the plurality of ligands 235L a , 235L b , and 225L 225L may be formed by coupling the membrane-controlling material 225 to the central atom 235M.

도 1, 도 8, 도 22, 도 23 및 도 28을 참조하면, 상기 제2 예비 단위 층(235)을 갖는 반도체 기판(200)이 위치하는 공정 챔버(10)를 퍼지할 수 있다. (S315) 1, 8, 22, 23, and 28, the process chamber 10 in which the semiconductor substrate 200 having the second preliminary unit layer 235 is located may be purged. (S315)

상기 퍼지된 공정 챔버(10) 내에 제2 공정 물질(240)을 공급하여 상기 제2 예비 단위 층(235)을 단위 층(245)으로 형성할 수 있다. (S320) 상기 제2 예비 단위 층(235)을 상기 단위 층(245)으로 형성하면서 반응 부산물(247)이 발생할 수 있다. 상기 제2 공정 물질(240)이 상기 제2 예비 단위 층(235)의 중심 원자와 반응하여 상기 단위 층(245)이 형성될 수 있다. 따라서, 상기 제2 예비 단위 층(235)의 상기 중심 원자 이외의 물질, 예를 들어 상기 제2 예비 단위 층(235)을 구성하는 상기 전구체 물질(215)의 상기 리간드 및 상기 막-제어 물질(225)은 상기 제2 예비 단위 층(235)으로부터 분리되어 상기 반응 부산물(247)을 구성할 수 있다.The second preliminary unit layer 235 may be formed as the unit layer 245 by supplying a second process material 240 into the purged process chamber 10. The reaction byproduct 247 may occur while forming the second preliminary unit layer 235 as the unit layer 245. The unit layer 245 may be formed by reacting the second process material 240 with a central atom of the second preliminary unit layer 235. Accordingly, the ligand and the film-controlling material of the precursor material 215 constituting the material other than the central atom of the second preliminary unit layer 235, for example, the second preliminary unit layer 235 ( 225 may be separated from the second preliminary unit layer 235 to constitute the reaction byproduct 247.

상기 제2 공정 물질(240)은 도 8에서 설명한 것과 같이 상기 제2 공정 물질 공급 장치(80)로부터 상기 공정 챔버(10) 내로 공급될 수 있다. 상기 단위 층(245)이 금속-산화물 인 경우에, 상기 제2 공정 물질(240)은 오존(O3), 산소(O2), 수증기(H2O), 오존 플라즈마 또는 산소 플라즈마를 포함하는 산화제일 수 있다. 예를 들어, 상기 단위 층(245)은 타이타늄-산화물, 지르코늄-산화물 또는 루테늄-산화물 등과 같은 금속 산화물일 수 있다. 상기 단위 층(245)이 타이타늄 질화물 등과 같은 금속-질화물 인 경우에, 상기 제2 공정 물질(240)은 암모니아(NH3), 이산화질소(NO2) 또는 산화질소(N2O) 등과 같은 반응 가스일 수 있다.As described above with reference to FIG. 8, the second process material 240 may be supplied into the process chamber 10 from the second process material supply device 80. When the unit layer 245 is a metal-oxide, the second process material 240 includes ozone (O 3 ), oxygen (O 2 ), water vapor (H 2 O), ozone plasma or oxygen plasma. It can be an oxidant. For example, the unit layer 245 may be a metal oxide such as titanium oxide, zirconium oxide, or ruthenium oxide. When the unit layer 245 is a metal-nitride such as titanium nitride or the like, the second process material 240 is a reaction gas such as ammonia (NH 3 ), nitrogen dioxide (NO 2 ) or nitrogen oxide (N 2 O) or the like. Can be.

도 1, 도 8, 도 22, 도 23 및 도 29를 참조하면, 상기 단위 층(245)이 형성된 반도체 기판(200)이 위치하는 상기 공정 챔버(10)를 퍼지할 수 있다. 상기 공정 챔버(10)를 퍼지하면서 상기 반응 부산물(247)이 상기 공정 챔버(10) 외부로 배출되어 제거될 수 있다. 상기 단위 층(245)을 반복적으로 형성하여 원하는 두께의 증착 막(250)을 형성할 수 있다.1, 8, 22, 23, and 29, the process chamber 10 in which the semiconductor substrate 200 on which the unit layer 245 is formed may be purged. The reaction byproduct 247 may be discharged to the outside of the process chamber 10 while purging the process chamber 10. The unit layer 245 may be repeatedly formed to form a deposition film 250 having a desired thickness.

도 30은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다. 도 8 및 도 9와 함께 도 30을 참조하여, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 설명하기로 한다. 30 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept. Another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept will be described with reference to FIG. 30 along with FIGS. 8 and 9.

도 8, 도 9 및 도 30을 참조하면, 상기 공정 챔버(10) 내에 막-제어 물질을 제1 전구체 보다 먼저 공급을 시작하고, 상기 막-제어 물질이 공급되는 동안에 상기 제1 전구체의 공급을 시작하고, 상기 막-제어 물질의 공급을 중단한 후에, 상기 제1 전구체의 공급을 중단할 수 있다. 따라서, 상기 막-제어 물질과 상기 제1 전구체는 일정 시간동안 상기 공정 챔버(10) 내에 함께 공급될 수 있다. 따라서, 상기 공정 챔버(10) 내에 상기 막-제어 물질 및 상기 제1 전구체 물질을 포함하는 제1 공정 물질을 공급하여 반도체 기판 상에 예비 단위 층을 형성할 수 있다. (S110) 상기 공정 챔버(10)를 퍼지할 수 있다. (S115) 상기 퍼지된 공정 챔버(10) 내에 제2 공정 물질을 공급하여 상기 예비 단위 층을 단위 층으로 형성할 수 있다. (S120) 상기 단위 층이 형성된 반도체 기판이 위치하는 공정 챔버(10)를 퍼지할 수 이다. (S125) 원하는 증착 막 두께에 도달하지 않았을 때, 상기 단위 층을 형성하는 것을 1주기로 하는 공정을 반복적으로 진행할 수 있다. 따라서, 원하는 증착 막 두께에 도달하였을 때, 증착 막이 형성된 반도체 기판을 상기 공정 챔버(10)로부터 언로딩할 수 있다.8, 9 and 30, the supply of the film-controlled material into the process chamber 10 before the first precursor is started, and the supply of the first precursor is supplied while the film-controlled material is supplied. After starting and stopping the supply of the film-controlled material, the supply of the first precursor may be stopped. Thus, the film-controlling material and the first precursor may be supplied together in the process chamber 10 for a period of time. Therefore, a preliminary unit layer may be formed on the semiconductor substrate by supplying a first process material including the film control material and the first precursor material to the process chamber 10. (S110) The process chamber 10 may be purged. The preliminary unit layer may be formed as a unit layer by supplying a second process material into the purged process chamber 10. The process chamber 10 in which the semiconductor substrate on which the unit layer is formed is located may be purged. When the desired deposition film thickness is not reached, the process of forming the unit layer as one cycle may be repeatedly performed. Therefore, when the desired deposition film thickness is reached, the semiconductor substrate on which the deposition film is formed can be unloaded from the process chamber 10.

도 31은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다. 도 8 및 도 9와 함께 도 31을 참조하여, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 설명하기로 한다. 31 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept. Another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept will be described with reference to FIG. 31 along with FIGS. 8 and 9.

도 8, 도 9 및 도 31을 참조하면, 상기 공정 챔버(10) 내에 제1 전구체 물질을 막-제어 물질 보다 먼저 공급을 시작하고, 상기 제1 전구체가 공급되는 동안에 상기 막-제어 물질의 공급을 시작하고, 상기 제1 전구체의 공급을 중단한 후에, 상기 막-제어 물질의 공급을 중단할 수 있다. 따라서, 상기 막-제어 물질과 상기 제1 전구체는 일정 시간동안 상기 공정 챔버(10) 내에 함께 공급될 수 있다. 따라서, 상기 공정 챔버(10) 내에 상기 막-제어 물질 및 상기 제1 전구체 물질을 포함하는 제1 공정 물질을 공급하여 반도체 기판 상에 예비 단위 층을 형성할 수 있다. (S110) 상기 공정 챔버(10)를 퍼지할 수 있다. (S115) 상기 퍼지된 공정 챔버(10) 내에 제2 공정 물질을 공급하여 상기 예비 단위 층을 단위 층으로 형성할 수 있다. (S120) 상기 단위 층이 형성된 반도체 기판이 위치하는 공정 챔버(10)를 퍼지할 수 이다. (S125) 원하는 증착 막 두께에 도달하지 않았을 때, 상기 단위 층을 형성하는 것을 1주기로 하는 공정을 반복적으로 진행할 수 있다. 따라서, 원하는 증착 막 두께에 도달하였을 때, 증착 막이 형성된 반도체 기판을 상기 공정 챔버(10)로부터 언로딩할 수 있다.8, 9 and 31, the supply of the first precursor material into the process chamber 10 before the film-controlled material is started, and the supply of the film-controlled material while the first precursor is supplied. After starting and stopping the supply of the first precursor, the supply of the film-controlled material may be stopped. Thus, the film-controlling material and the first precursor may be supplied together in the process chamber 10 for a period of time. Therefore, a preliminary unit layer may be formed on the semiconductor substrate by supplying a first process material including the film control material and the first precursor material to the process chamber 10. (S110) The process chamber 10 may be purged. The preliminary unit layer may be formed as a unit layer by supplying a second process material into the purged process chamber 10. The process chamber 10 in which the semiconductor substrate on which the unit layer is formed is located may be purged. When the desired deposition film thickness is not reached, the process of forming the unit layer as one cycle may be repeatedly performed. Therefore, when the desired deposition film thickness is reached, the semiconductor substrate on which the deposition film is formed can be unloaded from the process chamber 10.

도 32는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다. 도 8 및 도 9와 함께 도 32를 참조하여, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 설명하기로 한다. 32 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept. Another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept will be described with reference to FIG. 32 along with FIGS. 8 and 9.

도 8, 도 9 및 도 32를 참조하면, 상기 공정 챔버(10) 내에 제1 전구체와 막-제어 물질을 동시에 공급할 수 있다. 상기 제1 전구체와 상기 막-제어 물질은 상기 공정 챔버(10) 내에 동시에 공급이 시작되고 동시에 공급이 중단될 수 있다. 따라서, 상기 공정 챔버(10) 내에 상기 막-제어 물질 및 상기 제1 전구체 물질을 포함하는 제1 공정 물질을 공급하여 반도체 기판 상에 예비 단위 층을 형성할 수 있다. (S110) 상기 공정 챔버(10)를 퍼지할 수 있다. (S115) 상기 퍼지된 공정 챔버(10) 내에 제2 공정 물질을 공급하여 상기 예비 단위 층을 단위 층으로 형성할 수 있다. (S120) 상기 단위 층이 형성된 반도체 기판이 위치하는 공정 챔버(10)를 퍼지할 수 이다. (S125) 원하는 증착 막 두께에 도달하지 않았을 때, 상기 단위 층을 형성하는 것을 1주기로 하는 공정을 반복적으로 진행할 수 있다. 따라서, 원하는 증착 막 두께에 도달하였을 때, 증착 막이 형성된 반도체 기판을 상기 공정 챔버(10)로부터 언로딩할 수 있다.8, 9, and 32, a first precursor and a film-controlled material may be simultaneously supplied into the process chamber 10. The first precursor and the film-controlled material may be simultaneously supplied to the process chamber 10 and may be stopped at the same time. Therefore, a preliminary unit layer may be formed on the semiconductor substrate by supplying a first process material including the film control material and the first precursor material to the process chamber 10. (S110) The process chamber 10 may be purged. The preliminary unit layer may be formed as a unit layer by supplying a second process material into the purged process chamber 10. The process chamber 10 in which the semiconductor substrate on which the unit layer is formed is located may be purged. When the desired deposition film thickness is not reached, the process of forming the unit layer as one cycle may be repeatedly performed. Therefore, when the desired deposition film thickness is reached, the semiconductor substrate on which the deposition film is formed can be unloaded from the process chamber 10.

도 33은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다. 도 8 및 도 9와 함께 도 33을 참조하여, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 설명하기로 한다. 33 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept. Referring to FIG. 33 along with FIGS. 8 and 9, another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept will be described.

도 8, 도 9 및 도 33을 참조하면, 상기 공정 챔버(10) 내에 막-제어 물질을 제1 전구체 보다 먼저 공급을 시작하고, 상기 막-제어 물질이 공급되는 동안에 상기 제1 전구체의 공급을 시작하고, 상기 막-제어 물질과 상기 제1 전구체의 공급을 동시에 중단할 수 있다. 따라서, 상기 공정 챔버(10) 내에 상기 막-제어 물질 및 상기 제1 전구체 물질을 포함하는 제1 공정 물질을 공급하여 반도체 기판 상에 예비 단위 층을 형성할 수 있다. (S110) 상기 공정 챔버(10)를 퍼지할 수 있다. (S115) 상기 퍼지된 공정 챔버(10) 내에 제2 공정 물질을 공급하여 상기 예비 단위 층을 단위 층으로 형성할 수 있다. (S120) 상기 단위 층이 형성된 반도체 기판이 위치하는 공정 챔버(10)를 퍼지할 수 이다. (S125) 원하는 증착 막 두께에 도달하지 않았을 때, 상기 단위 층을 형성하는 것을 1주기로 하는 공정을 반복적으로 진행할 수 있다. 따라서, 원하는 증착 막 두께에 도달하였을 때, 증착 막이 형성된 반도체 기판을 상기 공정 챔버(10)로부터 언로딩할 수 있다.8, 9 and 33, the supply of the film-controlled material into the process chamber 10 before the first precursor is started, and the supply of the first precursor is supplied while the film-controlled material is supplied. Start and stop the supply of the film-controlling material and the first precursor simultaneously. Therefore, a preliminary unit layer may be formed on the semiconductor substrate by supplying a first process material including the film control material and the first precursor material to the process chamber 10. (S110) The process chamber 10 may be purged. The preliminary unit layer may be formed as a unit layer by supplying a second process material into the purged process chamber 10. The process chamber 10 in which the semiconductor substrate on which the unit layer is formed is located may be purged. When the desired deposition film thickness is not reached, the process of forming the unit layer as one cycle may be repeatedly performed. Therefore, when the desired deposition film thickness is reached, the semiconductor substrate on which the deposition film is formed can be unloaded from the process chamber 10.

도 34는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다. 도 8 및 도 9와 함께 도 34를 참조하여, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 설명하기로 한다. 34 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept. Another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept will be described with reference to FIG. 34 along with FIGS. 8 and 9.

도 8, 도 9 및 도 34를 참조하면, 상기 공정 챔버(10) 내에 막-제어 물질을 제1 전구체 보다 먼저 공급하고, 상기 막-제어 물질의 공급을 중단하면서 상기 제1 전구체 물질을 공급하고, 상기 제1 전구체의 공급을 중단하면서 상기 막-제어 물질을 공급할 수 있다. 상기 공정 챔버(10) 내에 상기 막-제어 물질을 두 번에 걸쳐서 공급하면서 상기 막-제어 물질이 공급되지 않는 기간 동안에 상기 제1 전구체 물질을 공급할 수 있다. 따라서, 상기 공정 챔버(10) 내에 상기 막-제어 물질 및 상기 제1 전구체 물질을 포함하는 제1 공정 물질을 공급하여 반도체 기판 상에 예비 단위 층을 형성할 수 있다. (S110) 상기 공정 챔버(10)를 퍼지할 수 있다. (S115) 상기 퍼지된 공정 챔버(10) 내에 제2 공정 물질을 공급하여 상기 예비 단위 층을 단위 층으로 형성할 수 있다. (S120) 상기 단위 층이 형성된 반도체 기판이 위치하는 공정 챔버(10)를 퍼지할 수 이다. (S125) 원하는 증착 막 두께에 도달하지 않았을 때, 상기 단위 층을 형성하는 것을 1주기로 하는 공정을 반복적으로 진행할 수 있다. 따라서, 원하는 증착 막 두께에 도달하였을 때, 증착 막이 형성된 반도체 기판을 상기 공정 챔버(10)로부터 언로딩할 수 있다.8, 9, and 34, the film-controlled material is supplied to the process chamber 10 before the first precursor, and the supply of the first precursor material is stopped while the supply of the film-controlled material is stopped. The film-controlling material may be supplied while the supply of the first precursor is stopped. The first precursor material may be supplied during the period in which the film control material is not supplied while supplying the film control material twice in the process chamber 10. Therefore, a preliminary unit layer may be formed on the semiconductor substrate by supplying a first process material including the film control material and the first precursor material to the process chamber 10. (S110) The process chamber 10 may be purged. The preliminary unit layer may be formed as a unit layer by supplying a second process material into the purged process chamber 10. The process chamber 10 in which the semiconductor substrate on which the unit layer is formed is located may be purged. When the desired deposition film thickness is not reached, the process of forming the unit layer as one cycle may be repeatedly performed. Therefore, when the desired deposition film thickness is reached, the semiconductor substrate on which the deposition film is formed can be unloaded from the process chamber 10.

도 35는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다. 도 8 및 도 9와 함께 도 35를 참조하여, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 설명하기로 한다. 35 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept. Another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept will be described with reference to FIG. 35 along with FIGS. 8 and 9.

도 8, 도 9 및 도 35를 참조하면, 상기 공정 챔버(10) 내에 상기 막-제어 물질을 공급하는 중간에 제1 전구체 물질을 공급할 수 있다. 상기 공정 챔버(10) 내에 상기 막-제어 물질을 상기 전구체 보다 먼저 공급하고, 일정 시간 후에 상기 제1 전구체 물질을 상기 막-제어 물질과 같이 공급하고, 상기 제1 전구체의 공급을 중단한 후에도 상기 막-제어 물질을 공급할 수 있다. 따라서, 상기 공정 챔버(10) 내에 상기 막-제어 물질 및 상기 제1 전구체 물질을 포함하는 제1 공정 물질을 공급하여 반도체 기판 상에 예비 단위 층을 형성할 수 있다. (S110) 상기 공정 챔버(10)를 퍼지할 수 있다. (S115) 상기 퍼지된 공정 챔버(10) 내에 제2 공정 물질을 공급하여 상기 예비 단위 층을 단위 층으로 형성할 수 있다. (S120) 상기 단위 층이 형성된 반도체 기판이 위치하는 공정 챔버(10)를 퍼지할 수 이다. (S125) 원하는 증착 막 두께에 도달하지 않았을 때, 상기 단위 층을 형성하는 것을 1주기로 하는 공정을 반복적으로 진행할 수 있다. 따라서, 원하는 증착 막 두께에 도달하였을 때, 증착 막이 형성된 반도체 기판을 상기 공정 챔버(10)로부터 언로딩할 수 있다.8, 9, and 35, a first precursor material may be supplied in the middle of supplying the film-controlled material into the process chamber 10. The film-controlled material is supplied to the process chamber 10 before the precursor, and after a predetermined time, the first precursor material is supplied together with the film-controlled material, and even after the supply of the first precursor is stopped. Membrane-controlled materials can be supplied. Therefore, a preliminary unit layer may be formed on the semiconductor substrate by supplying a first process material including the film control material and the first precursor material to the process chamber 10. (S110) The process chamber 10 may be purged. The preliminary unit layer may be formed as a unit layer by supplying a second process material into the purged process chamber 10. The process chamber 10 in which the semiconductor substrate on which the unit layer is formed is located may be purged. When the desired deposition film thickness is not reached, the process of forming the unit layer as one cycle may be repeatedly performed. Therefore, when the desired deposition film thickness is reached, the semiconductor substrate on which the deposition film is formed can be unloaded from the process chamber 10.

도 36은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 나타내는 가스 펄싱 다이어그램이다. 도 8 및 도 9와 함께 도 36을 참조하여, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조 방법의 또 다른 예를 설명하기로 한다. 36 is a gas pulsing diagram illustrating still another example of a method of manufacturing a semiconductor device in accordance with an embodiment of the inventive concept. Another example of a method of manufacturing a semiconductor device according to an embodiment of the inventive concept will be described with reference to FIG. 36 along with FIGS. 8 and 9.

도 8, 도 9 및 도 36을 참조하면, 상기 공정 챔버(10) 내에 막-제어 물질과 제1 전구체 물질을 동시에 공급을 시작하고, 상기 막-제어 물질을 상기 제1 전구체 보다 긴 시간 동안 공급할 수 있다. 따라서, 상기 공정 챔버(10) 내에 상기 막-제어 물질 및 상기 제1 전구체 물질을 포함하는 제1 공정 물질을 공급하여 반도체 기판 상에 예비 단위 층을 형성할 수 있다. (S110) 상기 공정 챔버(10)를 퍼지할 수 있다. (S115) 상기 퍼지된 공정 챔버(10) 내에 제2 공정 물질을 공급하여 상기 예비 단위 층을 단위 층으로 형성할 수 있다. (S120) 상기 단위 층이 형성된 반도체 기판이 위치하는 공정 챔버(10)를 퍼지할 수 이다. (S125) 원하는 증착 막 두께에 도달하지 않았을 때, 상기 단위 층을 형성하는 것을 1주기로 하는 공정을 반복적으로 진행할 수 있다. 따라서, 원하는 증착 막 두께에 도달하였을 때, 증착 막이 형성된 반도체 기판을 상기 공정 챔버(10)로부터 언로딩할 수 있다. 8, 9, and 36, the film-control material and the first precursor material may be simultaneously supplied into the process chamber 10, and the film-control material may be supplied for a longer time than the first precursor. Can be. Therefore, a preliminary unit layer may be formed on the semiconductor substrate by supplying a first process material including the film control material and the first precursor material to the process chamber 10. (S110) The process chamber 10 may be purged. The preliminary unit layer may be formed as a unit layer by supplying a second process material into the purged process chamber 10. The process chamber 10 in which the semiconductor substrate on which the unit layer is formed is located may be purged. When the desired deposition film thickness is not reached, the process of forming the unit layer as one cycle may be repeatedly performed. Therefore, when the desired deposition film thickness is reached, the semiconductor substrate on which the deposition film is formed can be unloaded from the process chamber 10.

도 37은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법에 따라 형성된 반도체 소자의 일 예를 나타낸 단면도이다.37 is a cross-sectional view illustrating an example of a semiconductor device formed by a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.

도 37을 참조하면, 반도체 기판(300) 상에 수직한 측면을 갖는 구조물(325)을 형성할 수 있다. 상기 구조물(325)을 형성하는 것은 몰드 절연 막(310)을 형성하고, 상기 몰드 절연 막(310)을 관통하는 개구부(310a)를 형성하고, 상기 개구부(310a)의 측면 및 바닥면을 덮은 제1 전극(320)을 형성하는 것을 포함할 수 있다. 상기 제1 전극(320)은 타이타늄 질화물(TiN) 등과 같은 도전성 물질로 형성할 수 있다. 상기 제1 전극(320)은 본 발명의 기술적 사상의 실시예들에 따른 증착 막 형성 방법을 이용하여 형성할 수 있다. Referring to FIG. 37, a structure 325 having a vertical side surface may be formed on the semiconductor substrate 300. Forming the structure 325 forms a mold insulating film 310, an opening 310a penetrating through the mold insulating film 310, and covers side and bottom surfaces of the opening 310a. It may include forming the first electrode 320. The first electrode 320 may be formed of a conductive material such as titanium nitride (TiN). The first electrode 320 may be formed using a deposition film formation method according to embodiments of the inventive concept.

상기 구조물(325)은 상부 면(325t), 상부 측면 부분(325s1) 및 하부 측면 부분(325s2)을 가질 수 있다. 상기 상부 측면 부분(325s1)은 상기 구조물(325)의 상부 영역에 위치하는 측면 부분을 의미하고, 상기 하부 측면 부분(325s2)은 상기 구조물(325)의 하부 영역에 위치하는 측면 부분을 의미할 수 있다.The structure 325 may have an upper surface 325t, an upper side portion 325s1, and a lower side portion 325s2. The upper side portion 325s1 may mean a side portion positioned in an upper region of the structure 325, and the lower side portion 325s2 may mean a side portion positioned in a lower region of the structure 325. have.

상기 구조물(325)을 갖는 반도체 기판 상에 커패시터 유전 막(330)을 형성할 수 있다. 상기 커패시터 유전 막(330)은 본 발명의 기술적 사상의 실시예들에 따라 형성된 증착 막일 수 있다. 예를 들어, 상기 커패시터 유전 막(330)은 도 1 내지 도 34에서 설명한 본 발명의 기술적 사상의 실시예들 중 어느 하나의 실시예에 따라 형성된 증착 막일 수 있다. The capacitor dielectric layer 330 may be formed on the semiconductor substrate having the structure 325. The capacitor dielectric film 330 may be a deposition film formed according to embodiments of the inventive concept. For example, the capacitor dielectric film 330 may be a deposition film formed according to any one of the embodiments of the inventive concept described with reference to FIGS. 1 to 34.

상기 커패시터 유전 막(330)을 갖는 기판 상에 제2 전극(340)을 형성할 수 있다. 상기 제2 전극(340)은 본 발명의 기술적 사상의 실시예들에 따른 증착 막 형성 방법을 이용하여 형성할 수 있다. 상기 제1 전극(320), 상기 증착 막(330) 및 상기 제2 전극(340)은 커패시터를 구성할 수 있다.The second electrode 340 may be formed on the substrate having the capacitor dielectric layer 330. The second electrode 340 may be formed using a deposition film forming method according to embodiments of the inventive concept. The first electrode 320, the deposition film 330, and the second electrode 340 may constitute a capacitor.

도 38은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법에 따라 형성된 반도체 소자의 다른 예를 나타낸 단면도이다.38 is a cross-sectional view illustrating another example of a semiconductor device formed according to a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.

도 38을 참조하면, 반도체 기판(400)을 준비할 수 있다. 상기 반도체 기판(400)은 모스 트랜지스터 등과 같은 집적 회로를 포함할 수 있다. Referring to FIG. 38, a semiconductor substrate 400 may be prepared. The semiconductor substrate 400 may include an integrated circuit such as a MOS transistor.

상기 반도체 기판(400) 상에 층간 절연 막(405)을 형성할 수 있다. 상기 층간 절연 막(405)을 관통하는 도전성 플러그들(410)을 형성할 수 있다.An interlayer insulating film 405 may be formed on the semiconductor substrate 400. Conductive plugs 410 penetrating the interlayer insulating film 405 may be formed.

상기 층간 절연 막(405) 상에 상기 도전성 플러그들(410)과 전기적으로 연결된 제1 전극들(420)을 형성할 수 있다. First electrodes 420 electrically connected to the conductive plugs 410 may be formed on the interlayer insulating layer 405.

상기 제1 전극들(420)을 형성하는 것은 상기 도전성 플러그들(410)을 갖는 기판 상에 희생 몰드 막을 형성하고, 상기 희생 몰딩 막을 관통하는 홀들을 형성하고, 상기 홀들을 갖는 기판 상에 제1 전극 막을 형성하고, 상기 희생 몰딩 막이 노출되도록 상기 제1 전극 막을 평탄화하여 상기 홀들 내에 잔존하는 상기 제1 전극들(420)을 형성하고, 상기 희생 몰딩 막을 제거하는 것을 포함할 수 있다. 여기서, 상기 제1 전극 막은 본 발명의 기술적 사상의 실시예들에 따른 증착 막 형성 방법을 이용하여 형성할 수 있다. 예를 들어, 상기 제1 전극 막은 타이타늄 전구체 및 상기 타이타늄 전구체의 리간드의 수소 화합물을 이용하는 증착 공정에 의해 형성될 수 있다. Forming the first electrodes 420 forms a sacrificial mold film on the substrate having the conductive plugs 410, forms holes penetrating the sacrificial molding film, and forms a first on the substrate having the holes. The method may include forming an electrode film, planarizing the first electrode film to expose the sacrificial molding film, forming the first electrodes 420 remaining in the holes, and removing the sacrificial molding film. Here, the first electrode film may be formed using a deposition film forming method according to embodiments of the inventive concept. For example, the first electrode film may be formed by a deposition process using a hydrogen compound of a titanium precursor and a ligand of the titanium precursor.

상기 제1 전극들(420)을 갖는 기판 상에 커패시터 유전 막(430)을 형성할 수 있다. 상기 커패시터 유전 막(430)은 본 발명의 기술적 사상의 실시예들에 따른 증착 막 형성 방법을 이용하여 형성할 수 있다. 상기 커패시터 유전 막(430)을 갖는 기판 상에 제2 전극(440)을 형성할 수 있다. 상기 제2 전극(430)은 본 발명의 기술적 사상의 실시예들에 따른 증착 막 형성 방법을 이용하여 형성할 수 있다. The capacitor dielectric layer 430 may be formed on the substrate having the first electrodes 420. The capacitor dielectric film 430 may be formed using a deposition film forming method according to embodiments of the inventive concept. The second electrode 440 may be formed on the substrate having the capacitor dielectric layer 430. The second electrode 430 may be formed using a deposition film formation method according to embodiments of the inventive concept.

도 39는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법에 따라 형성된 반도체 소자의 또 다른 예를 나타낸 단면도이다.39 is a cross-sectional view illustrating still another example of a semiconductor device formed according to a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.

도 39를 참조하면, 반도체 기판(500) 상에 층간 절연 막(505)을 형성할 수 있다. 상기 층간 절연 막(505)을 관통하는 도전성 플러그들(510)을 형성할 수 있다.Referring to FIG. 39, an interlayer insulating film 505 may be formed on the semiconductor substrate 500. Conductive plugs 510 penetrating the interlayer insulating film 505 may be formed.

상기 층간 절연 막(505) 상에 상기 도전성 플러그들(510)과 전기적으로 연결된 실린더 형상의 제1 전극들(520)을 형성할 수 있다. 상기 제1 전극들(520)은 본 발명의 기술적 사상의 실시예들에 따른 증착 막 형성 방법을 이용하여 형성할 수 있다. 상기 제1 전극들(520)을 갖는 기판 상에 커패시터 유전 막(530)을 형성할 수 있다. 상기 커패시터 유전 막(530)은 본 발명의 기술적 사상의 실시예들에 따른 증착 막 형성 방법을 이용하여 형성할 수 있다. 상기 커패시터 유전 막(530)을 갖는 기판 상에 제2 전극(540)을 형성할 수 있다. 상기 제2 전극(530)은 본 발명의 기술적 사상의 실시예들에 따른 증착 막 형성 방법을 이용하여 형성할 수 있다. Cylindrical first electrodes 520 electrically connected to the conductive plugs 510 may be formed on the interlayer insulating layer 505. The first electrodes 520 may be formed using a deposition film formation method according to embodiments of the inventive concept. The capacitor dielectric layer 530 may be formed on the substrate having the first electrodes 520. The capacitor dielectric film 530 may be formed using a deposition film forming method according to embodiments of the inventive concept. The second electrode 540 may be formed on the substrate having the capacitor dielectric layer 530. The second electrode 530 may be formed using a deposition film forming method according to embodiments of the inventive concept.

도 40은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법에 따라 형성된 반도체 소자의 또 다른 예를 나타낸 단면도이다.40 is a cross-sectional view illustrating still another example of a semiconductor device formed according to a method of manufacturing a semiconductor device according to an embodiment of the inventive concept.

도 40을 참조하면, 반도체 기판(600) 상에 수직적으로 적층된 층간 절연 막들(610)이 제공될 수 있다. 상기 층간 절연 막들(610) 사이에 개재된 도전성 패턴들(670)이 제공될 수 있다. Referring to FIG. 40, interlayer insulating layers 610 stacked vertically on the semiconductor substrate 600 may be provided. Conductive patterns 670 may be interposed between the interlayer insulating layers 610.

상기 도전성 패턴들(670)과 상기 층간 절연 막들(610)을 관통하는 수직 구조체(640)가 제공될 수 있다. 상기 수직 구조체(640)는 코어 패턴(625), 패드 패턴(630), 상기 코어 패턴(625)의 측면을 둘러싸며 상기 패드 패턴(630)의 측면 상으로 연장된 외측 패턴(620)을 포함할 수 있다. A vertical structure 640 penetrating the conductive patterns 670 and the interlayer insulating layers 610 may be provided. The vertical structure 640 may include a core pattern 625, a pad pattern 630, and an outer pattern 620 that extends on a side surface of the pad pattern 630 and surrounds a side surface of the core pattern 625. Can be.

상기 코어 패턴(625)은 실리콘 산화물 등과 같은 절연성 물질로 형성될 수 있다. 상기 코어 패턴(625)을 ALD 방법에 의한 유전체로 형성하는 경우에, 상기 코어 패턴(625)은 본 발명의 기술적 사상의 실시예들에 따른 반도체 소자의 제조 방법을 이용하여 형성할 수 있다. The core pattern 625 may be formed of an insulating material such as silicon oxide. When the core pattern 625 is formed of a dielectric by an ALD method, the core pattern 625 may be formed using a method of manufacturing a semiconductor device according to embodiments of the inventive concept.

상기 패드 패턴(630)은 상기 코어 패턴(625) 상에 위치하며 상기 도전성 패턴들(670) 중 최상위 도전성 패턴 보다 높은 레벨에 위치할 수 있다. 상기 패드 패턴(630)은 도우프트 폴리 실리콘 등과 같은 도전성 물질로 형성될 수 있다. The pad pattern 630 may be located on the core pattern 625 and at a level higher than the highest conductive pattern of the conductive patterns 670. The pad pattern 630 may be formed of a conductive material such as doped polysilicon.

상기 외측 패턴(620)은 트랜지스터의 채널 역할을 할 수 있는 반도체 패턴을 포함할 수 있다. 예를 들어, 상기 외측 패턴(620)은 실리콘 등과 같은 반도체 물질을 포함할 수 있다. 상기 외측 패턴(620)에서 상기 도전성 패턴들(670)과 가까운 부분은 유전체를 포함할 수 있다. 상기 유전체는 트랜지스터의 터널 산화막 역할을 할 수 있는 물질, 예를 들어 실리콘 산화물을 포함할 수 있다. 상기 유전체는 플래쉬 메모리 소자의 정보를 저장할 수 있는 물질, 예를 들어 실리콘 질화물 또는 고유전체를 포함할 수 있다. 상기 유전체는 본 발명의 기술적 사상의 실시예들에 따른 반도체 소자의 제조방법을 이용하여 형성할 수 있다. The outer pattern 620 may include a semiconductor pattern that may serve as a channel of a transistor. For example, the outer pattern 620 may include a semiconductor material such as silicon. A portion of the outer pattern 620 that is close to the conductive patterns 670 may include a dielectric. The dielectric may include a material that can serve as a tunnel oxide film of a transistor, for example, silicon oxide. The dielectric may include a material capable of storing information of the flash memory device, for example, silicon nitride or a high dielectric material. The dielectric may be formed using a method of manufacturing a semiconductor device in accordance with embodiments of the inventive concept.

한편, 상기 도전성 패턴들(670)은 금속 질화막 및/또는 금속 막을 포함할 수 있다. 예를 들어, 상기 도전성 패턴들(670)의 각각은 금속 막 및 상기 금속 막과 상기 층간 절연 막들(610) 사이에 개재된 금속 질화 막으로 형성할 수 있다. 그리고, 상기 금속 질화 막은 상기 금속 막과 상기 수직 구조체(640) 사이로 연장될 수 있다. 상기 도전성 패턴들(670)은 본 발명의 기술적 사상의 실시예들에 따른 반도체 소자의 제조방법을 이용하여 형성할 수 있다. Meanwhile, the conductive patterns 670 may include a metal nitride film and / or a metal film. For example, each of the conductive patterns 670 may be formed of a metal film and a metal nitride film interposed between the metal film and the interlayer insulating films 610. In addition, the metal nitride film may extend between the metal film and the vertical structure 640. The conductive patterns 670 may be formed using a method of manufacturing a semiconductor device according to embodiments of the inventive concept.

상기 층간 절연 막(610) 및 상기 수직 구조체(640)를 덮는 캐핑 절연 막(650)이 제공될 수 있다. A capping insulating layer 650 may be provided to cover the interlayer insulating layer 610 and the vertical structure 640.

본 발명의 기술적 사상의 실시예들에 따르면, 막-제어 물질 및 전구체 물질을 포함하는 제1 공정 물질을 공정 챔버 내에 공급하는 것을 포함하는 공정을 이용하여 반도체 기판 상에 증착 막을 형성할 수 있다. 이와 같이 본 발명의 기술적 사상의 실시예들에 따른 반도체 소자의 제조방법에 따라 제조된 반도체소자를 설명하기 위하여 샘플 1-5 및 샘플 6-10을 제작하였다. According to embodiments of the inventive concept, a deposition film may be formed on a semiconductor substrate using a process including supplying a first process material including a film-control material and a precursor material into a process chamber. As described above, Sample 1-5 and Sample 6-10 were manufactured to describe the semiconductor device manufactured according to the method of manufacturing the semiconductor device according to the embodiments of the inventive concept.

이하에서, 샘플 1-5를 제작한 <실험 예 1>과, 샘플 6-10을 제작한 <실험 예 2>를 설명하기로 한다.Hereinafter, <Experimental Example 1> which produced Samples 1-5 and <Experimental Example 2> which produced Samples 6-10 will be described.

<실험 예1><Experimental Example 1>

상기 막-제어 물질을 이용하지 않으면서 상기 전구체 물질을 이용하여 증착 막을 형성한 샘플 1과, 상기 막-제어 물질 및 상기 전구체 물질을 모두 이용하여 형성한 증착 막을 갖는 샘플 2-5를 제작하였다. Sample 2-5 having a deposition film formed by using both the film-controlling material and the precursor material and sample 1 in which a deposition film was formed using the precursor material without using the film-controlling material were prepared.

샘플 1-5은 공정 챔버 내에 반도체 기판을 로딩하고, 상기 반도체 기판 상에 공정 조건을 변화시키면서 증착 막을 형성하고, 상기 증착 막이 형성된 반도체 기판을 공정 챔버로부터 언로딩하는 공정을 수행하여 형성하였다. 여기서, 증착 막을 형성하는 것은 반도체 기판이 위치하는 공정 챔버 내에 제1 공정 물질을 공급하고, 상기 공정 챔버를 첫 번째로 퍼지하고, 상기 공정 챔버 내에 제2 공정 물질로써 산화제인 오존을 공급하고, 상기 공정 챔버를 두 번째로 퍼지하는 것을 1주기로 하는 공정을 반복적으로 수행하는 것을 포함한다. 여기서, 상기 제2 공정 물질로써 산화제인 오존을 이용하였다. Samples 1-5 were formed by loading a semiconductor substrate into a process chamber, forming a deposition film while changing process conditions on the semiconductor substrate, and unloading the semiconductor substrate on which the deposition film was formed from the process chamber. The forming of the deposition film may include supplying a first process material into a process chamber in which a semiconductor substrate is located, purging the process chamber first, supplying ozone as an oxidant as a second process material into the process chamber, and Iteratively performing a process with a second cycle of purging the process chamber. Here, ozone as an oxidant was used as the second process material.

샘플 1-5는 공통적으로 지르코늄 전구체를 포함하는 제1 공정 물질을 이용하여 형성하였다. 샘플 1은 상기 막-제어 물질을 포함하지 않으면서 상기 지르코늄 전구체를 포함하는 제1 공정 물질을 이용하여 형성하였다. 샘플 2-5는 공통적으로 상기 막-제어 물질 및 상기 지르코늄 전구체를 포함하는 제1 공정 물질을 이용하여 형성하였다. Samples 1-5 were formed using a first process material that commonly includes a zirconium precursor. Sample 1 was formed using a first process material that included the zirconium precursor without including the film-control material. Samples 2-5 were commonly formed using a first process material comprising the film-controlling material and the zirconium precursor.

샘플 1-5는 공통적으로 액체 상태의 지르코늄 전구체를 LDS(liquid delivery system) 방식으로 130도의 온도로 기화시켜 상기 공정 챔버 내부로 공급하는 것을 이용하여 형성하였다. 이때, 샘플 1-5에서, 상기 지르코늄 전구체를 이동시키기 위한 캐리어 가스로써 아르곤을 이용하였으며 지르코늄 전구체 캐리어 가스의 유량은 160sccm 이다.Samples 1-5 were formed by vaporizing a liquid zirconium precursor in a liquid delivery system (LDS) to a temperature of 130 degrees and supplying it into the process chamber. In this case, in Sample 1-5, argon was used as a carrier gas for moving the zirconium precursor, and the flow rate of the zirconium precursor carrier gas was 160 sccm.

샘플 1-5는 공통적으로 화학식 CpZr(N(CH3)2)3을 갖는 지르코늄 전구체를 이용하여 형성하였고, 샘플 2-5는 공통적으로 상기 지르코늄 전구체의 리간드의 수소 화합물 DMA(dimethylamine)을 사용하였다. 상기 DMA 는 HN(CH3)2의 화학식을 갖는다. Samples 1-5 were commonly formed using zirconium precursors having the formula CpZr (N (CH 3 ) 2 ) 3 , and samples 2-5 commonly used hydrogen compound DMA (dimethylamine) of the ligand of the zirconium precursor. . The DMA has the formula of HN (CH 3 ) 2 .

샘플 2-5는 공통적으로 상기 막-제어 물질로써 이용되는 상기 DMA(dimethylamine)를 수분 여과기를 거쳐 가스 상태로 상기 공정 챔버 내에 공급하였다. 샘플 2-4에서, 상기 막-제어 물질로 이용하는 상기 DMA는 수분 여과기를 거쳐 가스 상태로 1000 sccm을 공급하였다.Samples 2-5 were commonly fed into the process chamber in gaseous form through a water filter with the dimethylamine (DMA) used as the membrane-controlling material. In Samples 2-4, the DMA used as the membrane control material was fed 1000 sccm in gaseous state through a water filter.

샘플 2-5는 상기 DMA(dimethylamine)를 상기 공정 챔버 내에 공급하는 방법을 변화시키면서 제조하였다.Samples 2-5 were prepared with varying methods of feeding the DMA (dimethylamine) into the process chamber.

샘플 1은 막-제어 물질을 이용하지 않고 지르코늄 전구체를 이용하여 지르코늄 산화물을 형성한 샘플이다. 공정 챔버 내에 상기 지르코늄 전구체를 공급하고, 상기 공정 챔버를 제1 퍼지하고, 산화제로써 오존을 공급하고 상기 공정 챔버를 제2 퍼지하는 것을 1주기로 하는 공정을 136회 반복 수행하여 148Å 두께의 지르코늄 산화막을 갖는 샘플 1을 형성하였다. 샘플 1에서의 지르코늄 산화막의 증착 율(deposition rate)은 1.09 Å/cycle 이다.Sample 1 is a sample in which zirconium oxide was formed using a zirconium precursor without using a film-controlling material. The zirconium oxide film having a thickness of 148 Å was formed by repeatedly performing 136 cycles of supplying the zirconium precursor into the process chamber, first purging the process chamber, supplying ozone as an oxidant, and purging the process chamber a second time. Sample 1 having was formed. The deposition rate of the zirconium oxide film in Sample 1 is 1.09 Å / cycle.

상기 샘플 2는 도 32에서 설명한 것과 같은 본 발명의 기술적 사상의 실시예에 따라 제조된 샘플이다. 도 32에서 설명한 것과 같이, 공정 챔버 내에 지르코늄 전구체와 막-제어 물질을 동시에 공급하고, 이어서 공정 챔버를 첫 번째로 퍼지 한 후, 공정 챔버 내에 산화제 오존을 공급하고, 이어서 상기 공정 챔버를 두 번째로 퍼지하는 것을 1주기로 하는 공정을 157회 반복하여 154 Å 두께의 지르코늄 산화막이 형성된 상기 샘플 2를 형성하였다. 샘플 2에서의 지르코늄 산화막의 증착 율은 0.98 Å/cycle 이다. 샘플 2에서, 상기 지르코늄 전구체와 상기 막-제어 물질은 상기 공정 챔버 내에 동시에 공급이 시작되고 동시에 공급이 중단되었다. Sample 2 is a sample manufactured according to an embodiment of the inventive concept as described with reference to FIG. 32. As described in FIG. 32, the zirconium precursor and the film-controlled material are simultaneously supplied into the process chamber, followed by the first purge of the process chamber, followed by the supply of oxidant ozone into the process chamber, followed by the process chamber second. The sample 2, in which a zirconium oxide film having a thickness of 154 kPa was formed, was repeated 157 times to purge one cycle. The deposition rate of the zirconium oxide film in Sample 2 is 0.98 dl / cycle. In Sample 2, the zirconium precursor and the film-controlled material began to feed into the process chamber at the same time and at the same time stop feeding.

샘플 3은 도 22에서 설명한 것과 같은 본 발명의 기술적 사상의 실시예에 따라 제조된 샘플이다. 도 22에서와 같이, 공정 챔버 내에 지르코늄 전구체를 공급하고, 지르코늄 전구체의 공급을 중단하면서 막-제어 물질을 공급하고, 이어서 공정 챔버를 첫 번째로 퍼지 한 후, 공정 챔버 내에 산화제 오존을 공급하고, 이어서 상기 공정 챔버를 두 번째로 퍼지하는 것을 1주기로 하는 공정을 147회 반복하여 152 Å 두께의 지르코늄 산화막이 형성된 샘플 3을 형성하였다. 샘플 3에서의 지르코늄 산화막의 증착 율은 1.03 Å/cycle 이다.Sample 3 is a sample manufactured according to an embodiment of the inventive concept as described with reference to FIG. 22. As shown in FIG. 22, the zirconium precursor is supplied into the process chamber, the film-controlled material is supplied while the supply of the zirconium precursor is stopped, and then the purge of the process chamber is first performed, and then the oxidant ozone is supplied into the process chamber, Subsequently, the process of purging the process chamber secondly for one cycle was repeated 147 times to form Sample 3 in which a 152 Å thick zirconium oxide film was formed. The deposition rate of the zirconium oxide film in Sample 3 is 1.03 Å / cycle.

샘플 4는 도 10에서 설명한 것과 같은 본 발명의 기술적 사상의 실시예에 따라 제조된 샘플이다. 도 10에서 설명한 것과 같이, 공정 챔버 내에 막-제어 물질을 공급하고, 상기 막-제어 물질의 공급을 중단하면서 상기 공정 챔버 내에 지르코늄 전구체를 공급하고, 이어서 공정 챔버를 첫 번째로 퍼지 한 후, 공정 챔버 내에 산화제 오존을 공급하고, 이어서 상기 공정 챔버를 두 번째로 퍼지하는 것을 1주기로 하는 공정을 155회 반복하여 146 Å 두께의 지르코늄 산화막이 형성된 상기 샘플 4를 형성하였다. 상기 샘플 4에서의 지르코늄 산화막의 증착 율은 0.64 Å/cycle 이다. Sample 4 is a sample manufactured according to an embodiment of the inventive concept as described in FIG. 10. As described in FIG. 10, a film-controlled material is supplied into the process chamber, a zirconium precursor is supplied into the process chamber while the supply of the film-controlled material is stopped, and then the process chamber is first purged. The sample 4 in which a zirconium oxide film having a thickness of 146 kPa was formed was repeated 155 times by supplying an oxidizing agent ozone into the chamber and then purging the process chamber a second time. The deposition rate of the zirconium oxide film in the sample 4 is 0.64 Å / cycle.

샘플 5는 도 36에서 설명한 것과 같은 본 발명의 기술적 사상의 실시예에 따라 제조된 샘플이다. 도 36에서 설명한 것과 같이, 공정 챔버 내에 막-제어 물질 및 지르코늄 전구체를 동시에 공급하고, 상기 막-제어 물질의 공급을 계속하면서 상기 지르코늄 전구체의 공급을 중단하고, 상기 지르코늄 전구체의 공급을 중단한 후에 상기 막-제어 물질의 공급을 중단하고, 이어서 공정 챔버를 첫 번째로 퍼지 한 후, 공정 챔버 내에 산화제 오존을 공급하고, 이어서 상기 공정 챔버를 두 번째로 퍼지하는 것을 1주기로 하는 공정을 163회 반복하여 147 Å 두께의 지르코늄 산화막이 형성된 상기 샘플 5를 형성하였다. 상기 샘플 5에서의 지르코늄 산화막의 증착 율은 0.90 Å/cycle 이다. Sample 5 is a sample manufactured according to an embodiment of the inventive concept as described with reference to FIG. 36. As described with reference to FIG. 36, after the film-controlled material and the zirconium precursor are simultaneously supplied into the process chamber, the supply of the zirconium precursor is stopped while the supply of the film-controlled material is continued, and the supply of the zirconium precursor is stopped. The process of stopping the supply of the membrane-controlled material, then purging the process chamber first, then supplying oxidant ozone into the process chamber, and then purging the process chamber a second time, is repeated 163 times. Thus, Sample 5 having a 147 Å thick zirconium oxide film was formed. The deposition rate of the zirconium oxide film in the sample 5 is 0.90 Å / cycle.

이와 같이 형성된 샘플 1-5에서의 증착 막, 즉 지르코늄 산화막의 두께를 측정한 결과를 아래의 [표 1]에 나타내었다.The result of measuring the thickness of the deposited film, that is, the zirconium oxide film in the sample 1-5 thus formed is shown in Table 1 below.

주기(cycle)Cycle 두께thickness 증착율Deposition rate 1One 샘플 1Sample 1 136136 148 Å148 Å 1.09 Å/cycle1.09 Å / cycle 22 샘플 2Sample 2 157157 154 Å154 Å 0.98 Å/cycle0.98 Å / cycle 33 샘플 3Sample 3 147147 152 Å152 Å 1.03 Å/cycle1.03 Å / cycle 44 샘플 4Sample 4 155155 146 Å146 Å 0.94 Å/cycle0.94 Å / cycle 55 샘플 5Sample 5 163163 147 Å147 Å 0.90 Å/cycle0.90 Å / cycle

상기 막-제어 물질을 이용하여 형성한 상기 샘플 2-5는 상기 막-제어 물질을 이용하지 않은 상기 샘플 1에 비하여 1주기 당 증착 되는 단위 층의 두께가 작음을 알 수 있다. 1주기 공정을 수행하였을 때, 샘플 2-5의 단위 층 두께들은 샘플 1의 단위 층 두께 보다 작다는 것을 알 수 있다. 본 실험에서, 1주기 당 이용된 지르코늄 전구체의 양이 동일하고, 상기 막-제어 물질은 상기 단위 층이 형성되면서 모두 제거되는 것으로 볼 때, 이러한 실험 결과로부터, 샘플 1과 같이 막-제어 물질을 이용하지 않고 증착 공정을 진행한 경우보다, 샘플 2-5와 같이 막-제어 물질을 이용하여 증착 공정을 진행한 경우에 이상적인 단 원자층에 가까운 단위 층이 형성된다는 것을 알 수 있다. 이러한 것으로 볼 때, 샘플 1에 비하여 샘플 2-5에서와 같이 막-제어 물질을 이용하면, 본 발명의 실시예들에서 설명한 예비 단위 층을 형성할 때, 과흡착 부분이 적어지기 때문에, 이상적인 단 원자층에 가까운 단위 층이 형성됨을 알 수 있다.It can be seen that the sample 2-5 formed using the film control material has a smaller thickness of the unit layer deposited per cycle than the sample 1 which does not use the film control material. When performing the one cycle process, it can be seen that the unit layer thicknesses of Samples 2-5 are smaller than the unit layer thicknesses of Sample 1. In this experiment, the amount of zirconium precursor used per cycle is the same, and the film-controlling material is removed as the unit layer is formed. It can be seen that a unit layer close to a single atomic layer is formed when the deposition process is performed using a film-controlled material as in Sample 2-5, rather than the deposition process without using. In view of this, using the membrane-controlled material as in Sample 2-5 compared to Sample 1, the formation of the preliminary unit layer described in the embodiments of the present invention results in less superadsorption, which is an ideal step. It can be seen that a unit layer close to the atomic layer is formed.

<실험 예 2><Experimental Example 2>

앞에서 설명한 것과 같이, 본 발명의 기술적 사상의 실시예들에 따르면, 막-제어 물질 및 전구체 물질을 포함하는 제1 공정 물질을 공정 챔버 내에 공급하는 것을 포함하는 공정을 이용하여 반도체 기판 상에 증착 막을 형성할 수 있다.As described above, according to embodiments of the inventive concept, a deposition film is deposited on a semiconductor substrate using a process including supplying a first process material including a film-control material and a precursor material into a process chamber. Can be formed.

샘플 6-10을 제작하기 위하여, 반도체 기판 상에 도 37에서 설명한 것과 같은 상기 구조물(325)을 형성하고, 상기 구조물(325)을 갖는 반도체 기판을 공정 챔버 내에 로딩하고, 상기 공정 챔버 내의 상기 구조물(325)을 갖는 반도체 기판 상에 증착 막(330)을 형성하고, 상기 증착 막(330)을 갖는 반도체 기판을 상기 공정 챔버 로부터 언로딩하였다. 여기서, 상기 구조물(325)은, 도 37에서 설명한 것과 같이, 몰드 절연 막(310) 및 제1 전극(320)을 포함할 수 있다.To fabricate Samples 6-10, the structure 325 as described in FIG. 37 is formed on a semiconductor substrate, a semiconductor substrate having the structure 325 is loaded into a process chamber, and the structure within the process chamber. A deposition film 330 was formed on the semiconductor substrate having 325, and the semiconductor substrate having the deposition film 330 was unloaded from the process chamber. As described above with reference to FIG. 37, the structure 325 may include a mold insulating layer 310 and a first electrode 320.

상기 구조물(325)을 갖는 반도체 기판이 위치하는 공정 챔버 내에 제1 공정 물질을 공급하여 예비 단위 층을 형성하고, 상기 공정 챔버를 제1 퍼지하고, 상기 공정 챔버 내에 제2 공정 물질을 공급하여 상기 예비 단위 층을 단위 층으로 형성하고, 상기 공정 챔버를 제2 퍼지하는 것을 1주기로 하는 공정을 반복적으로 수행하여 샘플 6-10의 증착 막(330)을 형성하였다. 여기서, 상기 제1 공정 물질을 공급하는 공정 조건을 변화시키면서 샘플 6-10을 형성하였다.Supplying a first process material into a process chamber in which the semiconductor substrate having the structure 325 is located to form a preliminary unit layer, first purging the process chamber, and supplying a second process material into the process chamber The preliminary unit layer was formed as a unit layer, and the deposition film 330 of Sample 6-10 was formed by repeatedly performing a process in which a second purge of the process chamber was performed. Here, Sample 6-10 was formed while changing the process conditions for supplying the first process material.

샘플 6은 상기 막-제어 물질을 이용하지 않고 증착 막(330)을 형성한 샘플이고, 샘플 7-10은 막-제어 물질 및 전구체 물질을 모두 이용하되, 막-제어 물질을 공급하는 방법을 변화시키면서 증착 막(330)을 형성한 샘플들이다. 이때, 상기 전구체는 화학식 CpZr(N(CH3)2)3을 갖는 지르코늄 전구체이고, 상기 막-제어 물질은 상기 지르코늄 전구체의 리간드의 수소화합물이다. 상기 막-제어 물질은 HN(CH3)2의 화학식을 갖는 리간드-수소 화합물이다.Sample 6 is a sample in which the deposition film 330 is formed without using the film control material. Sample 7-10 uses both the film control material and the precursor material, but changes the method of supplying the film control material. While forming the deposition film 330 are samples. In this case, the precursor is a zirconium precursor having the formula CpZr (N (CH 3 ) 2 ) 3 , and the film-controlling material is a hydrogen compound of the ligand of the zirconium precursor. The membrane-controlling substance is a ligand-hydrogen compound having the formula HN (CH 3 ) 2 .

샘플 6-10에서, 액체 상태의 지르코늄 전구체를 LDS(liquid delivery system) 방식으로 130도의 온도로 기화시켜 상기 공정 챔버 내에 공급하였다. 상기 샘플 6-10에서 상기 막-제어 물질은 수분 여과기를 거쳐 가스 상태로 1000 sccm을 공급하였다. 상기 샘플 6-10에서, 상기 지르코늄 전구체를 이동시키기 위한 캐리어 가스로써 아르곤을 이용하였으며 지르코늄 전구체 캐리어 가스의 유량은 160sccm 이다.In Samples 6-10, the liquid zirconium precursor was vaporized to a temperature of 130 degrees in a liquid delivery system (LDS) and fed into the process chamber. In Sample 6-10, the membrane-controlled material was fed 1000 sccm in gaseous state through a water filter. In Sample 6-10, argon was used as a carrier gas for moving the zirconium precursor, and the flow rate of the zirconium precursor carrier gas was 160 sccm.

샘플 6은 막-제어 물질을 이용하지 않고 지르코늄 전구체를 이용하여 지르코늄 산화물로 증착 막(330a)을 형성한 샘플이다. 공정 챔버 내에 상기 지르코늄 전구체를 공정 챔버에 공급하고, 상기 공정 챔버를 제1 퍼지하고, 산화제로써 오존을 공급하고 상기 공정 챔버를 제2 퍼지하는 것을 1주기로 하는 공정을 반복 수행하여 형성하였다. Sample 6 is a sample in which the deposition film 330a is formed of zirconium oxide using a zirconium precursor without using a film control material. The zirconium precursor was supplied to the process chamber in the process chamber, the process chamber was first purged, ozone was supplied as an oxidant, and the process was performed in a cycle of repeating the process chamber for a second purge.

도 41a는 샘플 6에서 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 증착 막 부분(330a_1)을 갖는 반도체 소자를 나타낸 도면이다. 도 41a에서 도면 부호 700a로 나타낸 부분은 샘플 6에서 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 증착 막 부분(330a_1)을 포함하는 TEM 사진이고, 도 41a에서 "Ha'"로 표시된 부분은 상기 TEM 사진(700a)의 "Ha"로 표시된 부분을 확대한 부분이다. FIG. 41A illustrates a semiconductor device having a deposition film portion 330a_1 formed on the upper edge portion 325h of the structure 325 in Sample 6. FIG. The portion denoted by reference numeral 700a in FIG. 41A is a TEM photograph including the deposited film portion 330a_1 formed on the top edge portion 325h of the structure 325 in Sample 6, and denoted “Ha ′” in FIG. 41A. The displayed portion is an enlarged portion of the portion indicated by "Ha" of the TEM photograph 700a.

샘플 6에서, 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 상기 증착 막 부분(330a_1)의 두께는 136Å 이다. In sample 6, the thickness of the deposited film portion 330a_1 formed on the top edge portion 325h of the structure 325 is 136 Å.

도 41b는 샘플 6에서 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 증착 막의 부분(330a_2)을 갖는 반도체 소자를 나타낸 도면이다. 도 41b에서 도면 부호 700b로 나타낸 부분은 샘플 6에서 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 증착 막의 부분(330a_2)을 포함하는 TEM 사진이고, 도 41b에서 "Ua'"로 표시된 부분은 상기 TEM 사진(700b)에서 "Ua"로 표시된 부분을 확대한 부분이다.FIG. 41B illustrates a semiconductor device having a portion 330a_2 of the deposited film formed on the upper side portion 325s_1 of the structure 325 in Sample 6. FIG. The portion indicated by reference numeral 700b in FIG. 41B is a TEM photograph that includes a portion 330a_2 of the deposited film formed on the upper side portion 325s_1 of the structure 325 in Sample 6, indicated by “Ua ′” in FIG. 41B. The portion is an enlarged portion of the portion labeled "Ua" in the TEM photograph 700b.

샘플 6에서, 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 상기 증착 막 부분(330a_2)의 두께는 129Å 이다.In Sample 6, the thickness of the deposited film portion 330a_2 formed on the upper side portion 325s_1 of the structure 325 is 129 kPa.

도 41c는 샘플 6에서 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 증착 막의 부분(330a_3)을 갖는 반도체 소자를 나타낸 도면이다. 도 41c에서 도면부호 700c로 나타낸 부분은 샘플 6에서 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 증착 막 부분(330a_3)을 포함하는 TEM 사진이고, 도 41c에서 "La'"로 표시된 부분은 상기 TEM 사진(700c)의 "La"로 표시된 부분을 확대한 부분이다. 샘플 6에서, 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 상기 증착 막 부분(330a_3)의 두께는 129Å이다. FIG. 41C illustrates a semiconductor device having a portion 330a_3 of a deposited film formed on the lower side portion 325s_2 of the structure 325 in Sample 6. FIG. The portion indicated by reference numeral 700c in FIG. 41C is a TEM photograph that includes the deposition film portion 330a_3 formed on the lower side portion 325s_2 of the structure 325 in Sample 6, and is labeled “La ′” in FIG. 41C. The portion is an enlarged portion of the portion labeled "La" of the TEM photograph 700c. In Sample 6, the thickness of the deposited film portion 330a_3 formed on the lower side portion 325s_2 of the structure 325 is 129 kPa.

따라서, 샘플 6에서, 상기 구조물(325)의 상부면 가장 자리 부분(325h) 상에 형성되는 증착 막 부분(330a_1)은 136Å 의 제1 두께로 형성되었고, 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성되는 증착 막 부분(330a_2)은 129 Å의 제2 두께로 형성되었고, 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성되는 증착 막 부분(330a_3)은 97Å의 제3 두께로 형성되었다. 샘플 6에서 상기 증착 막(330a)의 스텝 커버리지는 71%이다.Thus, in Sample 6, the deposited film portion 330a_1 formed on the upper surface edge portion 325h of the structure 325 was formed to have a first thickness of 136 Å, and the upper side portion of the structure 325 ( The deposition film portion 330a_2 formed on the 325s_1 was formed to have a second thickness of 129 μs, and the deposition film portion 330a_3 formed on the lower side portion 325s_2 of the structure 325 is the third thickness of 97 μs. It was formed to a thickness. In sample 6, the step coverage of the deposited film 330a is 71%.

샘플 6-10에서, 증착 막의 스텝 커버리지는 아래와 같은 <수학식 1>로부터 계산되었다.In Sample 6-10, the step coverage of the deposited film was calculated from Equation 1 below.

Figure pat00011
Figure pat00011

여기서, 두께 1은 상기 구조물(325)의 상부 가장자리 부분(325h) 상에 형성되는 증착 막 부분의 두께를 의미하고, 두께 3은 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성되는 증착 막 부분의 두께를 의미한다.Here, thickness 1 refers to the thickness of the deposition film portion formed on the upper edge portion 325h of the structure 325, and thickness 3 refers to the deposition formed on the lower side portion 325s_2 of the structure 325. The thickness of the membrane portion.

샘플 7은 도 32에서 설명한 것과 같은 본 발명의 기술적 사상의 실시예에 따라 제조된 샘플이다. 샘플 7의 증착 막(330b)은 도 32에서 설명한 것과 같이, 공정 챔버 내에 지르코늄 전구체와 막-제어 물질을 동시에 공급하고, 이어서 공정 챔버를 첫 번째로 퍼지 한 후, 공정 챔버 내에 산화제 오존을 공급하고, 이어서 상기 공정 챔버를 두 번째로 퍼지하는 것을 1주기로 하는 공정을 반복적으로 수행하여 형성하였다. 샘플 7에서, 상기 지르코늄 전구체와 상기 막-제어 물질은 동일한 시간 동안 공급되었다.Sample 7 is a sample manufactured according to an embodiment of the inventive concept as described with reference to FIG. 32. The deposition film 330b of sample 7 simultaneously supplies a zirconium precursor and a film-controlled material into the process chamber, as described in FIG. 32, and then purges the process chamber first, then supplies oxidant ozone into the process chamber and Subsequently, it was formed by repeatedly performing a process in which a second cycle of purging the process chamber was performed. In sample 7, the zirconium precursor and the film-control material were fed for the same time.

도 42a는 샘플 7에서 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 증착 막 부분(330b_1)을 갖는 반도체 소자를 나타낸 도면이다. 도 42a에서 도면 부호 710a로 나타낸 부분은 샘플 7에서 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 증착 막 부분(330b_1)을 포함하는 TEM 사진이고, 도 42a에서 "Hb'"로 표시된 부분은 상기 TEM 사진(710a)의 "Hb"로 표시된 부분을 확대한 부분이다. 샘플 7에서, 상기 구조물(325)의 상부면의 가장자리 부분(325h) 상에 형성된 상기 증착 막 부분(330b_1)의 두께는 138Å 이다.FIG. 42A illustrates a semiconductor device having a deposition film portion 330b_1 formed on the upper edge portion 325h of the structure 325 in Sample 7. FIG. The portion indicated by reference numeral 710a in FIG. 42A is a TEM photograph including the deposited film portion 330b_1 formed on the top edge portion 325h of the structure 325 in Sample 7 and denoted as “Hb ′” in FIG. 42A. The displayed portion is an enlarged portion of the portion indicated by "Hb" of the TEM picture 710a. In sample 7, the thickness of the deposited film portion 330b_1 formed on the edge portion 325h of the top surface of the structure 325 is 138 kPa.

도 42b는 샘플 7에서 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 증착 막의 부분(330b_2)을 갖는 반도체 소자를 나타낸 도면이다. 도 42b에서 도면 부호 710b로 나타낸 부분은 샘플 7에서 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 증착 막 부분(330b_2)을 포함하는 TEM 사진이고, 도 42b에서 "Ua'"로 표시된 부분은 상기 TEM 사진(710b)에서 "Ua"로 표시된 부분을 확대한 부분이다.FIG. 42B illustrates a semiconductor device having a portion 330b_2 of the deposited film formed on the upper side portion 325s_1 of the structure 325 in Sample 7. FIG. The portion indicated by reference numeral 710b in FIG. 42B is a TEM photograph including the deposited film portion 330b_2 formed on the upper side portion 325s_1 of the structure 325 in Sample 7 and denoted by "Ua '" in FIG. 42B. The portion is an enlarged portion of the portion labeled "Ua" in the TEM photograph 710b.

샘플 7에서, 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 상기 증착 막 부분(330b_2)의 두께는 142Å 이다.In Sample 7, the thickness of the deposited film portion 330b_2 formed on the upper side portion 325s_1 of the structure 325 is 142 kPa.

도 42c는 샘플 7에서 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 증착 막의 부분(330b_3)을 갖는 반도체 소자를 나타낸 도면이다. 도 42c에서 도면부호 710c로 나타낸 부분은 샘플 7에서 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 증착 막 부분(330b_3)을 포함하는 TEM 사진이고, 도 42c에서 "La'"로 표시된 부분은 상기 TEM 사진(710c)의 "La"로 표시된 부분을 확대한 부분이다. 샘플 7에서, 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 상기 증착 막 부분(330b_3)의 두께는 99Å이다. FIG. 42C illustrates a semiconductor device having a portion 330b_3 of a deposition film formed on the lower side portion 325s_2 of the structure 325 in Sample 7. FIG. In FIG. 42C, the portion indicated by reference numeral 710c is a TEM photograph including the deposition film portion 330b_3 formed on the lower side portion 325s_2 of the structure 325 in Sample 7, and is indicated by “La ′” in FIG. 42C. The portion is an enlarged portion of the portion labeled "La" of the TEM photograph 710c. In sample 7, the thickness of the deposited film portion 330b_3 formed on the lower side portion 325s_2 of the structure 325 is 99 mm 3.

따라서, 샘플 7에서, 상기 구조물(325)의 상부면 가장 자리 부분(325h) 상에 형성되는 증착 막 부분(330b_1)은 138Å 의 제1 두께로 형성되었고, 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성되는 증착 막 부분(330b_2)은 142 Å의 제2 두께로 형성되었고, 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성되는 증착 막 부분(330b_3)은 99Å의 제3 두께로 형성되었다. 샘플 7에서 상기 증착 막(330b)의 스텝 커버리지는 72%이다.Accordingly, in sample 7, the deposition film portion 330b_1 formed on the upper surface edge portion 325h of the structure 325 was formed to have a first thickness of 138 kPa, and the upper side portion of the structure 325 ( The deposition film portion 330b_2 formed on the 325s_1 was formed to have a second thickness of 142 GPa, and the deposition film portion 330b_3 formed on the lower side portion 325s_2 of the structure 325 is the 99 s third. It was formed to a thickness. In sample 7, the step coverage of the deposited film 330b is 72%.

샘플 8은 도 22에서 설명한 것과 같은 본 발명의 기술적 사상의 실시예에 따라 제조된 샘플이다. 샘플 8의 증착 막(330c)은 도 22에서 설명한 것과 같이 공정 챔버 내에 지르코늄 전구체를 공급하고, 지르코늄 전구체의 공급을 중단하면서 막-제어 물질을 공급하고, 이어서 공정 챔버를 첫 번째로 퍼지 한 후, 공정 챔버 내에 산화제 오존을 공급하고, 이어서 상기 공정 챔버를 두 번째로 퍼지하는 것을 1주기로 하는 공정을 반복적으로 수행하여 형성하였다. Sample 8 is a sample manufactured according to an embodiment of the inventive concept as described with reference to FIG. 22. The deposition film 330c of sample 8 supplies the zirconium precursor into the process chamber, stops the supply of the zirconium precursor and supplies the film-control material as described in FIG. 22, and then first purges the process chamber, It was formed by repeatedly carrying out a process in which an oxidizing agent ozone was supplied into the process chamber, and then a second cycle of purging the process chamber was performed.

도 43a는 샘플 8에서 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 증착 막 부분(330c_1)을 갖는 반도체 소자를 나타낸 도면이다. 도 43a에서 도면 부호 720a로 나타낸 부분은 샘플 8에서 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 증착 막 부분(330c_1)을 포함하는 TEM 사진이고, 도 43a에서 "Hc'"로 표시된 부분은 상기 TEM 사진(720a)의 "Hc"로 표시된 부분을 확대한 부분이다. 샘플 8에서, 상기 구조물(325)의 상부면의 가장자리 부분(325h) 상에 형성된 상기 증착 막 부분(330c_1)의 두께는 134Å 이다.FIG. 43A illustrates a semiconductor device having a deposition film portion 330c_1 formed on the upper edge portion 325h of the structure 325 in Sample 8. FIG. The portion indicated by reference numeral 720a in FIG. 43A is a TEM photograph including the deposition film portion 330c_1 formed on the upper edge portion 325h of the structure 325 in Sample 8, and denoted by “Hc ′” in FIG. 43A. The displayed portion is an enlarged portion of the portion indicated by "Hc" of the TEM picture 720a. In sample 8, the thickness of the deposited film portion 330c_1 formed on the edge portion 325h of the upper surface of the structure 325 is 134 kPa.

도 43b는 샘플 8에서 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 증착 막의 부분(330c_2)을 갖는 반도체 소자를 나타낸 도면이다. 도 43b에서 도면 부호 720b로 나타낸 부분은 샘플 8에서 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 증착 막 부분(330c_2)을 포함하는 TEM 사진이고, 도 43b에서 "Ua'"로 표시된 부분은 상기 TEM 사진(720b)에서 "Ua"로 표시된 부분을 확대한 부분이다. 샘플 8에서, 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 상기 증착 막 부분(330c_2)의 두께는 123Å 이다.FIG. 43B illustrates a semiconductor device having a portion 330c_2 of the deposited film formed on the upper side portion 325s_1 of the structure 325 in Sample 8. FIG. The portion indicated by reference numeral 720b in FIG. 43B is a TEM photograph that includes a deposition film portion 330c_2 formed on the upper side portion 325s_1 of the structure 325 in Sample 8 and is designated as “Ua ′” in FIG. 43B. The portion is an enlarged portion of the portion labeled "Ua" in the TEM image 720b. In sample 8, the thickness of the deposited film portion 330c_2 formed on the upper side portion 325s_1 of the structure 325 is 123 kPa.

도 43c는 샘플 8에서 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 증착 막의 부분(330c_3)을 갖는 반도체 소자를 나타낸 도면이다. 도 43c에서 도면부호 720c로 나타낸 부분은 샘플 8에서 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 증착 막 부분(330c_3)을 포함하는 TEM 사진이고, 도 43c에서 "La'"로 표시된 부분은 상기 TEM 사진(720c)의 "La"로 표시된 부분을 확대한 부분이다. 샘플 8에서, 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 상기 증착 막 부분(330c_3)의 두께는 102Å이다. FIG. 43C illustrates a semiconductor device having a portion 330c_3 of the deposited film formed on the lower side portion 325s_2 of the structure 325 in Sample 8. FIG. The portion indicated by reference numeral 720c in FIG. 43C is a TEM photograph including the deposition film portion 330c_3 formed on the lower side portion 325s_2 of the structure 325 in Sample 8 and denoted by “La '” in FIG. 43C. The portion is an enlarged portion of the portion labeled "La" of the TEM photograph 720c. In sample 8, the thickness of the deposited film portion 330c_3 formed on the lower side portion 325s_2 of the structure 325 is 102 kPa.

따라서, 샘플 8에서, 상기 구조물(325)의 상부면 가장 자리 부분(325h) 상에 형성되는 증착 막 부분(330c_1)은 134Å 의 제1 두께로 형성되었고, 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성되는 증착 막 부분(330c_2)은 123 Å의 제2 두께로 형성되었고, 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성되는 증착 막 부분(330c_3)은 102Å의 제3 두께로 형성되었다. 샘플 8에서 상기 증착 막(330c)의 스텝 커버리지는 76%이다.
Thus, in sample 8, the deposition film portion 330c_1 formed on the upper surface edge portion 325h of the structure 325 was formed to have a first thickness of 134 kPa, and the upper side portion of the structure 325 ( The deposition film portion 330c_2 formed on the 325s_1 was formed to have a second thickness of 123 mm 3, and the deposition film portion 330c_3 formed on the lower side portion 325s_2 of the structure 325 was formed to be 102 mm 3. It was formed to a thickness. In sample 8, the step coverage of the deposited film 330c is 76%.

상기 샘플 9는 도 10에서 설명한 것과 같은 본 발명의 기술적 사상의 실시예에 따라 제조된 샘플이다. 상기 샘플 9의 증착 막(330d)은 도 10에서 설명한 것과 같이 공정 챔버 내에 막-제어 물질을 공급하고, 상기 막-제어 물질의 공급을 중단하면서 상기 공정 챔버 내에 지르코늄 전구체를 공급하고, 이어서 공정 챔버를 첫 번째로 퍼지 한 후, 공정 챔버 내에 산화제 오존을 공급하고, 이어서 상기 공정 챔버를 두 번째로 퍼지하는 것을 1주기로 하는 공정을 반복적으로 수행하여 형성하였다. Sample 9 is a sample prepared according to an embodiment of the inventive concept as described with reference to FIG. 10. The deposition film 330d of the sample 9 supplies a film-controlled material into the process chamber as described in FIG. 10, supplies a zirconium precursor into the process chamber while stopping the supply of the film-controlled material, and then the process chamber. After the first purge, the oxidizing agent ozone was supplied into the process chamber, and then the process of forming the second purge of the process chamber for the second cycle was repeatedly performed.

도 44a는 샘플 9에서 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 증착 막 부분(330d_1)을 갖는 반도체 소자를 나타낸 도면이다. 도 44a에서 도면 부호 730a로 나타낸 부분은 샘플 9에서 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 증착 막 부분(330d_1)을 포함하는 TEM 사진이고, 도 44a에서 "Hc'"로 표시된 부분은 상기 TEM 사진(730a)의 "Hc"로 표시된 부분을 확대한 부분이다. 샘플 9에서, 상기 구조물(325)의 상부면의 가장자리 부분(325h) 상에 형성된 상기 증착 막 부분(330d_1)의 두께는 122Å 이다.FIG. 44A illustrates a semiconductor device having a deposition film portion 330d_1 formed on the top edge portion 325h of the structure 325 in Sample 9. In FIG. 44A, the portion indicated by reference numeral 730a is a TEM photograph including the deposition film portion 330d_1 formed on the upper surface edge portion 325h of the structure 325 in Sample 9 and denoted as “Hc ′” in FIG. 44A. The displayed portion is an enlarged portion of the portion indicated by "Hc" of the TEM photograph 730a. In sample 9, the thickness of the deposited film portion 330d_1 formed on the edge portion 325h of the upper surface of the structure 325 is 122 kV.

도 44b는 샘플 9에서 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 증착 막의 부분(330d_2)을 갖는 반도체 소자를 나타낸 도면이다. 도 44b에서 도면 부호 730b로 나타낸 부분은 샘플 9에서 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 증착 막 부분(330d_2)을 포함하는 TEM 사진이고, 도 44b에서 "Ua'"로 표시된 부분은 상기 TEM 사진(730b)에서 "Ua"로 표시된 부분을 확대한 부분이다. 샘플 9에서, 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 상기 증착 막 부분(330d_2)의 두께는 117Å 이다.FIG. 44B illustrates a semiconductor device having a portion 330d_2 of the deposited film formed on the upper side portion 325s_1 of the structure 325 in Sample 9. FIG. In FIG. 44B, the portion indicated by reference numeral 730b is a TEM photograph including the deposition film portion 330d_2 formed on the upper side portion 325s_1 of the structure 325 in Sample 9 and denoted by “Ua ′” in FIG. 44B. The portion is an enlarged portion of the portion labeled "Ua" in the TEM photograph 730b. In sample 9, the thickness of the deposited film portion 330d_2 formed on the upper side portion 325s_1 of the structure 325 is 117 mm 3.

도 44c는 샘플 9에서 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 증착 막의 부분(330d_3)을 갖는 반도체 소자를 나타낸 도면이다. 도 44c에서 도면부호 730c로 나타낸 부분은 샘플 9에서 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 증착 막 부분(330d_3)을 포함하는 TEM 사진이고, 도 44c에서 "La'"로 표시된 부분은 상기 TEM 사진(730c)의 "La"로 표시된 부분을 확대한 부분이다. 샘플 9에서, 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 상기 증착 막 부분(330d_3)의 두께는 102Å이다. FIG. 44C illustrates a semiconductor device having a portion 330d_3 of a deposition film formed on the lower side portion 325s_2 of the structure 325 in Sample 9. FIG. In FIG. 44C, the portion indicated by reference numeral 730c is a TEM photograph including the deposition film portion 330d_3 formed on the lower side portion 325s_2 of the structure 325 in Sample 9, and is indicated by “La ′” in FIG. 44C. The portion is an enlarged portion of the portion labeled "La" of the TEM photograph 730c. In sample 9, the thickness of the deposited film portion 330d_3 formed on the lower side portion 325s_2 of the structure 325 is 102 kPa.

따라서, 샘플 9에서, 상기 구조물(325)의 상부면 가장 자리 부분(325h) 상에 형성되는 증착 막 부분(330d_1)은 122Å 의 제1 두께로 형성되었고, 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성되는 증착 막 부분(330d_2)은 117 Å의 제2 두께로 형성되었고, 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성되는 증착 막 부분(330d_3)은 102Å의 제3 두께로 형성되었다. 샘플 9에서 상기 증착 막(330d)의 스텝 커버리지는 84%이다.Thus, in Sample 9, the deposition film portion 330d_1 formed on the upper surface edge portion 325h of the structure 325 was formed to have a first thickness of 122 Å, and the upper side portion of the structure 325 ( The deposition film portion 330d_2 formed on the 325s_1 was formed to have a second thickness of 117 mm 3, and the deposition film portion 330d_3 formed on the lower side portion 325s_2 of the structure 325 was 102 mm 3. It was formed to a thickness. In sample 9, the step coverage of the deposited film 330d is 84%.

상기 샘플 10는 도 36에서 설명한 것과 같은 본 발명의 기술적 사상의 실시예에 따라 제조된 샘플이다. 상기 샘플 10의 증착 막(330e)는 도 36에서 설명한 것과 같이 공정 챔버 내에 막-제어 물질 및 지르코늄 전구체를 동시에 공급하고, 상기 막-제어 물질의 공급을 계속하면서 상기 지르코늄 전구체의 공급을 중단하고, 상기 지르코늄 전구체의 공급을 중단한 후에 상기 막-제어 물질의 공급을 중단하고, 이어서 공정 챔버를 첫 번째로 퍼지 한 후, 공정 챔버 내에 산화제 오존을 공급하고, 이어서 상기 공정 챔버를 두 번째로 퍼지하는 것을 1주기로 하는 공정을 반복 수행하여 형성하였다. The sample 10 is a sample manufactured according to an embodiment of the inventive concept as described with reference to FIG. 36. The deposition film 330e of the sample 10 simultaneously supplies the film-control material and the zirconium precursor into the process chamber as described with reference to FIG. 36, stops the supply of the zirconium precursor while continuing to supply the film-control material, Stopping supply of the zirconium precursor and then stopping supply of the film-controlled material, and then purging the process chamber first, then supplying oxidant ozone into the process chamber, and subsequently purging the process chamber a second time. It was formed by repeating the step to 1 cycle.

도 45a는 샘플 10에서 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 증착 막 부분(330e_1)을 갖는 반도체 소자를 나타낸 도면이다. 도 45a에서 도면 부호 740a로 나타낸 부분은 샘플 10에서 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 증착 막 부분(330e_1)을 포함하는 TEM 사진이고, 도 45a에서 "Hc'"로 표시된 부분은 상기 TEM 사진(740a)의 "Hc"로 표시된 부분을 확대한 부분이다. 샘플 10에서, 상기 구조물(325)의 상부면의 가장자리 부분(325h) 상에 형성된 상기 증착 막 부분(330e_1)의 두께는 135Å 이다.45A illustrates a semiconductor device having a deposition film portion 330e_1 formed on the top edge portion 325h of the structure 325 in Sample 10. The portion indicated by reference numeral 740a in FIG. 45A is a TEM photograph including the deposited film portion 330e_1 formed on the top edge portion 325h of the structure 325 in Sample 10, and denoted as "Hc" in FIG. 45A. The displayed portion is an enlarged portion of the portion indicated by "Hc" of the TEM photograph 740a. In Sample 10, the thickness of the deposited film portion 330e_1 formed on the edge portion 325h of the top surface of the structure 325 is 135 kPa.

도 45b는 샘플 10에서 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 증착 막의 부분(330e_2)을 갖는 반도체 소자를 나타낸 도면이다. 도 45b에서 도면 부호 740b로 나타낸 부분은 샘플 10에서 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 증착 막 부분(330e_2)을 포함하는 TEM 사진이고, 도 45b에서 "Ua'"로 표시된 부분은 상기 TEM 사진(740b)에서 "Ua"로 표시된 부분을 확대한 부분이다. 샘플 10에서, 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 상기 증착 막 부분(330e_2)의 두께는 129Å 이다.45B illustrates a semiconductor device having a portion 330e_2 of a deposited film formed on the upper side portion 325s_1 of the structure 325 in sample 10. In FIG. 45B, the portion indicated by reference numeral 740b is a TEM photograph including the deposition film portion 330e_2 formed on the upper side portion 325s_1 of the structure 325 in Sample 10 and denoted by “Ua ′” in FIG. 45B. The portion is an enlarged portion of the portion labeled "Ua" in the TEM photograph 740b. In sample 10, the thickness of the deposited film portion 330e_2 formed on the upper side portion 325s_1 of the structure 325 is 129 μs.

도 45c는 샘플 10에서 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 증착 막의 부분(330e_3)을 갖는 반도체 소자를 나타낸 도면이다. 도 45c에서 도면부호 740c로 나타낸 부분은 샘플 10에서 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 증착 막 부분(330e_3)을 포함하는 TEM 사진이고, 도 45c에서 "La'"로 표시된 부분은 상기 TEM 사진(740c)의 "La"로 표시된 부분을 확대한 부분이다. 샘플 10에서, 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 상기 증착 막 부분(330e_3)의 두께는 104Å이다. FIG. 45C illustrates a semiconductor device having a portion 330e_3 of a deposited film formed on the lower side portion 325s_2 of the structure 325 in sample 10. In FIG. 45C, the portion indicated by reference numeral 740c is a TEM photograph including the deposition film portion 330e_3 formed on the lower side portion 325s_2 of the structure 325 in Sample 10 and denoted by “La ′” in FIG. 45C. The portion is an enlarged portion of the portion labeled "La" of the TEM photograph 740c. In sample 10, the thickness of the deposited film portion 330e_3 formed on the lower side portion 325s_2 of the structure 325 is 104 kPa.

상기 샘플 10에서, 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에서의 상기 증착 막 부분(330e_1)의 제1 두께는 135Å 이고, 상기 구조물(325)의 상부 측면 부분(325s_1) 상에서의 상기 증착 막 부분(330e_2)의 제2 두께는 129Å이고, 상기 구조물(325)의 하부 측면 부분(325s_2) 상에서의 상기 증착 막 부분(330e_3)의 제3 두께는 104 Å이다. 상기 샘플 10에서 상기 증착 막(330e)의 스텝 커버리지는 77%이다.In sample 10, the first thickness of the deposited film portion 330e_1 on the top edge portion 325h of the structure 325 is 135 microns, and on the upper side portion 325s_1 of the structure 325. The second thickness of the deposited film portion 330e_2 is 129 kPa, and the third thickness of the deposited film portion 330e_3 on the lower side portion 325s_2 of the structure 325 is 104 kPa. In the sample 10, the step coverage of the deposition film 330e is 77%.

아래의 [표 2]는 샘플 6-10에 대한 TEM 분석 결과를 정리해서 나타낸 표이다. [표 2]에서, 제1 두께(HT)는 상기 구조물(325)의 상부면 가장자리 부분(325h) 상에 형성된 샘플 6-10의 증착 막(330)의 두께이고, 제2 두께(UT)는 상기 구조물(325)의 상부 측면 부분(325s_1) 상에 형성된 샘플 6-10의 증착 막(330)의 두께이고, 제3 두께(LT)는 상기 구조물(325)의 하부 측면 부분(325s_2) 상에 형성된 샘플 6-10의 증착 막(330)의 두께이다.Table 2 below shows the results of TEM analysis on Samples 6-10. In Table 2, the first thickness HT is a thickness of the deposition film 330 of Sample 6-10 formed on the upper edge portion 325h of the structure 325, and the second thickness UT is The thickness of the deposition film 330 of Sample 6-10 formed on the upper side portion 325s_1 of the structure 325, and the third thickness LT is on the lower side portion 325s_2 of the structure 325. Thickness of the deposited film 330 of Samples 6-10 formed.

제1두께(HT)First thickness (HT) 제2두께(UT)2nd thickness (UT) 제3두께(LT)Third thickness (LT) 스텝 커버리지(LT/HT)Step Coverage (LT / HT) 1One 샘플 6Sample 6 136Å136Å 129Å129 yen 97Å97 yen 71%71% 22 샘플 7Sample 7 138Å138 yen 142Å142Å 99Å99Å 72%72% 33 샘플 8Sample 8 134Å134 yen 123Å123 yen 102Å102Å 76%76% 44 샘플 9Sample 9 122Å122 yen 117Å117 yen 102Å102Å 84%84% 55 샘플 10Sample 10 135Å135Å 129Å129 yen 104Å104Å 77%77%

샘플 6-10으로부터, 막-제어 물질을 이용하지 않으면서 증착 공정을 진행한 샘플 6의 증착 막(330a) 보다 막-제어 물질을 이용하여 증착 공정을 진행한 샘플 7-10에서의 증착 막들(330b, 330c, 330d, 330e)의 스텝 커버리지가 우수하다는 것을 알 수 있다. From Samples 6-10, the deposition films in Sample 7-10, which were deposited using the film-controlled material rather than the deposition film 330a of Sample 6, which did not use the film-controlled material, It can be seen that the step coverage of 330b, 330c, 330d, and 330e is excellent.

상기 구조물(325)의 상기 상부 측면 부분(325s_1) 상에 형성되는 증착 막의 상기 제2 두께(UT)와 상기 구조물(325)의 상기 하부 측면 부분(325s_2) 상에 형성되는 증착 막의 상기 제3 두께(LT)의 비율을 아래의 <수학식 2>를 이용하여 계산하였다. The second thickness UT of the deposition film formed on the upper side portion 325s_1 of the structure 325 and the third thickness of the deposition film formed on the lower side portion 325s_2 of the structure 325. The ratio of (LT) was calculated using Equation 2 below.

Figure pat00012
Figure pat00012

샘플 6에서, <수학식 2>를 이용하여 상기 제3 두께(LT)와 상기 제2 두께(UT)의 비율을 계산하면 75%이다. 샘플 8에서, <수학식 2>를 이용하여 상기 제3 두께(LT)와 상기 제2 두께(UT)의 비율을 계산하면 83%이고, 샘플 9에서, <수학식 2>를 이용하여 상기 제3 두께(LT)와 상기 제2 두께(UT)의 비율을 계산하면 87%이고, 샘플 10에서, <수학식 2>를 이용하여 상기 제3 두께(LT)와 상기 제2 두께(UT)의 비율을 계산하면 81%이다. 따라서, 본 발명의 기술적 사상의 실시예들에 따라 제조된 샘플 8-10의 증착 막들(330c, 330d, 330e)은 샘플 6에 비하여 스텝 커버리지 특성이 우수할 뿐만 아니라, 샘플 6에 비하여 전체적으로 증착 막의 균일성이 우수하다는 것을 알 수 있다.In Sample 6, when the ratio of the third thickness LT and the second thickness UT is calculated using Equation 2, the ratio is 75%. In sample 8, when the ratio of the third thickness LT and the second thickness UT is calculated using Equation 2, the ratio is 83%. When the ratio of the third thickness LT and the second thickness UT is calculated, the ratio is 87%. In Sample 10, the third thickness LT and the second thickness UT are calculated using Equation 2. The percentage is 81%. Accordingly, the deposition films 330c, 330d, and 330e of the sample 8-10 manufactured according to the embodiments of the inventive concept have better step coverage characteristics than the sample 6, and the overall deposition of the deposition film as compared to the sample 6. It can be seen that the uniformity is excellent.

도 46은 본 발명의 기술적 사상의 실시예들에 따른 반도체 소자의 제조방법에 의해 형성된 반도체 소자를 갖는 메모리 카드를 개략적으로 나타낸 도면이다. FIG. 46 is a view schematically illustrating a memory card having a semiconductor device formed by a method of manufacturing a semiconductor device according to embodiments of the inventive concept.

도 46을 참조하면, 메모리 카드(800)는 카드 기판(810), 상기 카드 기판(810) 상에 배치된 하나 또는 복수 개의 반도체 소자(830), 상기 카드 기판(810)의 한 모서리(edge)에 나란히 형성되고 상기 반도체 소자들(830)과 전기적으로 각각 연결되는 접촉 단자들(820)을 포함할 수 있다. 여기서, 상기 반도체 소자(830)는 본 발명의 기술적 사상의 실시예들에 따라 형성된 증착 막을 포함할 수 있다. 상기 반도체 소자(830)는 메모리 칩 또는 반도체 패키지 형태의 부품일 수 있다. Referring to FIG. 46, the memory card 800 may include a card substrate 810, one or more semiconductor devices 830 disposed on the card substrate 810, and one edge of the card substrate 810. The contact terminals 820 may be formed in parallel with each other and electrically connected to the semiconductor devices 830, respectively. Here, the semiconductor device 830 may include a deposition film formed according to embodiments of the inventive concept. The semiconductor device 830 may be a component in the form of a memory chip or a semiconductor package.

상기 메모리 카드(800)는 전자 장치, 예를 들어 디지털 카메라, 테블릿 PC, 컴퓨터, 휴대용 저장 장치 등과 같은 장치에 사용되기 위한 메모리 카드일 수 있다.The memory card 800 may be a memory card for use in an electronic device, for example, a digital camera, a tablet PC, a computer, a portable storage device, or the like.

상기 카드 기판(810)은 인쇄 회로 기판(PCB, printed circuit board)일 수 있다. 상기 카드 기판(810)의 양면이 모두 사용될 수 있다. 예를 들어, 상기 카드 기판(810)의 앞면 및 뒷면에 모두 반도체 소자들(830)이 배치될 수 있다. 상기 카드 기판(810)의 앞면 및/또는 뒷면에 상기 반도체 소자(830)가 상기 카드 기판(810)에 전기적 및 기계적으로 연결될 수 있다.The card substrate 810 may be a printed circuit board (PCB). Both sides of the card substrate 810 may be used. For example, semiconductor devices 830 may be disposed on both front and rear surfaces of the card substrate 810. The semiconductor device 830 may be electrically and mechanically connected to the card substrate 810 on the front and / or rear surface of the card substrate 810.

상기 접촉 단자들(820)은 금속으로 형성될 수 있고, 내산화성을 가질 수 있다. 상기 접촉 단자들(820)은 상기 메모리 카드(800)의 종류 및 표준 규격에 따라 다양하게 설정될 수 있다. 그러므로, 도시된 접촉 단자들(820)의 개수는 특별한 의미를 갖지 않는다.The contact terminals 820 may be formed of metal and may have oxidation resistance. The contact terminals 820 may be variously set according to the type and standard of the memory card 800. Therefore, the number of contact terminals 820 shown does not have a special meaning.

도 47은 본 발명의 기술적 사상의 실시예들에 따른 반도체 소자의 제조방법에 의해 형성된 반도체 소자를 갖는 전자 시스템을 나타낸 블록도이다. 47 is a block diagram illustrating an electronic system having a semiconductor device formed by a method of manufacturing a semiconductor device according to example embodiments of the inventive concepts.

도 47을 참조하면, 전자장치(900)가 제공될 수 있다. 상기 전자 장치(900)는 프로세서(910), 메모리(920) 및 입출력 장치(I/O, 930)를 포함할 수 있다. 상기 프로세서(910), 메모리(920) 및 입출력 장치(930)는 버스(946)를 통하여 연결될 수 있다. Referring to FIG. 47, an electronic device 900 may be provided. The electronic device 900 may include a processor 910, a memory 920, and an input / output device (I / O) 930. The processor 910, the memory 920, and the input / output device 930 may be connected through a bus 946.

상기 메모리(920)는 상기 프로세서(910)로부터, RAS*, WE*, CAS* 등의 제어 신호를 받을 수 있다. 상기 메모리(920)는 프로세서(910)의 동작을 위한 코드 및 데이트를 저장할 수 있다. 상기 메모리(920)는 버스(946)를 통하여 억세스 되는 데이터를 저장하도록 사용될 수 있다. The memory 920 may receive a control signal such as RAS *, WE *, CAS *, etc. from the processor 910. The memory 920 may store codes and data for the operation of the processor 910. The memory 920 may be used to store data accessed via the bus 946.

상기 메모리(920)는 본 발명의 기술적 사상의 실시예들에 따라 형성된 증착 막을 포함할 수 있다. 상기 프로세서(910)는 본 발명의 기술적 사상의 실시예들에 따라 형성된 증착 막을 포함할 수 있다. The memory 920 may include a deposition film formed according to embodiments of the inventive concept. The processor 910 may include a deposition film formed according to embodiments of the inventive concept.

상기 전자 장치(900)는 상기 메모리(920)을 필요로 하는 다양한 전자 제어 장치를 구성할 수 있다. 예를 들어, 상기 전자 장치(900)는 컴퓨터 시스템, 무선통신 장치 예를 들어, PDA, 랩톱(laptop) 컴퓨터, 휴대용 컴퓨터, 웹 태블릿(web tablet), 무선 전화기, 휴대폰, 디지털 음악 재생기(digital music player), MP3 플레이어, 네비게이션, 솔리드 스테이트 디스크(solid state disk: SSD), 가전제품(household appliance), 또는 정보를 무선환경에서 송수신할 수 있는 모든 소자에 사용될 수 있다. The electronic device 900 may configure various electronic control devices that require the memory 920. For example, the electronic device 900 may be a computer system, a wireless communication device such as a PDA, a laptop computer, a portable computer, a web tablet, a cordless phone, a mobile phone, a digital music player. player, MP3 player, navigation, solid state disk (SSD), household appliance, or any device capable of transmitting and receiving information in a wireless environment.

상기 전자 장치(900)의 보다 구체적인 실현 및 변형된 예에 대하여 도 46을 참조하여 설명하기로 한다.A more specific implementation and modified example of the electronic device 900 will be described with reference to FIG. 46.

도 48은 본 발명의 기술적 사상의 실시예들에 따른 반도체 소자의 제조방법에 의해 형성된 반도체 소자를 갖는 데이터 저장 장치를 나타낸 블록도이다. 48 is a block diagram illustrating a data storage device having a semiconductor device formed by a method of manufacturing a semiconductor device according to example embodiments of the inventive concepts.

도 48을 참조하면, 전자 장치는 솔리드 스테이트 디스크(Solid State Disk; SSD; 1011)와 같은 데이터 저장장치일 수 있다. 상기 솔리드 스테이트 디스크(SSD; 1011)는 인터페이스(1013), 제어기(controller; 1015), 비-휘발성 메모리(non-volatile memory; 1018), 및 버퍼 메모리(buffer memory; 1019)를 포함할 수 있다. Referring to FIG. 48, the electronic device may be a data storage device such as a solid state disk (SSD) 1011. The solid state disk (SSD) 1011 may include an interface 1013, a controller 1015, a non-volatile memory 1018, and a buffer memory 1019.

상기 솔리드 스테이트 디스크(1011)는 반도체 소자를 이용하여 정보를 저장하는 장치일 수 있다. 상기 솔리드 스테이트 디스크(1011)는 하드 디스크 드라이브(Hard Disk Drive; HDD)에 비하여 속도가 빠르고 기계적 지연이나 실패율, 발열 및 소음도 적으며, 소형화/경량화할 수 있다. 상기 솔리드 스테이트 디스크(1011)는 노트북PC, 넷북, 데스크톱PC, MP3 플레이어, 또는 휴대용 저장장치에 사용될 수 있다.The solid state disk 1011 may be a device that stores information using a semiconductor device. The solid state disk 1011 is faster than a hard disk drive (HDD), has less mechanical delay, failure rate, heat generation, and noise, and can be miniaturized / lightened. The solid state disk 1011 may be used in a notebook PC, netbook, desktop PC, MP3 player, or portable storage device.

상기 제어기(1015)는 상기 인터페이스(1013)에 인접하게 형성되고 전기적으로 접속될 수 있다. 상기 제어기(1015)는 메모리 제어기 및 버퍼 제어기를 포함하는 마이크로프로세서(microprocessor)일 수 있다. 상기 제어기(1015)는 본 발명의 기술적 사상의 실시예들에 따른 반도체 소자의 제조방법에 의해 형성된 증착 막을 포함할 수 있다.The controller 1015 may be formed adjacent to the interface 1013 and electrically connected to the interface 1013. The controller 1015 may be a microprocessor including a memory controller and a buffer controller. The controller 1015 may include a deposition film formed by a method of manufacturing a semiconductor device according to embodiments of the inventive concept.

상기 비-휘발성 메모리(1018)는 상기 제어기(1015)에 인접하게 형성되고 접속 터미널(T)을 경유하여 상기 제어기(1015)에 전기적으로 접속될 수 있다. 상기 솔리드 스테이트 디스크(1011)의 데이터 저장용량은 상기 비-휘발성 메모리(1018)에 대응할 수 있다. 상기 버퍼 메모리(1019)는 상기 제어기(1015)에 인접하게 형성되고 전기적으로 접속될 수 있다.The non-volatile memory 1018 may be formed adjacent to the controller 1015 and electrically connected to the controller 1015 via a connection terminal T. The data storage capacity of the solid state disk 1011 may correspond to the non-volatile memory 1018. The buffer memory 1019 may be formed adjacent to the controller 1015 and electrically connected to the controller 1015.

상기 인터페이스(1013)는 호스트(Host; 1002)에 접속될 수 있으며 데이터와 같은 전기신호들을 송수신하는 역할을 할 수 있다. 예를 들면, 상기 인터페이스(1013)는 SATA, IDE, SCSI, 및/또는 이들의 조합과 같은 규격을 사용하는 장치일 수 있다. 상기 비-휘발성 메모리(1018)는 상기 제어기(1015)를 경유하여 상기 인터페이스(1013)에 접속될 수 있다. The interface 1013 may be connected to a host 1002 and may transmit and receive electrical signals such as data. For example, the interface 1013 may be a device using a standard such as SATA, IDE, SCSI, and / or a combination thereof. The non-volatile memory 1018 may be connected to the interface 1013 via the controller 1015.

상기 비-휘발성 메모리(1018)는 상기 인터페이스(1013)를 통하여 수신된 데이터를 저장하는 역할을 할 수 있다. 상기 비-휘발성 메모리(non-volatile memory; 1018)는 본 발명의 기술적 사상의 실시예들에 따라 형성된 증착 막을 포함할 수 있다. 상기 솔리드 스테이트 디스크(1011)에 전원공급이 차단된다 할지라도, 상기 비-휘발성 메모리(1018)에 저장된 데이터는 보존되는 특성이 있다.The non-volatile memory 1018 may serve to store data received through the interface 1013. The non-volatile memory 1018 may include a deposition film formed according to embodiments of the inventive concept. Even if power is supplied to the solid state disk 1011, data stored in the non-volatile memory 1018 is preserved.

상기 버퍼 메모리(1019)는 휘발성 메모리(volatile memory)를 포함할 수 있다. 상기 휘발성 메모리는 디램(Dynamic Random Access Memory; DRAM), 및/또는 에스램(Static Random Access Memory; SRAM)일 수 있다. 상기 버퍼 메모리(1019)는 상기 비-휘발성 메모리(1018)에 비하여 상대적으로 빠른 동작속도를 보인다. 상기 버퍼 메모리(1019)는 본 발명의 기술적 사상의 실시예들에 따라 형성된 증착 막을 포함할 수 있다. The buffer memory 1019 may include a volatile memory. The volatile memory may be a dynamic random access memory (DRAM), and / or a static random access memory (SRAM). The buffer memory 1019 shows a relatively faster operating speed than the non-volatile memory 1018. The buffer memory 1019 may include a deposition film formed according to embodiments of the inventive concept.

상기 인터페이스(1013)의 데이터 처리속도는 상기 비-휘발성 메모리(1018)의 동작속도에 비하여 상대적으로 빠를 수 있다. 여기서, 상기 버퍼 메모리(1019)는 데이터를 임시 저장하는 역할을 할 수 있다. 상기 인터페이스(1013)를 통하여 수신된 데이터는, 상기 제어기(1015)를 경유하여 상기 버퍼 메모리(1019)에 임시 저장된 후, 상기 비-휘발성 메모리(1018)의 데이터 기록(write) 속도에 맞추어 상기 비-휘발성 메모리(1018)에 영구 저장될 수 있다. 또한, 상기 비-휘발성 메모리(1018)에 저장된 데이터들 중 자주 사용되는 데이터들은 사전에 읽기(read) 하여 상기 버퍼 메모리(1019)에 임시 저장할 수 있다. 즉, 상기 버퍼 메모리(1019)는 상기 솔리드 스테이트 디스크(1011)의 유효 동작속도를 증가시키고 에러(error) 발생률을 감소하는 역할을 할 수 있다.The data processing speed of the interface 1013 may be relatively faster than the operating speed of the non-volatile memory 1018. Here, the buffer memory 1019 may serve to temporarily store data. The data received through the interface 1013 is temporarily stored in the buffer memory 1019 via the controller 1015 and then adjusted to the data write speed of the non-volatile memory 1018. Permanent storage in volatile memory 1018. In addition, frequently used data among the data stored in the non-volatile memory 1018 may be read in advance and temporarily stored in the buffer memory 1019. That is, the buffer memory 1019 may play a role of increasing the effective operating speed of the solid state disk 1011 and reducing an error occurrence rate.

도 49는 본 발명의 기술적 사상의 실시예에 의한 전자 시스템을 나타낸 도면이다. 49 is a diagram illustrating an electronic system according to an embodiment of the inventive concept.

도 49를 참조하면, 전자 장치(1100)는 저장 장치(1110), 제어 장치(1120) 및 입/출력 장치(1130)를 포함할 수 있다. 상기 입/출력 장치(1130)는 입력장치(1133), 디스플레이 장치(1136) 및 무선 통신 장치(1139)를 포함할 수 있다. Referring to FIG. 49, an electronic device 1100 may include a storage device 1110, a control device 1120, and an input / output device 1130. The input / output device 1130 may include an input device 1133, a display device 1136, and a wireless communication device 1139.

상기 저장 장치(1110)는 하드 디스크 드라이브 저장 장치, 비휘발성 메모리(예를 들면, 플래시 메모리 또는 기타 EEPROM), 휘발성 메모리(예를 들면, 배터리 기반 SDRAM 또는 DRAM) 등과 같은 하나 이상의 상이한 유형의 저장 장치를 포함할 수 있다. 상기 저장 장치(1110)는 본 발명의 기술적 사상의 실시예들에 의해 형성된 증착 막을 포함할 수 있다. The storage device 1110 may be one or more different types of storage devices, such as hard disk drive storage, non-volatile memory (eg, flash memory or other EEPROM), volatile memory (eg, battery based SDRAM or DRAM), or the like. It may include. The storage device 1110 may include a deposition film formed by embodiments of the inventive concept.

상기 제어 장치(1120)는 상기 전자 장치(1100)의 동작을 제어하는데 이용될 수 있다. 예를 들어, 상기 제어 장치(1120)는 마이크로 프로세서 등을 포함할 수 있다. 상기 제어 장치(1120)는 본 발명의 기술적 사상의 실시예들에 의해 형성된 증착 막을 포함할 수 있다. The control device 1120 may be used to control the operation of the electronic device 1100. For example, the control device 1120 may include a microprocessor. The control device 1120 may include a deposition film formed by embodiments of the inventive concept.

상기 입/출력 장치(1130)는 입력 장치(1133), 디스플레이 장치(1136) 및 무선 통신 장치(1139)를 포함할 수 있다.The input / output device 1130 may include an input device 1133, a display device 1136, and a wireless communication device 1139.

상기 입/출력 장치(1130)는 상기 전자 장치(1100)에 데이터가 공급되게 하고 전자 장치(1100)로부터 외부 장치들로 데이터가 제공되게 하기 위해 이용될 수 있다. 예를 들어, 디스플레이 스크린, 버튼, 및 포트, 터치 스크린, 조이스틱, 클릭 휠, 스크롤링 휠, 터치 패드, 키 패드, 키보드, 마이크, 카메라 등을 포함할 수 있다.The input / output device 1130 may be used to supply data to the electronic device 1100 and to provide data from the electronic device 1100 to external devices. For example, it may include a display screen, a button and a port, a touch screen, a joystick, a click wheel, a scrolling wheel, a touch pad, a keypad, a keyboard, a microphone, a camera, and the like.

상기 무선 통신 장치(1139)는 하나 이상의 집적 회로, 전력 증폭기 회로, 수동 RF 컴포넌트, 하나 이상의 안테나, 및 RF 무선 신호를 처리하기 위한 기타 회로로 형성되는 라디오-주파수(RF) 송수신기 회로와 같은 통신 회로를 포함할 수 있다. 무선 신호들은 또한 광을 이용하여(예를 들면, 적외선 통신을 이용하여) 송신될 수 있다. 상기 무선 통신 장치(1139)는 본 발명의 기술적 사상의 실시예들에 의해 형성된 증착 막을 포함할 수 있다. The wireless communication device 1139 is a communication circuit, such as a radio-frequency (RF) transceiver circuit, formed of one or more integrated circuits, power amplifier circuits, passive RF components, one or more antennas, and other circuitry for processing RF radio signals. It may include. Wireless signals may also be transmitted using light (eg, using infrared communication). The wireless communication device 1139 may include a deposition film formed by embodiments of the inventive concept.

도 50은 본 발명의 기술적 사상의 실시예들에 따른 반도체 소자의 제조방법에 의해 제조된 반도체 소자를 포함하는 모바일 무선 폰(1200)을 개략적으로 도시한 도면이다. 모바일 무선 폰(1200)은 태블릿 PC로 이해될 수도 있다. 더 나아가, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자는 태블릿 PC 외에도, 노트북 같은 휴대용 컴퓨터, mpeg-1 오디오 레이어 3 (MP3) 플레이어, MP4 플레이어, 네비게이션 기기, 솔리드 스테이트 디스크(SSD), 테이블 컴퓨터, 자동차 및 가정용 가전 제품에 사용될 수 있다.50 is a diagram schematically illustrating a mobile wireless phone 1200 including a semiconductor device manufactured by a method of manufacturing a semiconductor device according to embodiments of the inventive concept. The mobile wireless phone 1200 may be understood as a tablet PC. In addition to the tablet PC, the semiconductor device according to an embodiment of the present invention can also be used as a portable computer such as a notebook, a mpeg-1 audio layer 3 (MP3) player, an MP4 player, a navigation device, a solid state disk Table computers, automobiles and household appliances.

이상 첨부된 도면을 참조하여 본 발명의 실시예들을 개략적으로 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해하여야 한다.While the present invention has been described in connection with what is presently considered to be practical exemplary embodiments, it is to be understood that the invention is not limited to the disclosed embodiments, but, on the contrary, You can understand that you can. It is therefore to be understood that the above-described embodiments are illustrative in all aspects and not restrictive.

Claims (20)

반도체 기판을 공정 챔버 내로 로딩하고,
상기 공정 챔버 내의 상기 반도체 기판 상에 증착 막을 형성하되, 상기 증착 막을 형성하는 것은 상기 반도체 기판 상에 단위 층을 반복적으로 형성하는 것을 포함하고,
상기 증착 막이 형성된 반도체 기판을 상기 공정 챔버로부터 언로딩하는 것을 포함하되,
상기 단위 층을 형성하는 것은
상기 공정 챔버 내에 전구체 물질 및 막-제어 물질을 포함하는 공정 물질을 공급하여 상기 반도체 기판 상에 예비 단위 층을 형성하되, 상기 전구체 물질은 중심 원자 및 상기 중심 원자와 결합된 리간드를 포함하고, 상기 막-제어 물질은 상기 전구체 물질의 상기 리간드의 수소 화합물이고,
상기 예비 단위 층을 갖는 반도체 기판이 위치하는 상기 공정 챔버를 제1 퍼지하고,
상기 제1 퍼지된 공정 챔버 내의 상기 예비 단위 층을 단위 층으로 형성하고,
상기 단위 층을 갖는 반도체 기판이 위치하는 상기 공정 챔버를 제2 퍼지하는 것을 포함하는 반도체 소자의 제조 방법.
Loading the semiconductor substrate into the process chamber,
Forming a deposition film on the semiconductor substrate in the process chamber, wherein forming the deposition film includes repeatedly forming a unit layer on the semiconductor substrate,
Unloading the semiconductor substrate on which the deposition film is formed from the process chamber,
Forming the unit layer is
Supplying a process material comprising a precursor material and a film-control material into the process chamber to form a preliminary unit layer on the semiconductor substrate, wherein the precursor material comprises a central atom and a ligand bonded to the central atom, The membrane-controlling material is a hydrogen compound of the ligand of the precursor material,
First purging the process chamber in which the semiconductor substrate having the preliminary unit layer is located,
Forming the preliminary unit layer in the first purged process chamber as a unit layer,
And second purging the process chamber in which the semiconductor substrate having the unit layer is located.
제 1 항에 있어서,
상기 전구체 물질은 상기 반도체 기판 상에 흡착되어 전구체 흡착 층을 형성하는 반도체 소자의 제조방법.
The method of claim 1,
The precursor material is adsorbed on the semiconductor substrate to form a precursor adsorption layer.
제 2 항에 있어서,
상기 막-제어 물질은 상기 전구체 흡착 층의 중심 원자와 배위 결합하여 상기 전구체 흡착 층을 상기 전구체 흡착 층 보다 화학적으로 안정된 물질로 형성하는 반도체 소자의 제조방법.
3. The method of claim 2,
And the film-controlling material is coordinated with a central atom of the precursor adsorption layer to form the precursor adsorption layer as a chemically more stable material than the precursor adsorption layer.
제 1 항에 있어서,
상기 예비 단위 층을 형성하는 것은
상기 공정 챔버 내에 상기 전구체 물질을 공급하여 상기 반도체 기판 상에 전구체 흡착 층을 형성하되, 상기 전구체 흡착 층은 베이스 부분 및 상기 베이스 부분과 결합된 과흡착 부분을 포함하고,
상기 공정 챔버 내에 상기 막-제어 물질을 공급하여 상기 과흡착 부분을 상기 베이스 부분으로부터 분리시키는 것을 포함하는 반도체 소자의 제조방법.
The method of claim 1,
Forming the preliminary unit layer
Supplying the precursor material into the process chamber to form a precursor adsorption layer on the semiconductor substrate, wherein the precursor adsorption layer comprises a base portion and a supersorption portion coupled to the base portion,
Supplying said film-controlled material into said process chamber to separate said hyperadsorbed portion from said base portion.
제 4 항에 있어서,
상기 막-제어 물질은 상기 과흡착 부분의 중심 원자와 결합하면서 상기 과흡착 부분과 상기 베이스 부분 사이의 결합을 끊는 반도체 소자의 제조 방법.
5. The method of claim 4,
And the film-controlling material bonds with the central atom of the superadsorption portion while breaking the bond between the superadsorption portion and the base portion.
제 1 항에 있어서,
상기 예비 단위 층은 상기 전구체 물질 및 상기 막-제어 물질을 모두 포함하는 반도체 소자의 제조방법.
The method of claim 1,
The preliminary unit layer includes both the precursor material and the film control material.
제 6 항에 있어서,
상기 예비 단위 층을 구성하는 상기 전구체 물질의 상기 리간드 및 상기 막-제어 물질은 상기 예비 단위 층을 상기 단위 층으로 형성하면서 상기 예비 단위 층으로부터 분리되어 반응 부산물로 형성되고,
상기 반응 부산물은 상기 공정 챔버를 상기 제2 퍼지하면서 제거되는 반도체 소자의 제조방법.
The method according to claim 6,
The ligand of the precursor material and the membrane-controlling material constituting the preliminary unit layer are separated from the preliminary unit layer while forming the preliminary unit layer as the unit layer, and are formed as reaction byproducts,
The reaction by-products are removed while the second purge the process chamber.
제 1 항에 있어서,
상기 리간드는 상기 중심 원자와 결합된 제1 리간드 및 제2 리간드를 포함하되, 상기 제1 리간드와 상기 제2 리간드는 서로 다른 화학식을 갖고,
상기 막-제어 물질은 상기 제1 리간드의 수소 화합물인 반도체 소자의 제조방법.
The method of claim 1,
The ligand includes a first ligand and a second ligand bonded to the central atom, wherein the first ligand and the second ligand have different formulas,
And the film-controlling material is a hydrogen compound of the first ligand.
반도체 기판을 공정 챔버 내로 로딩하고,
상기 공정 챔버 내의 상기 반도체 기판 상에 증착 막을 형성하되, 상기 증착 막을 형성하는 것은 상기 반도체 기판 상에 단위 층을 반복적으로 형성하는 것을 포함하고,
상기 증착 막을 갖는 반도체 기판을 상기 공정 챔버 로부터 언로딩하는 것을 포함하되,
상기 단위 층을 형성하는 것은
상기 공정 챔버 내에 제1 막-제어 물질을 공급하여 상기 반도체 기판 상에 표면-제어 층을 형성하고,
상기 공정 챔버 내에 전구체 물질을 공급하여 상기 표면-제어 층에 흡착된 전구체 흡착 층을 형성하여 상기 표면-제어 층 및 상기 전구체 흡착 층을 포함하는 예비 단위 층을 형성하되, 상기 전구체 물질은 중심 원자 및 상기 중심 원자와 결합된 리간드를 포함하는 화합물이고,
상기 예비 단위 층을 갖는 반도체 기판이 위치하는 공정 챔버를 제1 퍼지하고,
상기 예비 단위 층을 단위 층으로 형성하면서 상기 표면-제어 층 및 상기 전구체 흡착 층 내의 상기 리간드를 분리하여 반응 부산물을 형성하고,
상기 단위 층을 갖는 반도체 기판이 위치하는 공정 챔버를 제2 퍼지하면서 상기 반응 부산물을 제거하는 것을 포함하는 반도체 소자의 제조 방법.
Loading the semiconductor substrate into the process chamber,
Forming a deposition film on the semiconductor substrate in the process chamber, wherein forming the deposition film includes repeatedly forming a unit layer on the semiconductor substrate,
Unloading the semiconductor substrate with the deposition film from the process chamber,
Forming the unit layer is
Supplying a first film-control material into the process chamber to form a surface-control layer on the semiconductor substrate,
Supplying a precursor material into the process chamber to form a precursor adsorption layer adsorbed to the surface-control layer to form a preliminary unit layer comprising the surface-control layer and the precursor adsorption layer, wherein the precursor material comprises a central atom and Is a compound containing a ligand bonded to the central atom,
First purging the process chamber in which the semiconductor substrate having the preliminary unit layer is located,
Forming the preliminary unit layer as a unit layer while separating the ligands in the surface-control layer and the precursor adsorption layer to form reaction byproducts,
Removing the reaction by-products while purging the process chamber in which the semiconductor substrate having the unit layer is located.
제 9 항에 있어서,
상기 공정 챔버 내에 상기 제1 막-제어 물질이 존재하는 상태에서 상기 제1 전구체의 공급을 시작하는 반도체 소자의 제조방법.
The method of claim 9,
And supplying the first precursor in a state where the first film-control material is present in the process chamber.
제 9 항에 있어서,
상기 공정 챔버 내에 상기 전구체 물질의 공급을 중단하고 상기 공정 챔버를 상기 제1 퍼지 하기 전에, 상기 공정 챔버 내에 제2 막-제어 물질을 공급하는 것을 더 포함하되,
상기 제2 막-제어 물질은 상기 전구체 물질의 상기 중심 원자와 배위 결합하는 물질인 반도체 소자의 제조방법.
The method of claim 9,
Supplying a second film-controlled material into the process chamber prior to stopping the supply of the precursor material into the process chamber and prior to the first purging of the process chamber,
And the second film-control material is a material that coordinates with the central atom of the precursor material.
구조물을 갖는 반도체 기판을 형성하되, 상기 구조물은 수직한 측면 부분들을 갖고,
상기 구조물을 갖는 반도체 기판을 공정 챔버 내로 로딩하고,
상기 공정 챔버 내의 상기 구조물을 갖는 반도체 기판 상에 증착 막을 형성하되, 상기 증착 막을 형성하는 것은 상기 구조물을 갖는 반도체 기판 상에 단위 층을 반복적으로 형성하는 것을 포함하고,
상기 증착 막을 갖는 반도체 기판을 상기 공정 챔버 로부터 언로딩하는 것을 포함하되,
상기 단위 층을 형성하는 것은
상기 공정 챔버 내에 제1 전구체 물질을 공급하여 상기 구조물을 갖는 반도체 기판 상에 상기 제1 전구체 물질이 흡착된 제1 예비 단위 층을 형성하되, 상기 제1 예비 단위 층은 베이스 부분 및 상기 베이스 부분과 물리적으로 결합된 과흡착 부분을 포함하고,
상기 공정 챔버 내에 막-제어 물질을 공급하여 상기 제1 예비 단위 층을 제2 예비 단위 층으로 형성하되, 상기 막-제어 물질의 일부는 상기 제1 예비 단위 층과 반응하여 상기 과흡착 부분을 상기 베이스 부분으로부터 분리시키면서 제2 전구체 물질을 형성하고,
상기 제2 예비 단위층을 갖는 반도체 기판이 위치하는 공정 챔버를 퍼지하고,
상기 제2 예비 단위 층을 단위 층으로 형성하고,
상기 단위 층을 갖는 반도체 기판이 위치하는 공정 챔버를 퍼지하는 것을 포함하는 반도체 소자의 제조방법.
Forming a semiconductor substrate having a structure, the structure having vertical side portions,
Loading a semiconductor substrate having the structure into a process chamber,
Forming a deposition film on the semiconductor substrate having the structure in the process chamber, wherein forming the deposition film includes repeatedly forming a unit layer on the semiconductor substrate having the structure,
Unloading the semiconductor substrate with the deposition film from the process chamber,
Forming the unit layer is
Supplying a first precursor material to the process chamber to form a first preliminary unit layer to which the first precursor material is adsorbed on a semiconductor substrate having the structure, wherein the first preliminary unit layer comprises a base portion and the base portion; A physically coupled superabsorbent portion,
Supplying a membrane-controlled material into the process chamber to form the first preliminary unit layer as a second preliminary unit layer, wherein a portion of the membrane-controlled material reacts with the first preliminary unit layer to form the superadsorbed portion. Forming a second precursor material while separating from the base portion,
Purging the process chamber in which the semiconductor substrate having the second preliminary unit layer is located,
Forming the second preliminary unit layer as a unit layer,
And purging a process chamber in which the semiconductor substrate having the unit layer is located.
제 12 항에 있어서,
상기 제1 전구체 물질은 중심 원자 및 상기 중심 원자와 결합한 리간드를 포함하는 제1 화합물이고,
상기 막-제어 물질의 일부는 상기 과흡착 부분의 중심 원자와 결합하여 상기 과흡착 부분을 상기 베이스 부분으로부터 분리시키면서 상기 제2 전구체 물질을 형성하는 반도체 소자의 제조 방법.
13. The method of claim 12,
The first precursor material is a first compound comprising a central atom and a ligand bound to the central atom,
Wherein a portion of the film-controlled material is combined with a central atom of the superadsorbed portion to form the second precursor material while separating the superadsorbed portion from the base portion.
제 13 항에 있어서,
상기 막-제어 물질의 일부는 상기 베이스 부분의 중심 원자와 결합하여 상기 베이스 부분의 중심 원자의 배위 수를 증가시키는 반도체 소자의 제조 방법.
The method of claim 13,
A portion of the film-controlling material is bonded to a central atom of the base portion to increase the coordination number of the central atoms of the base portion.
제 13 항에 있어서,
상기 제1 예비 단위 층을 갖는 반도체 기판은 상기 전구체 물질이 흡착되지 않은 빈 영역을 포함하는 반도체 소자의 제조방법.
The method of claim 13,
The semiconductor substrate having the first preliminary unit layer includes a blank region in which the precursor material is not adsorbed.
제 15 항에 있어서,
상기 제2 예비 단위 층을 형성하는 것은 상기 제2 전구체 물질을 상기 빈 영역의 반도체 기판 상에 흡착시키는 것을 포함하는 반도체 소자의 제조방법.
The method of claim 15,
Forming the second preliminary unit layer includes adsorbing the second precursor material onto the semiconductor substrate in the empty region.
제 15 항에 있어서,
상기 과흡착 부분은 상기 구조물의 상부 영역에 형성되고, 상기 빈 영역은 상기 과흡착 부분 보다 낮은 레벨에 위치하는 상기 구조물의 하부 영역에 형성되는 반도체 소자의 제조방법.
The method of claim 15,
And the over-adsorption portion is formed in an upper region of the structure, and the empty region is formed in a lower region of the structure located at a lower level than the over-adsorption portion.
반도체 기판을 공정 챔버 내로 로딩하고,
상기 공정 챔버 내의 상기 반도체 기판 상에 증착 막을 형성하되, 상기 증착 막은 상기 반도체 기판 상에 단위 층을 반복적으로 형성하는 것을 포함하고,
상기 증착 막이 형성된 반도체 기판을 상기 공정 챔버 로부터 언로딩하는 것을 포함하되,
상기 단위 층을 형성하는 것은
상기 공정 챔버 내에 막-제어 물질 및 전구체 물질을 포함하는 제1 공정 물질을 공급하여 예비 단위 층을 형성하는 것을 포함하되, 상기 전구체 물질은 중심 원자 및 상기 중심 원자와 결합된 리간드를 포함하는 제1 화합물이고, 상기 예비 단위 층은 상기 전구체 물질과 상기 막-제어 물질이 결합 하여 형성된 제2 화합물을 포함하고,
상기 예비 단위 층을 갖는 반도체 기판이 위치하는 공정 챔버를 제1 퍼지하고,
상기 제1 퍼지된 공정 챔버 내의 상기 예비 단위 층을 단위 층으로 형성하되, 상기 예비 단위 층을 상기 단위 층으로 형성하면서 상기 예비 단위 층으로부터 상기 제2 화합물 내의 상기 리간드 및 상기 막-제어 물질이 분리되어 반응 부산물이 형성되고,
상기 단위 층을 갖는 반도체 기판이 위치하는 공정 챔버를 제2 퍼지하면서 상기 반응 부산물을 제거하는 것을 포함하는 반도체 소자의 제조방법.
Loading the semiconductor substrate into the process chamber,
Forming a deposition film on the semiconductor substrate in the process chamber, the deposition film comprising repeatedly forming a unit layer on the semiconductor substrate,
Unloading the semiconductor substrate on which the deposition film is formed from the process chamber,
Forming the unit layer is
Supplying a first process material comprising a film-controlling material and a precursor material into the process chamber to form a preliminary unit layer, wherein the precursor material comprises a first atom comprising a central atom and a ligand bound to the central atom; Compound, wherein the preliminary unit layer includes a second compound formed by combining the precursor material and the film control material,
First purging the process chamber in which the semiconductor substrate having the preliminary unit layer is located,
Forming the preliminary unit layer in the first purged process chamber as a unit layer, wherein the ligand and the membrane-controlled material in the second compound are separated from the preliminary unit layer while forming the preliminary unit layer as the unit layer. To form reaction byproducts,
And removing the reaction by-products while purging the process chamber in which the semiconductor substrate having the unit layer is located.
제 18 항에 있어서,
상기 예비 단위 층을 형성하는 동안에,
상기 공정 챔버 내에서 상기 전구체 물질의 전구체 분자들이 서로 결합하여 전구체 클러스터를 형성하고,
상기 막-제어 물질은 상기 전구체 클러스터의 분자들 사이의 결합을 끊으면서 상기 전구체 클러스터의 분자와 결합하여 상기 제2 화합물을 형성하는 반도체 소자의 제조방법.
The method of claim 18,
While forming the preliminary unit layer,
Precursor molecules of the precursor material combine with each other in the process chamber to form a precursor cluster,
And the film-controlling material bonds with molecules of the precursor cluster while forming bonds between molecules of the precursor cluster to form the second compound.
제 18 항에 있어서,
상기 예비 단위 층을 형성하는 것은 상기 제1 화합물, 상기 막-제어 물질 및 상기 제2 화합물이 공존하는 공정 분위기에서 진행하는 것을 포함하는 반도체 소자의 제조방법.
The method of claim 18,
Forming the preliminary unit layer includes proceeding in a process atmosphere in which the first compound, the film-controlling material, and the second compound coexist.
KR1020120080195A 2012-03-14 2012-07-23 A method of fabricating a semiconductor device KR101929224B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/775,595 US9349583B2 (en) 2012-03-14 2013-02-25 Method of fabricating semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261610577P 2012-03-14 2012-03-14
US61/610,577 2012-03-14

Publications (2)

Publication Number Publication Date
KR20130105238A true KR20130105238A (en) 2013-09-25
KR101929224B1 KR101929224B1 (en) 2018-12-14

Family

ID=49453938

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120080195A KR101929224B1 (en) 2012-03-14 2012-07-23 A method of fabricating a semiconductor device

Country Status (1)

Country Link
KR (1) KR101929224B1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101689485B1 (en) * 2015-12-29 2016-12-27 한국기술교육대학교 산학협력단 Method for evaluating bonding structure of precursor for atomic layer deposition
KR20170016748A (en) * 2015-08-04 2017-02-14 삼성전자주식회사 Method of forming a material layer
KR102141547B1 (en) * 2019-09-25 2020-09-14 솔브레인 주식회사 Method for forming thin film
KR102156663B1 (en) * 2019-09-25 2020-09-21 솔브레인 주식회사 Method for forming thin film
WO2021137595A1 (en) * 2020-01-03 2021-07-08 주식회사 유진테크 머티리얼즈 Method for forming material film using surface protection material
KR20220028985A (en) * 2020-09-01 2022-03-08 에스케이하이닉스 주식회사 Depotisition inhibitor and method for forming dielectric layer using the same
WO2022177403A1 (en) * 2021-02-22 2022-08-25 솔브레인 주식회사 Auxiliary precursor, thin film precursor composition, method for forming thin film, and semiconductor substrate manufactured thereby
WO2022186644A1 (en) * 2021-03-04 2022-09-09 솔브레인 주식회사 Metal thin film precursor composition, method for forming thin film by using same, and semiconductor substrate manufactured therefrom
WO2023096216A1 (en) * 2021-11-25 2023-06-01 솔브레인 주식회사 Film quality improver, thin film forming method using same, semiconductor substrate manufactured therefrom, and semiconductor device
WO2024054065A1 (en) * 2022-09-07 2024-03-14 솔브레인 주식회사 Shielding compound, thin film formation method using same, and semiconductor substrate and semiconductor device manufactured therefrom

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080102205A1 (en) * 2006-10-27 2008-05-01 Barry Sean T ALD of metal-containing films using cyclopentadienyl compounds
US20090275198A1 (en) * 2008-05-01 2009-11-05 Smuruthi Kamepalli Vapor Phase Methods for Forming Electrodes in Phase Change Memory Devices

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US20090130414A1 (en) 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8168811B2 (en) 2008-07-22 2012-05-01 Advanced Technology Materials, Inc. Precursors for CVD/ALD of metal-containing films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080102205A1 (en) * 2006-10-27 2008-05-01 Barry Sean T ALD of metal-containing films using cyclopentadienyl compounds
US20090275198A1 (en) * 2008-05-01 2009-11-05 Smuruthi Kamepalli Vapor Phase Methods for Forming Electrodes in Phase Change Memory Devices

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170016748A (en) * 2015-08-04 2017-02-14 삼성전자주식회사 Method of forming a material layer
KR101689485B1 (en) * 2015-12-29 2016-12-27 한국기술교육대학교 산학협력단 Method for evaluating bonding structure of precursor for atomic layer deposition
WO2021060860A1 (en) * 2019-09-25 2021-04-01 솔브레인 주식회사 Method for manufacturing thin film
KR102156663B1 (en) * 2019-09-25 2020-09-21 솔브레인 주식회사 Method for forming thin film
CN112553599A (en) * 2019-09-25 2021-03-26 秀博瑞殷株式公社 Method for producing thin film
WO2021060864A1 (en) * 2019-09-25 2021-04-01 솔브레인 주식회사 Thin film fabrication method
KR102141547B1 (en) * 2019-09-25 2020-09-14 솔브레인 주식회사 Method for forming thin film
CN112813416A (en) * 2019-09-25 2021-05-18 秀博瑞殷株式公社 Method for producing thin film
TWI733346B (en) * 2019-09-25 2021-07-11 南韓商秀博瑞殷股份有限公司 Method for forming thin film and thin film manufacturing device
WO2021137595A1 (en) * 2020-01-03 2021-07-08 주식회사 유진테크 머티리얼즈 Method for forming material film using surface protection material
KR20220028985A (en) * 2020-09-01 2022-03-08 에스케이하이닉스 주식회사 Depotisition inhibitor and method for forming dielectric layer using the same
WO2022177403A1 (en) * 2021-02-22 2022-08-25 솔브레인 주식회사 Auxiliary precursor, thin film precursor composition, method for forming thin film, and semiconductor substrate manufactured thereby
WO2022186644A1 (en) * 2021-03-04 2022-09-09 솔브레인 주식회사 Metal thin film precursor composition, method for forming thin film by using same, and semiconductor substrate manufactured therefrom
WO2023096216A1 (en) * 2021-11-25 2023-06-01 솔브레인 주식회사 Film quality improver, thin film forming method using same, semiconductor substrate manufactured therefrom, and semiconductor device
WO2024054065A1 (en) * 2022-09-07 2024-03-14 솔브레인 주식회사 Shielding compound, thin film formation method using same, and semiconductor substrate and semiconductor device manufactured therefrom

Also Published As

Publication number Publication date
KR101929224B1 (en) 2018-12-14

Similar Documents

Publication Publication Date Title
KR101929224B1 (en) A method of fabricating a semiconductor device
KR102636173B1 (en) Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
JP6128750B2 (en) Method for forming oxide layer and method for manufacturing semiconductor device including the same
US7858525B2 (en) Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
US7863198B2 (en) Method and device to vary growth rate of thin films over semiconductor structures
WO2007013924A1 (en) Low resistance titanium nitride films
KR101584100B1 (en) Methods of fabricating metal silicate layer and methods for semiconductor device using the same
US9082611B2 (en) Methods of forming a layer
TWI438299B (en) Methods of depositing antimony-comprising phase change material onto a substrate and methods of forming phase change memory circuitry
CN113314670A (en) Capacitor, semiconductor device and electronic apparatus including the same, and method of manufacturing capacitor
US11810946B2 (en) Integrated circuit device including capacitor with metal nitrate interfacial layer
KR102635125B1 (en) Depotisition inhibitor and method for forming dielectric layer using the same
US9437419B2 (en) Method of forming a layer using a trialkylsilane silicon precursor compound
WO2015164215A1 (en) Methods of forming a memory cell material, and related methods of forming a semiconductor device structure, memory cell materials, and semiconductor device
KR102077819B1 (en) Thin Film Transistor, and the Manufacturing Method of the same
US20080265243A1 (en) Magnetic floating gate flash memory structures
US9349583B2 (en) Method of fabricating semiconductor device
US11164937B2 (en) Semiconductor device and manufacturing method thereof
KR20170120537A (en) Stabilized Metal Monolayer Structure and the Manufacturing Method Thereof
US20210066592A1 (en) Deposition Of Metal-Organic Oxide Films
KR100794718B1 (en) Method of forming mim capacitor
CN117403208A (en) Method of forming film and method of manufacturing semiconductor device using the film
KR20070030434A (en) Method of forming a dielectric layer structure and method of forming a capacitor using the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant