KR20130101391A - 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자 - Google Patents

그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자 Download PDF

Info

Publication number
KR20130101391A
KR20130101391A KR1020120022507A KR20120022507A KR20130101391A KR 20130101391 A KR20130101391 A KR 20130101391A KR 1020120022507 A KR1020120022507 A KR 1020120022507A KR 20120022507 A KR20120022507 A KR 20120022507A KR 20130101391 A KR20130101391 A KR 20130101391A
Authority
KR
South Korea
Prior art keywords
graphene
catalyst
substrate
carbon
deposited
Prior art date
Application number
KR1020120022507A
Other languages
English (en)
Other versions
KR101350263B1 (ko
Inventor
송용원
임정아
강동석
김원준
Original Assignee
한국과학기술연구원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국과학기술연구원 filed Critical 한국과학기술연구원
Priority to KR1020120022507A priority Critical patent/KR101350263B1/ko
Publication of KR20130101391A publication Critical patent/KR20130101391A/ko
Application granted granted Critical
Publication of KR101350263B1 publication Critical patent/KR101350263B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/184Preparation
    • C01B32/186Preparation by chemical vapour deposition [CVD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/70Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the iron group metals or copper
    • B01J23/74Iron group metals
    • B01J23/755Nickel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/08Preparation of the foundation plate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

본 명세서는, 기판 위에 촉매를 증착하는 단계, 인위적인 탄소 공급원의 제공 없이, 증착된 상기 촉매 내에 증착에 의하여 포함된 탄소가 상기 촉매의 결정 경계를 통하여 상기 기판 및 상기 촉매의 층간에 확산되도록 상기 기판을 가열하는 단계, 상기 확산된 탄소가 그래핀 결정을 형성하고 성장시켜 그래핀을 생성하도록 상기 가열된 기판을 냉각하는 단계, 및 상기 생성된 그래핀을 획득하기 위하여, 상기 촉매를 식각하는 단계를 포함하는 것을 특징으로 하는 그래핀 제조 방법, 이에 따라 제조되는 그래핀, 및 그 그래핀을 포함하는 전자 소자에 관한 것이다.

Description

그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자{METHOD FOR MANUFACTURING GRAPHENE, GRAPHENE MANUFACTURED BY THE METHOD, ELECTRONIC DEVICES COMPRISING THE GRAPHENE}
본 명세서는 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자에 관한 것이다.
최근 그래핀(graphene)은, 매우 높은 캐리어 이동성(carrier mobility)과 광학 비선형성 등 유리한 성질로 인해 미래의 전자/광전자 장치를 위한 가장 유력한 물질로 각광받고 있다. 그에 따라 현재까지 고효율이면서, 결정성(crystalinity), 캐리어 이동성, 결정 확장성 등과 같은 그래핀의 나노 구조적/전기적 특성을 개선하기 위한 여러 가지 그래핀 합성 방법이 연구되었다. 특히, 이러한 그래핀 기반의 투명 전극, 활성 장치의 채널 층, 배터리 전극, 그래핀을 이용한 펨토 초(femtosecond) 레이저, 광검출기(photodetector)와 같은 그래핀의 응용이 집중적으로 연구되고, 매우 짧은 연구 역사에도 불구하고 유익한 결과를 가져왔다.
그러나, 불행히도 나노층 사이의 저항뿐만 아니라, 복수층 그래핀의 형태 제어 및 층수 제어의 문제가 미래의 전자 및 광자 응용에 대하여 극복해야 할 과제로 남아있다.
더 중요한 것은, 장치 제조의 낮은 효율에 따른 나노구조의 유해한 결함을 피하기 위하여, 사용자 정의 기판으로 그래핀을 이동시킬 때 발생하는 문제에 대한 구체적인 해결책이 요구된다.
이에 따라, 최근의 연구 결과들은 그래핀 기반 장치의 준비 과정을 단순화하기 위한 다각적인 실험을 설명하고 있다.
특히, 그래핀 이동 과정을 피하기 위해 기판과 촉매 사이에 그래핀 층을 형성하는 새로운 접근방식이 소개되고 있다. 이는, 탄소원(carbon source)으로 고분자(polymer) 물질이 기판과 촉매 사이에 삽입되고, 디자인된 기판 위에 그래핀 층의 직접적 형성을 위한 층간 영역에서 지역적 탄소 농도를 조절하는 탄소 원자를 제공하기 위해 가열된다.
그래핀 합성에 있어서 이러한 '층간 성장(interlayer growth)' 기술이 새로운 연구의 단계를 열었음에도 불구하고, 고분자 탄소원은 나노 격자의 통제할 수 없는 결함과 오염 문제를 포함한 결정의 불균일성을 일으키기 때문에, 탄소원의 해결이 중요한 문제로 간주되고 있다. 또한, 고분자 탄소원과 함께 그래핀 층을 패터닝 (patterning)하는 것은 패터닝 되지 않은 영역에 축적되어 남게 되는 고분자 층의 제거 없이는 매우 어려운 과제가 된다.
본 명세서는, 인위적인 탄소원 제공 없이 그래핀을 제조하는 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자를 제공한다.
또한, 본 명세서는, 패터닝 된 촉매를 사용함으로써 추가적인 과정 없이 그에 따라 패터닝 되는 그래핀을 제조하는 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자를 제공한다.
또한, 본 명세서는, 증착기(evaporator; thermal evaporator이거나 e-beam evaporator일 수 있다.)에서 촉매 증착 및 그래핀 생성을 단일 공정으로 수행하여 그래핀을 제조하는 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자를 제공한다.
본 명세서에 개시된 그래핀 제조 방법은, 기판 위에 촉매를 증착하는 단계, 인위적인 탄소 공급원의 제공 없이, 증착된 상기 촉매 내에 증착에 의하여 포함된 탄소가 상기 촉매의 결정 경계를 통하여 상기 기판 및 상기 촉매의 층간에 확산되도록 상기 기판을 가열하는 단계, 상기 확산된 탄소가 그래핀 결정을 형성하고 성장시켜 그래핀을 생성하도록 상기 가열된 기판을 냉각하는 단계, 및 상기 생성된 그래핀을 획득하기 위하여, 상기 촉매를 식각하는 단계를 포함하는 것을 특징으로 한다.
또한, 상기 기판의 가열은, 상기 기판의 이동 없이 상기 촉매가 증착되는 증착기 내에서 가열하는 것을 특징으로 한다.
또한, 상기 촉매를 증착하는 단계는, 패터닝 된 그래핀을 얻기 위하여 상기 기판 위에 섀도우 마스크(shadow mask)를 적용하는 단계, 및 상기 섀도우 마스크 위에 상기 촉매를 증착하는 단계를 포함하는 것을 특징으로 한다.
또한, 상기 촉매는, 전도성을 갖는 금속 물질인 것을 특징으로 한다.
또한, 상기 금속 물질은 니켈인 것을 특징으로 한다.
또한, 상기 촉매의 식각은, 상기 기판의 일부 표면에만 그래핀이 노출되도록 상기 촉매를 부분적으로 식각하는 것을 특징으로 한다.
또한, 본 명세서에 개시된 실시 예에 따른 그래핀은, 기판 위에 촉매를 증착하고, 인위적인 탄소 공급원의 제공 없이, 증착된 상기 촉매 내에 증착에 의하여 포함된 탄소가 상기 촉매의 결정 경계를 통하여, 상기 기판 및 상기 촉매의 층간에 확산되도록 상기 기판을 가열하고, 상기 확산된 탄소가 그래핀 결정을 형성하고 성장시켜 그래핀을 생성하도록 상기 가열된 기판을 냉각하고, 상기 생성된 그래핀을 획득하기 위하여, 상기 촉매를 식각하여 제조되는 것을 특징으로 한다.
또한, 상기 기판은, 상기 기판의 이동 없이 상기 촉매가 증착되는 증착기 내에서 가열되는 것을 특징으로 한다.
또한, 상기 기판은, 패터닝 된 그래핀을 얻기 위하여 섀도우 마스크(shadow mask)가 적용되는 것을 특징으로 한다.
또한, 상기 촉매는, 전도성을 갖는 금속 물질인 것을 특징으로 한다.
또한, 상기 금속 물질은 니켈인 것을 특징으로 한다.
또한, 본 명세서에 개시된 실시 예에 따른 전자 소자는, 기판, 전도성을 갖는 금속 물질이면서, 상기 기판 위에 증착되는 촉매, 및 상기 기판 및 상기 촉매의 층간에 형성되는 그래핀을 포함하되, 상기 촉매는, 상기 기판의 일부 표면에 대하여 부분적으로 식각되고, 상기 그래핀은, 인위적인 탄소 공급원의 제공 없이, 증착된 상기 촉매 내에 증착에 의하여 포함된 탄소가 상기 촉매의 결정 경계를 통하여, 상기 기판 및 상기 촉매의 층간에 확산되도록 상기 기판을 가열하고, 상기 확산된 탄소가 그래핀 결정을 형성하고 성장시키도록 상기 가열된 기판을 냉각하여 형성되는 것을 특징으로 한다.
또한, 상기 금속 물질은 니켈인 것을 특징으로 한다.
본 명세서에 개시된 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자에 따르면, 인위적인 탄소 원의 제공을 요구하지 않는 간단하고 효율적인 장비로 고성능 애플리케이션을 위한 그래핀 및 그래핀 기반 장치의 제공을 가능하게 한다.
또한, 본 명세서에 개시된 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자에 따르면, 단순히 패터닝 된 촉매를 이용하여 패터닝 된 그래핀을 효율적으로 얻을 수 있고, 추가적인 금속 촉매를 사용할 경우 금속 증착 과정 없이 전자 소자의 제작을 가능하게 한다.
또한, 본 명세서에 개시된 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자에 따르면, 촉매 증착과 그래핀 합성을 금속 증착기에서 단일 공정으로 수행하여 그래핀 샘플의 대기 노출에 의한 추가 오염을 방지하여 그래핀을 제조할 수 있다.
도 1은 본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법을 나타낸 순서도이다.
도 2는 본 명세서에 개시된 실시 예에 따른 그래핀 제조 과정을 시각적으로 나타낸 도면이다.
도 3은 본 명세서에 개시된 제 1 실시 예에 따라 제조된 그래핀의 SEM 이미지를 나타낸 도면이다.
도 4는 본 명세서에 개시된 제 1 실시 예에 따라 제조된 그래핀의 TEM 이미지를 나타낸 도면이다.
도 5는 본 명세서에 개시된 제 1 실시 예에 따라 제조된 그래핀의 스펙트럼 분석 결과를 나타낸 도면이다.
도 6은 본 명세서에 개시된 제 1 실시 예에 따라 제조된 그래핀을 포함하는 다이오드 및 특성 곡선을 나타낸 도면이다.
도 7은 본 명세서에 개시된 제 2 실시 예에 따라 제조된 그래핀의 AFM 이미지를 나타낸 도면이다.
도 8은 본 명세서에 개시된 제 2 실시 예에 따라 제조된 그래핀의 TEM 이미지를 나타낸 도면이다.
도 9는 본 명세서에 개시된 제 2 실시 예에 따라 제조된 그래핀의 스펙트럼 분석 결과를 나타낸 도면이다.
본 명세서에서 사용되는 기술적 용어는 단지 특정한 실시 예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아님을 유의해야 한다. 또한, 본 명세서에서 사용되는 기술적 용어는 본 명세서에서 특별히 다른 의미로 정의되지 않는 한, 본 명세서에 개시된 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 의미로 해석되어야 하며, 과도하게 포괄적인 의미로 해석되거나, 과도하게 축소된 의미로 해석되지 않아야 한다. 또한, 본 명세서에서 사용되는 기술적인 용어가 본 명세서에 개시된 기술의 사상을 정확하게 표현하지 못하는 잘못된 기술적 용어일 때에는, 당업자가 올바르게 이해할 수 있는 기술적 용어로 대체되어 이해되어야 할 것이다.
또한, 본 명세서에서 사용되는 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 명세서에서, "구성된다." 또는 "포함한다." 등의 용어는 명세서상에 기재된 여러 구성 요소들, 또는 여러 단계를 반드시 모두 포함하는 것으로 해석되지 않아야 하며, 그 중 일부 구성 요소들 또는 일부 단계들은 포함되지 않을 수도 있고, 또는 추가적인 구성 요소 또는 단계들을 더 포함할 수 있는 것으로 해석되어야 한다.
또한, 본 명세서에서 사용되는 구성요소에 대한 접미사 "부"는 명세서 작성의 용이함만이 고려되어 부여되거나 혼용되는 것으로서, 그 자체로 서로 구별되는 의미 또는 역할을 갖는 것은 아니다.
또한, 본 명세서에 개시된 기술을 설명함에 있어서 관련된 공지 기술에 대한 구체적인 설명이 본 명세서에 개시된 기술의 요지를 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다. 또한, 첨부된 도면은 본 명세서에 개시된 기술의 사상을 쉽게 이해할 수 있도록 하기 위한 것일 뿐, 첨부된 도면에 의해 그 기술의 사상이 제한되는 것으로 해석되어서는 아니 됨을 유의해야 한다.
이하, 첨부된 도면을 참조하여 본 명세서에 개시된 실시 예들을 상세히 설명하도록 한다.
도 1은 본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법을 나타낸 순서도이다.
도 1을 참조하면, 먼저 본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법은, 기판(210) 위에 촉매(220)를 증착한다(S110).
도 2의 (a) 및 (b)를 참조하면, 그래핀 제조를 위한 상기 기판(210) 위에 상기 촉매(220)가 증착될 수 있다. 이때, 공기 중에 불순물의 형태로 존재하는 탄소가 증착되는 상기 촉매(220)의 출발 물질 또는 상기 기판(210)의 표면에 흡착될 수 있다. 이로써, 상기 탄소는 상기 촉매(220)의 층 내부에 분포하게 되며, 그래핀을 형성할 수 있다.
이때, 상기 기판(210)은 유기 오염 물질을 제거하기 위해, 상기 촉매(220)의 증착 전 세척 과정을 거칠 수 있다. 예를 들어, 상기 기판(210)은 피라나 용액(piranha solution, H2SO4 : H2O2 = 10:7)으로 세척될 수 있다.
본 명세서에 개시된 실시 예에 따르면, 상기 기판(210)은 이산화규소/실리콘(SiO2/Si) 기판일 수 있다. 또한, 상기 촉매(220)는 니켈(Ni)과 같이 전도성을 갖는 금속 물질일 수 있다.
또한, 본 명세서에 개시된 실시 예에 따르면, 상기 기판(210)은, 도 2의 (a)에 도시된 바와 같이, 섀도우 마스크(shadow mask)(211)가 적용될 수 있다. 즉, 상기 기판(210)은 패터닝(patterning) 된 그래핀을 얻기 위하여 상기 섀도우 마스크(211)를 적용한 후에, 촉매를 증착할 수 있다.
상기 섀도우 마스크(211)는 주로 컬러 텔레비전의 브라운관에 사용되는 것으로, 복수의 둥근 구멍 또는 각형의 구멍이 뚫린 금속판을 말한다. 상기 기판(210)은, 도 2의 (b)에 도시된 바와 같이, 상기 복수의 둥근 구멍(또는 각형의 구멍)의 패턴으로 상기 촉매(220)가 증착될 수 있도록 상기 섀도우 마스크(211)를 적용할 수 있다. 이에 따라, 상기 촉매(220)를 통하여 확산된 탄소에 의해 형성되는 그래핀은, 상기 임의의 패턴으로 증착된 촉매(220)에 의하여 상기 촉매(220)와 동일하게 패터닝 된 상태로 형성될 수 있다.
본 명세서에 개시된 실시 예에 따르면, 상기 촉매(220)는 증착기(evaporator, 또는 증발기)를 이용하여 상기 기판에 증착될 수 있다. 즉, 상기 촉매(220)는 상기 증착기에서 방출된 열에 의하여 증발된 후, 상기 기판(210)에 박막으로 형성되는 방법으로 증착될 수 있다. 상기 증착기는 전자 빔(electron beam; e-beam) 증착기 또는 열 저항 방식을 사용하는 증착기(thermal evaporator)일 수 있다.
그 다음 본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법은, 상기 기판(210)을 가열한다(S120).
상기 기판(210)의 가열에 의하여 그래핀 형성을 위한 탄소가 상기 촉매(220) 내로 확산 될 수 있다. 이때, 상기 탄소는 상기 촉매(220)의 결정 경계(grain boundary; 이하 GB)를 통하여 상기 기판(210) 및 상기 촉매(220)의 층간으로 확산 될 수 있다.
상기 탄소는, 탄소 원자를 포함하는 주위 불순물로부터 상기 촉매(220) 내로 확산될 수 있다. 또는, 상기 탄소는 상기 촉매(220)의 증착 시에 상기 촉매(220)의 출발 물질 또는 상기 기판(210)의 표면에 흡착되어 가열에 의해 상기 촉매(220) 내로 확산 될 수 있다.
따라서, 본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법은, 인위적인 탄소 공급원의 제공 없이 그래핀을 형성할 수 있다. 즉, 상기 기판(210)은 그래핀 형성을 위한 PS, PAN, PMMA 등의 고분자 탄소 공급원을 상기 기판(210) 및 상기 촉매(220)의 층간에 코팅하는 별도의 과정 없이 촉매 증착과 함께 흡착된 탄소로부터 그래핀을 형성할 수 있다.
결과적으로 인위적인 탄소 공급원을 사용하지 않음으로써, 공정 장치의 제약을 현저하게 줄이고 친환경적으로 그래핀을 제조할 수 있다. 또한, 탄소 공급원의 제공을 위한 별도의 공정 수행 없이 단일 공정으로 그래핀을 제조할 수 있게 된다.
상기 기판(210)은 탄소 원자를 포함하는 주위 불순물이 존재하는 튜브로(tube furnace) 내에서 가열될 수 있다.
또는, 본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법에 있어서, 상기 기판(210)은 상기 증착기 내에서 가열될 수 있다. 상기 기판(210)은 상기 기판(210)에 상기 촉매(220)를 증착한 상기 증착기 내에서 다른 장치로 이동 없이 직접 가열될 수 있다.
따라서, 상기 기판(210) 상에 상기 촉매(220)의 증착 및 그래핀 확산에 의한 그래핀 층 형성을 위한 상기 기판(210)의 가열을 상기 증착기 내에서 단일 공정으로 수행할 수 있다. 이로써, 본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법은, 그래핀 합성 공정을 위한 기판(또는 샘플)의 대기 노출이 없어 추가적인 오염을 방지할 수 있다.
본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법에 있어서, 상기 기판(210)의 가열 조건에 특별한 제한을 두지 않으나, 상기 기판(210)은 임의의 진공도, 가열 온도 및 가열 시간의 조건 하에서 가열될 수 있다. 예를 들어, 상기 기판(210)은, 진공도 10-3 토르(torr)에서 섭씨 1000도로 30 내지 50초 동안 가열될 수 있다.
상기 탄소 원자는 상기 촉매(220)의 GB를 통하여 확산되기 때문에, 상기 탄소 원자의 확산 흐름을 결정하는 상기 GB 밀도를 제어하기 위하여, 다단계(multi-stepping)로 가열이 이루어질 수 있다.
그 후 본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법은, 상기 기판을 냉각한다(S130).
상기 기판(210)은, 상기 확산된 탄소가 그래핀 결정을 형성하고 성장시켜 그래핀을 생성하도록 냉각될 수 있다. 즉, 가열되었던 상기 탄소는 냉각 과정을 통하여 기화 온도 이하가 되면 그래핀 결정을 형성하고, 냉각이 진행됨에 따라 형성된 결정이 성장하여 그래핀(230)을 형성할 수 있다.
상기 기판(210) 및 상기 촉매(220)의 층간으로 확산된 상기 탄소는, 상기 층간에서 냉각되어, 도 2의 (c)에 도시된 바와 같이 상기 기판(210) 및 상기 촉매(220)의 층간에 그래핀(230)을 형성할 수 있다.
즉, 본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법에서의 탄소원은 주위의 불순물에 포함된 탄소 원자 등일 수 있으며, 이러한 불순물들은 촉매의 출발 물질 표면이나 기판의 표면에 흡착되어, 공정 중에 금속 촉매 층으로 포함되게 되고, 열처리 과정에서 이들 불순물로 포함된 탄소 원자의 확산, 핵 생성, 그리고 결정 성장의 과정을 거쳐 그래핀을 형성하게 된다.
상기 탄소는 냉각 과정을 통하여, 다층의 그래핀(230)을 형성할 수 있다.
상기 기판(210)의 냉각은 단순히 상기 튜브로(tube furnace) 또는 상기 증착기의 열원 공급을 중단함으로써 수행될 수 있다.
본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법에 있어서, 상기 기판(210)의 냉각 조건에 특별한 제한을 두지 않으나, 상기 기판(210)은 임의의 냉각 온도 및 냉각 시간의 조건 하에서 냉각될 수 있다. 예를 들어, 상기 기판(210)은, 상온에서 3 내지 4분 동안 냉각될 수 있다.
마지막으로 본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법은, 상기 촉매를 식각한다(S140).
상기 기판(210) 및 상기 촉매(220)의 층간에 형성된 상기 그래핀(230)을 획득하기 위하여, 상기 촉매(220)가 식각될 수 있다. 식각에 의하여 상기 촉매(220)가 제거되므로, 도 2의 (d)에 도시된 바와 같이 형성된 상기 그래핀(230)이 상기 기판(210)상에 노출되어 이를 획득할 수 있다.
형성된 상기 그래핀(230)은 탄소 원자가 육각형을 이루는 2차원 평면 형태를 가질 수 있다. 또한, 상기 그래핀(230)은 다층 구조로 형성될 수 있다.
상기 촉매(220)가 니켈인 경우, 상기 촉매(220)는 0.1 내지 0.2 몰(mole)의 염화철(Ferric Fhloride; FeCl3)에 의하여 식각될 수 있다.
본 명세서에 개시된 실시 예에 따르면, 상기 촉매(220)는 전자 소자 제작을 위하여, 상기 기판(210)의 일부 표면에만 그래핀이 노출되도록 부분적으로 식각될 수 있다. 즉, 상기 촉매(220)는 부분적으로 식각되어, 상기 기판(210)의 일 표면은 형성된 상기 그래핀(230)이 노출되고, 다른 일 표면은 상기 촉매(220)로 덮이도록 할 수 있다. 이로써, 상기 기판(210) 상에 구성되는 상기 그래핀(230) 및 상기 촉매(220)는 추가 공정 없이 직접 전자 소자로 사용될 수 있다.
상기 전자 소자는 상기 그래핀(230) 및 식각된 상기 촉매(220)를 전극 또는 금속-반도체(metal-semiconductor; MS) 접합으로 사용하는 것으로, 예를 들어, 다이오드, 쇼트키 다이오드(Schottky diode) 등일 수 있다..
이하에서는, 본 명세서에 개시된 실시 예에 따른 그래핀 제조 방법을 통해 제조된 그래핀의 다양한 분석 결과를 설명한다.
제 1 실시 예
하기의 제 1 실시 예에서는, 300nm 이산화규소/실리콘 기판에 전자 빔 증착기(또는, 증발기)를 이용하여 100nm 니켈 필름을 촉매로 사용하여 제조된 그래핀에 대하여 분석하여 얻은 실험 결과를 보인다. 기판 및 촉매는 튜브 용광로로 이동하여 진공도 10-3 토르(torr) 및 섭씨 1000도의 조건으로 30 내지 50초 동안 가열되었으며, 상온에서 3 내지 4분 동안 냉각되었다. 또한, 니켈 촉매는 0.1 내지 0.2 몰(mole)의 염화철(Ferric Fhloride; FeCl3)로 식각되었다.
도 3은 본 명세서에 개시된 제 1 실시 예에 따라 제조된 그래핀의 SEM 이미지를 나타낸 도면이다.
도 3의 (a) 및 (b)는 각각 가열 과정 전과 후의 촉매 표면에 대한 주사 전자 현미경(Scanning Electron Microscop; SEM) 이미지이다.
상기 촉매의 다결정(poly-crystal) 형태는 형성된 상기 그래핀의 형태 및 결정성을 결정하는데 중요한 역할을 할 수 있다.
도 3의 (a)를 참조하면, 가열 과정 전의 상기 촉매는 소량의 입자 성장을 보이는 작고 균일한 입자 분포를 보인다. 따라서 상기 촉매는 가열 전, 고밀도의 결정 경계(grain boundarie; GB)를 가질 수 있다.
반면, 도 3의 (b)를 참조하면, 가열 과정 후의 상기 촉매는 약 500nm 크기의 큰 입자를 갖는다. 상기 그래핀의 결정 핵 생성 및 성장 속도는, 가열 중에 확산되는 탄소 원자 흐름에 의하여 통제될 수 있다. 탄소 원자의 확산은 상기 GB를 통하여 이루어지므로, GB의 밀도를 조절하여 탄소 원자의 흐름을 결정할 수 있다.
GB 밀도의 조절은, 가열 과정의 다단계화와 같은 처리 조건 최적화를 통해 이루어질 수 있으며, 이로써 상기 촉매의 가열 후 입자 크기 및 이에 대응하는 상기 그래핀의 성장을 조절할 수 있다.
도 3의 (c)는 합성된 그래핀의 SEM 이미지이다. 도 3의 (c) 참조하면, 상기 그래핀에 나타나는 Ni-GB의 중첩된 약한 패턴은 GB 근처의 높은 탄소 밀도, 즉 탄소 원자의 고속 확산 경로를 증명한다. 즉, 도 3의 (d)에 도시된 같이, 본 명세서에 개시된 제 1 실시 예에 따른 그래핀 제조 방법은, 촉매 형틀(matrix)을 통해 탄소 원자가 유입되는 GB 확산 메커니즘을 갖는다는 것을 알 수 있다.
이러한 분석 결과는 GB 확산이 그래핀 제조에 있어서, 그래핀 원자 층 결정 구조를 형성하는 탄소 공급에 주요한 역할을 한다는 것을 증명한다. 형성된 상기 그래핀의 전기적 특성은 상기 그래핀의 결정 크기에 의존하고, 따라서 전기적 특성 역시 상기 촉매의 입자 크기 조절에 의하여 제어할 수 있다.
도 4는 본 명세서에 개시된 제 1 실시 예에 따라 제조된 그래핀의 TEM 이미지를 나타낸 도면이다.
도 4의 (a)는 다층 그래핀의 투과 전자 현미경(Transmission Electron Microscope; TEM) 이미지 및 가장자리 확대 영상을 나타낸다.
도 4의 (a)를 참조하면, 본 명세서에 개시된 제 1 실시 예에 따른 그래핀 제조 방법에 의하여 형성된, 주름진 가장자리의 다층 그래핀을 확인할 수 있다. 상기 다층 그래핀은 5 내지 10층으로 쌓인 것으로 관찰된다.
또한, 도 4의 (a)를 참조하면, 형성된 상기 그래핀의 제한 시야 전자 회절(selected area electron diffraction; SAED) 패턴을 확인할 수 있다. SAED 패턴에 나타난 밝은 점들은 명확한 육각 패턴을 보이며, 이는 형성된 상기 그래핀이 명확한 6-fold 대칭성(6-fold symmetry)을 갖는다는 것을 의미한다.
또한, 도 4의 (b)를 참조하면, 형성된 상기 그래핀 위에 불규칙한 육각형 층이 추가로 형성된 것을 확인할 수 있다. 촉매로 사용된 니켈 입자 및 추가로 형성된 상기 육각형 층의 층간 거리 사이에 크기 비례가 동일하다는 것을 고려하면, GB가 탄소 운반의 관(pipe)을 제공하고, 높은 탄소 밀도에 의한 결정 핵 생성 장소로서 역할을 하는 것을 설명할 수 있다.
도 5는 본 명세서에 개시된 제 1 실시 예에 따라 제조된 그래핀의 스펙트럼 분석 결과를 나타낸 도면이다.
도 5의 (a)는 300nm 이산화규소/실리콘 기판에서 형성된 그래핀의 라만 스펙트럼을 나타낸다. 라만 스펙트럼 분석을 통하여, 형성된 상기 그래핀 층의 특성을 분석할 수 있다.
이산화규소/실리콘 기판상에 형성된 다층 그래핀은 2906cm-1(2D 피크) 및 1584cm-1(G 피크)에서 가장 집중적인 두 개의 피크를 갖는 전형적인 그래핀 스펙트럼을 보인다. 2D 피크는 G 피크보다 높으며, 이는 본 명세서에 개시된 제 1 실시 예에 따른 제조 방법에 의하여 제조된 상기 그래핀이 좋은 결정 품질을 가짐을 나타낸다.
D 피크는 그래핀의 격자를 교란시키는 불순물에 의한 결함을 나타내며, 관찰 결과 1352cm-1에서 약한 D 피크(D/G 비율 = 0.237)로 나타났다. 이는 본 명세서에 개시된 제 1 실시 예에 따른 제조 방법에 의하여 제조된 상기 그래핀의 불순물에 의한 결함이 작은 것을 나타낸다.
또한, 도 5의 (a)는 라만 스펙트럼 분석을 위한 광학 현미경 이미지를 추가로 나타내었다. 광학 현미경 이미지를 참조하면, 형성된 상기 그래핀이 매우 균일한 형태를 가짐을 확인할 수 있다.
도 5의 (b)는 깊이 프로파일을 위한 그래핀의 XPS 데이터를 나타낸다.
형성된 상기 그래핀의 높이 및 성분 프로파일을 조사하기 위해, X-선 광전자 분광법(X-ray Photoelectron Spectroscopy; XPS)이 사용되었다. 형성된 상기 그래핀의 주요 구성은 그래핀 층에 의한 C1s 및 O1s를 포함하고, 기판에 의한 Si2s 및 Si2p를 포함한다.
도 5의 (b)를 참조하면, 규소의 원자 농도가 2.4nm 깊이에서부터 약 30%로 유지되는 것을 확인할 수 있으며, 이는 상기 기판이 깊이 2.4nm부터 시작된다는 것을 나타낸다. 또한, 형성된 상기 그래핀의 두께는 탄소의 원자 농도를 고려하면, TEM 분석에 의하여 증명된 바와 같이 5 내지 10의 층수를 가지며, 대략 상기 기판이 시작되기 전까지인 2.4nm이다.
도 5의 (c) 및 (d)는 각각 C1s 및 O1s 피크 주변의 스펙트럼에 대한 XPS 데이터를 나타낸다.
상기 XPS 데이터는 가우시안 피팅(Gaussian fitting)을 통해 얻은 복수의 서브 피크를 포함한다. C1s 및 O1s XPS 피크는 형성된 상기 그래핀 격자 내에서 C-O 및 C=O 결합과 같이 무질서한 형태의 존재를 설명하는 복수의 가우시안 서브 피크로 분해될 수 있다. 분해된 C1s 및 O1s 스펙트럼을 해석하면, 형성된 상기 그래핀 격자 내에 미 산화 탄소(non-oxidized carbon)의 중량 퍼센트(weight percent)는 88.61%인 것으로 평가될 수 있다. 이는 산소와 결합하지 않고 탄소만으로 결합된 결정이 이루어져 순도 높은 그래핀을 형성하였음을 의미한다.
Si2p의 검출이 이산화규소 기판으로부터 기원한 것을 고려하면, 형성된 상기 그래핀 층의 상부 표면에 포함된 탄소는, 도 5의 (b)에 도시된 바와 같이, 측정된 값 67.84% 이상인 것으로 판단할 수 있다. 이는 도 5의 (c)에 도시된 바와 같은 C-C/C-O/C=O의 비율을 뒷받침할 수 있다.
도 6은 본 명세서에 개시된 제 1 실시 예에 따라 제조된 그래핀을 포함하는 다이오드 및 특성 곡선을 나타낸 도면이다.
도 6의 (a)는 본 명세서에 개시된 제 1 실시 예에 따라 제조된 그래핀을 포함하는 다이오드를 나타낸 도면이다.
도 6의 (a)를 참조하면, 상기 다이오드는 기판(210), 촉매(220) 및 그래핀(230)을 포함한다.
상기 촉매(220)는 상기 기판(210) 위에 증착되고, 상기 기판(210)의 일부 표면에 대하여 부분적으로 식각될 수 있다. 즉, 상기 촉매(220)는 상기 그래핀(230)이 형성된 후에, 상기 기판(210)의 일부 표면에만 그래핀(230)이 노출되도록 부분적으로 식각될 수 있다.
상기 촉매(220)는 부분적으로 식각되어, 상기 기판(210)의 일 표면은 형성된 상기 그래핀(230)이 노출되고, 다른 일 표면은 상기 촉매(220)로 덮이도록 할 수 있다.
상기 촉매(220) 전체를 식각하여 상기 그래핀(230) 상에 새로이 금속을 증착할 경우, 추가적인 증착 과정으로 인한 결함이 발생할 수 있으나, 상기 촉매(220)의 부분적 식각을 통해 증착 과정 없이 다이오드를 제작하여 이러한 결함을 방지할 수 있다.
상기 촉매(220)의 부분적 식각으로 상기 그래핀(230) 및 상기 촉매(220)가 다이오드로 동작하기 위해, 상기 촉매(220)는 금속 물질을 사용할 수 있다. 본 명세서에 개시된 제 1 실시 예에 따르면, 상기 촉매(220)는 니켈(일함수 5.15eV)일 수 있다.
상기 그래핀(230)은 상기 기판(210) 및 상기 촉매(220)의 층간에 형성될 수 있다. 본 명세서에 제 1 개시된 실시 예에 따르면, 상기 그래핀(230)은, 상기 촉매(230)에 결합하는 탄소가 상기 촉매(230)의 결정 경계를 통하여, 상기 기판(210) 및 상기 촉매(230)의 층간에 확산되도록 상기 기판을 가열하고, 상기 확산된 탄소가 그래핀 결정을 형성하고 성장시키도록 상기 가열된 기판을 냉각하여 형성될 수 있다.
상기 기판(210)상에 구성되는 상기 그래핀(230) 및 상기 촉매(220)는 금속-반도체(metal-semiconductor; MS) 접합으로 구성되는 쇼트키 다이오드(Schottky diode)로 동작할 수 있다.
도 6의 (b)는 상기 다이오드의 특성 곡선을 나타낸 도면이다.
도 6의 (b)를 참조하면, 상당한 전류량을 갖는 비대칭 I-V 곡선(바이어스에 의존하는 전도 특성)을 확인할 수 있다.
제 2 실시 예
하기의 제 2 실시 예에서는, 증착기 챔버에 로딩 된 300nm 이산화규소/실리콘 기판에 전자 빔 증착기(또는 증발기)를 이용하여 100nm 니켈 촉매를 증착시키고, 기판의 이동 없이 증착기 내에서 SiC 히터를 이용하여 기판을 가열하여 제조된 그래핀에 대한 실험 결과를 보인다. 기판은 섭씨 700도(+-20도)의 조건에서 10분 동안 가열된 후, 촉매를 에칭하여 그래핀을 획득하였다.
도 7은 본 명세서에 개시된 제 2 실시 예에 따라 제조된 그래핀의 AFM 이미지를 나타낸 도면이다.
도 7의 (a)는 그래핀의 AFM 이미지를 이용하여 그래핀이 탄소의 니켈 GB 확산 메커니즘에 따라 성장되었음을 도식화한 것이다. 도 7의 (a)를 참조하면, 도시된 매커니즘에 의하여 촉매의 형틀을 따라 탄소 원자가 확산하여 패터닝 된 그래핀 층을 형성하는 것을 알 수 있다.
이를 통해, 증착기 챔버 내에서 촉매가 증착된 기판을 새로이 가열하기 위하여 이동하지 않고, 그대로 증착기 내에서 다시 가열하는 경우에도, 외부 오염물질과의 접촉 없이 안정적으로 그래핀 층을 형성할 수 있음을 확인할 수 있다.
도 7의 (b)는 제조된 그래핀의 AFM 이미지를 나타낸다. 도 7의 (b)에 나타난 하얀 선들은 GB를 나타내는 것으로, 제 2 실시 예에 있어서 니켈의 GB에 따라 그래핀이 성장되었음을 확인할 수 있다.
도 8은 본 명세서에 개시된 제 2 실시 예에 따라 제조된 그래핀의 TEM 이미지를 나타낸 도면이다.
도 8의 (a)는 다층 그래핀의 투과 전자 현미경(Transmission Electron Microscope; TEM) 이미지를 나타낸다.
도 8의 (a)를 참조하면, 본 명세서에 개시된 제 2 실시 예에 따른 그래핀 제조 방법에 의하여 형성된 다층 그래핀을 확인할 수 있다.
또한, 도 8의 (b)를 참조하면, 형성된 상기 그래핀의 제한 시야 전자 회절 패턴을 확인할 수 있다. SAED 패턴에 나타난 밝은 점들은 명확한 육각 패턴을 보이며, 이는 형성된 상기 그래핀이 명확한 6-fold 대칭성(6-fold symmetry)을 갖는다는 것을 의미한다.
즉, 증착기 내에서 그래핀을 형성하는 경우도 GB 확산 메커니즘에 따라, 니켈 입자가 기판 및 촉매 층간으로 그래핀을 확산시키는 탄소 공급 역할을 담당하고, 이에 따라 확산된 그래핀이 결정화하여 우수한 결정질의 그래핀 층을 형성한다는 것을 확인할 수 있다.
도 9는 본 명세서에 개시된 제 2 실시 예에 따라 제조된 그래핀의 스펙트럼 분석 결과를 나타낸 도면이다.
도 9의 (a)는 본 명세서에 개시된 제 2 실시 예에 따라 제조된 그래핀의 라만 스펙트럼으로서, 일반적으로 알려진 그래핀의 스펙트럼 2705cm- 1(2D 피크)와 1586cm-1(G 피크)를 확인하여 결정이 형성됨을 알 수 있다.
도 9의 (b), (c), (d)는 본 명세서에 개시된 제 2 실시 예에 따라 제조된 그래핀의 XPS 데이터 결과에 대한 그래프를 나타낸다.
도 9의 (b)를 참조하면, 8nm/min, 8A/cycle의 조건에서 최종적으로 획득된 그래핀의 XPS 깊이 특성은, 표면에서 탄소 농도 53.05%, 규소 농도 14.5% 및 산소 농도 32.45%의 결과를 보인다.
여기서, 대부분의 규소와 산소 농도가 이산화규소/실리콘 기판의 고유 성분에 의하여 측정된 것임을 감안할 때, 90% 농도 이상의 탄소로 이루어진 그래핀을 획득하였음을 확인할 수 있다.
또한, 도 9의 (c) 및 (d)를 참조하면, C1s의 가우시안 피팅 결과, 대부분의 결합 에너지가 C-C 결합에 의한 것임을 알 수 있으며, C-O 결합을 고려하여도 90% 이상의 높은 C-C 결합을 확인할 수 있다.
본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 다양한 수정 및 변형이 가능할 것이다. 따라서, 본 발명에 개시된 실시 예들은 본 발명의 기술 사상을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시 예에 의하여 본 발명의 기술 사상의 범위가 한정되는 것은 아니다. 본 발명의 보호 범위는 아래의 청구범위에 의하여 해석 되어야 하며, 그와 동등한 범위 내에 있는 모든 기술 사상은 본 발명의 권리범위에 포함되는 것으로 해석되어야 할 것이다.
본 발명은 교과부 중견연구자 지원사업 (2011-0028978)과 한국과학기술연구원 미래원천 연구사업에 의해 지원되었음.
210: 기판
220: 촉매
230: 그래핀

Claims (10)

  1. 기판 위에 촉매를 증착하는 단계;
    인위적인 탄소 공급원의 제공 없이, 증착된 상기 촉매 내에 증착에 의하여 포함된 탄소가 상기 촉매의 결정 경계를 통하여 상기 기판 및 상기 촉매의 층간에 확산되도록 상기 기판을 가열하는 단계;
    상기 확산된 탄소가 그래핀 결정을 형성하고 성장시켜 그래핀을 생성하도록 상기 가열된 기판을 냉각하는 단계; 및
    상기 생성된 그래핀을 획득하기 위하여, 상기 촉매를 식각하는 단계를 포함하는 것을 특징으로 하는 그래핀 제조 방법.
  2. 제1항에 있어서, 상기 기판의 가열은,
    상기 기판의 이동 없이 상기 촉매가 증착되는 증착기 내에서 가열하는 것을 특징으로 하는 그래핀 제조 방법.
  3. 제1항에 있어서, 상기 촉매를 증착하는 단계는,
    패터닝 된 그래핀을 얻기 위하여 상기 기판 위에 섀도우 마스크(shadow mask)를 적용하는 단계; 및
    상기 섀도우 마스크 위에 상기 촉매를 증착하는 단계를 포함하는 것을 특징으로 하는 그래핀 제조 방법.
  4. 제1항에 있어서, 상기 촉매는,
    전도성을 갖는 금속 물질인 것을 특징으로 하는 그래핀 제조 방법.
  5. 제4항에 있어서, 상기 촉매의 식각은,
    상기 촉매 및 상기 그래핀을 전극 또는 금속-반도체 접합으로 사용하는 전자 소자 제작을 위하여, 상기 기판의 일부 표면에만 그래핀이 노출되도록 상기 촉매를 부분적으로 식각하는 것을 특징으로 하는 그래핀 제조 방법.
  6. 기판 위에 촉매를 증착하고, 인위적인 탄소 공급원의 제공 없이, 증착된 상기 촉매 내에 증착에 의하여 포함된 탄소가 상기 촉매의 결정 경계를 통하여, 상기 기판 및 상기 촉매의 층간에 확산되도록 상기 기판을 가열하고, 상기 확산된 탄소가 그래핀 결정을 형성하고 성장시켜 그래핀을 생성하도록 상기 가열된 기판을 냉각하고, 상기 생성된 그래핀을 획득하기 위하여, 상기 촉매를 식각하여 제조되는 것을 특징으로 하는 그래핀.
  7. 제6항에 있어서, 상기 기판은,
    상기 기판의 이동 없이 상기 촉매가 증착되는 증착기 내에서 가열되는 것을 특징으로 하는 그래핀.
  8. 제6항에 있어서, 상기 기판은,
    패터닝 된 그래핀을 얻기 위하여 섀도우 마스크(shadow mask)가 적용되는 것을 특징으로 하는 그래핀.
  9. 제6항에 있어서, 상기 촉매는,
    전도성을 갖는 금속 물질인 것을 특징으로 하는 그래핀.
  10. 기판;
    전도성을 갖는 금속 물질이면서, 상기 기판 위에 증착되는 촉매; 및
    상기 기판 및 상기 촉매의 층간에 형성되는 그래핀을 포함하되,
    상기 촉매는,
    상기 촉매 및 상기 그래핀을 전극 또는 금속-반도체 접합으로 사용하는 전자 소자 제작을 위하여, 상기 기판의 일부 표면에 대하여 부분적으로 식각되고,
    상기 그래핀은,
    인위적인 탄소 공급원의 제공 없이, 증착된 상기 촉매 내에 증착에 의하여 포함된 탄소가 상기 촉매의 결정 경계를 통하여, 상기 기판 및 상기 촉매의 층간에 확산되도록 상기 기판을 가열하고, 상기 확산된 탄소가 그래핀 결정을 형성하고 성장시키도록 상기 가열된 기판을 냉각하여 형성되는 것을 특징으로 하는 전자 소자.
KR1020120022507A 2012-03-05 2012-03-05 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자 KR101350263B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020120022507A KR101350263B1 (ko) 2012-03-05 2012-03-05 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120022507A KR101350263B1 (ko) 2012-03-05 2012-03-05 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자

Publications (2)

Publication Number Publication Date
KR20130101391A true KR20130101391A (ko) 2013-09-13
KR101350263B1 KR101350263B1 (ko) 2014-01-14

Family

ID=49451596

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120022507A KR101350263B1 (ko) 2012-03-05 2012-03-05 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자

Country Status (1)

Country Link
KR (1) KR101350263B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015013797A (ja) * 2013-06-07 2015-01-22 独立行政法人産業技術総合研究所 グラフェン透明導電膜の製造方法及び該方法により製造されたグラフェン透明導電膜
CN104562195A (zh) * 2013-10-21 2015-04-29 中国科学院上海微系统与信息技术研究所 石墨烯的生长方法
CN109444202A (zh) * 2018-09-13 2019-03-08 江苏大学 一种利用激光制备石墨烯的实验检测装置与方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102082694B1 (ko) 2018-05-09 2020-02-28 한국과학기술연구원 그래핀 적용 대상의 표면에 그래핀을 직접 합성하는 방법 및 상기 방법을 이용하여 형성된 그래핀을 포함하는 소자

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101622306B1 (ko) * 2009-10-29 2016-05-19 삼성전자주식회사 그라펜 시트, 이를 포함하는 그라펜 기재 및 그의 제조방법

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015013797A (ja) * 2013-06-07 2015-01-22 独立行政法人産業技術総合研究所 グラフェン透明導電膜の製造方法及び該方法により製造されたグラフェン透明導電膜
CN104562195A (zh) * 2013-10-21 2015-04-29 中国科学院上海微系统与信息技术研究所 石墨烯的生长方法
CN104562195B (zh) * 2013-10-21 2017-06-06 中国科学院上海微系统与信息技术研究所 石墨烯的生长方法
CN109444202A (zh) * 2018-09-13 2019-03-08 江苏大学 一种利用激光制备石墨烯的实验检测装置与方法

Also Published As

Publication number Publication date
KR101350263B1 (ko) 2014-01-14

Similar Documents

Publication Publication Date Title
JP5737405B2 (ja) グラフェンナノメッシュの製造方法及び半導体装置の製造方法
Ostrikov et al. Plasma nanoscience: from nano-solids in plasmas to nano-plasmas in solids
Kishino et al. Selective-area growth of GaN nanocolumns on titanium-mask-patterned silicon (111) substrates by RF-plasma-assisted molecular-beam epitaxy
Park et al. Metal catalyst-assisted growth of GaN nanowires on graphene films for flexible photocatalyst applications
He et al. Synthesis of large-area uniform MoS2 films by substrate-moving atmospheric pressure chemical vapor deposition: from monolayer to multilayer
KR101350263B1 (ko) 그래핀 제조 방법 및 그에 따라 제조되는 그래핀, 그 그래핀을 포함하는 전자 소자
Qin et al. van der Waals epitaxy of large-area continuous ReS 2 films on mica substrate
WO2017119851A1 (en) Boron nitride material and method of preparation thereof
Xu et al. Fabrication and optical properties of highly ordered ZnO nanodot arrays
Jung et al. Direct growth of graphene nanomesh using a Au nano-network as a metal catalyst via chemical vapor deposition
Ibrahim et al. Study of the impact of chemical etching on Cu surface morphology, graphene growth and transfer on SiO2/Si substrate
Hsu et al. Vertical single-crystal ZnO nanowires grown on ZnO: Ga/glass templates
CN108069416A (zh) 超洁净石墨烯及其制备方法
JP2016505476A (ja) パルスレーザー堆積によって成長させたナノメートルサイズ構造体
Ma et al. Facile method to prepare CdS nanostructure based on the CdTe films
Lee et al. Comparative study on graphene growth mechanism using Ni films, Ni/Mo sheets, and Pt substrates
Chen et al. Formation and temperature effect of inn nanodots by PA-MBE via droplet epitaxy technique
Song et al. Fabrication of one-dimension ZnSe and ZnO nanostructures via anodic alumina template assisted vapor–liquid–solid growth process
Fan et al. Influence of silver dopant on the morphology and ultraviolet emission in aligned ZnO nanostructures
Xue et al. Precise morphology control of in-plane silicon nanowires via a simple plasma pre-treatment
Ostrikov et al. Self-assembled low-dimensional nanomaterials via low-temperature plasma processing
Zhang et al. The way towards for ultraflat and superclean graphene
del Campo et al. Effects of surface impurities on epitaxial graphene growth
Byeon et al. Aerosol assisted fabrication of carbon nanotube/zinc oxide arrays for a field emission device
Qiu et al. Self-assembled growth and enhanced blue emission of SiOxNy-capped silicon nanowire arrays

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161226

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 7