KR20130096228A - 웨이퍼 검사 또는 계측 구성을 위한 데이터 섭동 - Google Patents

웨이퍼 검사 또는 계측 구성을 위한 데이터 섭동 Download PDF

Info

Publication number
KR20130096228A
KR20130096228A KR1020137002360A KR20137002360A KR20130096228A KR 20130096228 A KR20130096228 A KR 20130096228A KR 1020137002360 A KR1020137002360 A KR 1020137002360A KR 20137002360 A KR20137002360 A KR 20137002360A KR 20130096228 A KR20130096228 A KR 20130096228A
Authority
KR
South Korea
Prior art keywords
wafer
scans
results
model
parameters
Prior art date
Application number
KR1020137002360A
Other languages
English (en)
Other versions
KR101803119B1 (ko
Inventor
고빈드 타타이순다람
모한 마하데반
아제이 굽타
치엔-훼이 애덤 첸
애쇼크 쿨카르니
제이슨 커크우드
케농 우
송니안 롱
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20130096228A publication Critical patent/KR20130096228A/ko
Application granted granted Critical
Publication of KR101803119B1 publication Critical patent/KR101803119B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32182If state of tool, product deviates from standard, adjust system, feedback
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

웨이퍼 검사 및/또는 계측을 위한 파라미터들을 결정하는 각종 실시형태들이 제공된다.

Description

웨이퍼 검사 또는 계측 구성을 위한 데이터 섭동{DATA PERTURBATION FOR WAFER INSPECTION OR METROLOGY SETUP}
관련 출원의 교차 참조
본 출원은 "섭동 모델링 기반 레시피 생성"이라는 명칭으로 2010년 6월 30일자 출원한 미국 특허 출원 제61/360,406호를 우선권 주장하며, 이 우선권 출원은 여기에서의 인용에 의해 그 전체가 여기에서 설명된 것처럼 본원에 통합된다.
발명의 분야
본 발명은 일반적으로 웨이퍼 검사 또는 계측 구성(metrology setup)을 위한 데이터 섭동(perturbation)에 관한 것이다.
하기의 설명 및 실시예들은 이들이 이 섹션에 포함된다고 해서 종래 기술로 용인되는 것이 아니다.
검사 처리는 웨이퍼에서 결함을 검출하여 제조 공정에서의 더 높은 수율을 촉진하고 그에 따라서 더 높은 수익을 촉진하기 위해 반도체 제조 처리 중의 각 단계에서 사용된다. 그러나, 반도체 소자의 치수가 감소함에 따라 더 작은 결함이 소자를 고장으로 이끌 수 있기 때문에, 수용가능한 반도체 소자의 성공적인 제조를 위해 검사가 더욱 중요하게 되었다.
현재, 툴 정합 사양(tool matching specification)을 통과할 수 있는 레시피(recipe)를 생성하는 방법은 경험적이다. 예를 들면, 레시피는 제1 툴("툴 1")에서 생성되고, 그 다음에 제2 툴("툴 2")에서 시험될 수 있다. 만일 레시피가 툴 2에서 툴 정합 사양을 통과하지 못하면, 레시피는 수정되고 툴 1로 가져와서 테스트된다. 만일 수정된 레시피가 툴 정합 사양을 통과하지 못하면, 이 처리는 레시피 세팅이 툴 정합 결과를 유도할 때까지 하나의 툴에서 다른 툴로 반복적으로 오락가락하면서 수행된다. 이 처리는 3개 이상의 툴이 수반될 때 더 복잡하게 된다. 그래서, 이 방법으로 정합 레시피를 구성하는 것은 상당한 양의 웨이퍼 시간, 툴 시간, 및 엔지니어링 시간을 소비할 수 있다.
따라서, 전술한 방법의 하나 이상의 단점을 갖지 않는 웨이퍼 검사 및/또는 계측을 위한 하나 이상의 파라미터를 결정하는 방법 및 시스템을 개발하는 것이 유리하다.
이하의 설명 및 각종 실시형태는 어떤 식으로든 첨부된 특허청구범위의 주제를 제한하는 것으로 해석되지 않는다.
일 실시형태는 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 컴퓨터 구현 방법과 관련된다. 이 방법은 하나 이상의 제1 웨이퍼 스캔의 수행과 하나 이상의 제2 웨이퍼 스캔의 수행 간의 차이의 모델을 발생하는 단계를 포함한다. 이 스캔들은 동일한 검사 또는 계측 툴에서 또는 상이한 검사 또는 계측 툴에서 발생할 수 있다. 또한, 하나 이상의 스캔은 유사하거나 유사하지 않은 (검사 또는 계측) 모드로 수행되고 복수 스캔의 임의 조합을 포함할 수 있다. 더 나아가, 스캔은 검사 스캔 또는 계측 스캔일 수 있다. 상기 방법은 또한 하나 이상의 제1 웨이퍼 스캔을 이용하여 웨이퍼에 대한 결과를 발생하는 단계를 포함한다. 상기 결과는 검사 결과 또는 비검사 측정 결과(예를 들면, 계측 결과)를 포함할 수 있다. 또한, 이 방법은 상기 결과 및 모델을 이용하여 웨이퍼에 대한 섭동 결과를 발생하는 단계를 포함한다. 섭동 결과는 하나 이상의 제2 웨이퍼 스캔에 의해 웨이퍼에 대해 발생되는 결과와 근사하다. 이 방법은 섭동 결과에 기초하여 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 단계를 또한 포함한다. 웨이퍼 처리는 하나 이상의 제2 웨이퍼 스캔을 수행하는 단계를 포함한다. 상기 방법의 단계들은 컴퓨터 시스템에 의해 수행된다.
다른 하나의 실시형태는 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하기 위해 사용될 수 있는 결과를 발생하는 컴퓨터 구현 방법과 관련된다. 이 방법은 하나 이상의 제1 웨이퍼 스캔의 수행과 하나 이상의 제2 웨이퍼 스캔의 수행 간의 차이의 모델을 발생하는 단계를 포함한다. 이 방법은 또한 하나 이상의 제1 웨이퍼 스캔을 이용하여 웨이퍼에 대한 결과를 발생하는 단계를 포함한다. 또한, 이 방법은 상기 결과 및 모델을 이용하여 웨이퍼에 대한 섭동 결과를 발생하는 단계를 포함한다. 섭동 결과는 하나 이상의 제2 웨이퍼 스캔에 의해 웨이퍼에 대해 발생되는 결과와 근사하다. 섭동 결과는 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하기 위해 사용될 수 있다. 웨이퍼 처리는 하나 이상의 제2 웨이퍼 스캔을 수행하는 단계를 포함한다. 상기 방법의 단계들은 컴퓨터 시스템에 의해 수행된다.
추가의 실시형태는 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 컴퓨터 구현 방법과 관련된다. 이 방법은 하나 이상의 제1 웨이퍼 스캔을 이용하여 웨이퍼에 대한 결과를 발생하는 단계를 포함한다. 이 방법은 상기 결과 및 하나 이상의 제1 웨이퍼 스캔의 수행과 하나 이상의 제2 웨이퍼 스캔의 수행 간의 차이의 모델을 이용하여 웨이퍼에 대한 섭동 결과를 발생하는 단계를 또한 포함한다. 섭동 결과는 하나 이상의 제2 웨이퍼 스캔에 의해 웨이퍼에 대해 발생되는 결과와 근사하다. 또한, 상기 방법은 섭동 결과에 기초하여 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 단계를 포함한다. 웨이퍼 처리는 하나 이상의 제2 웨이퍼 스캔을 수행하는 단계를 포함한다. 상기 방법의 단계들은 컴퓨터 시스템에 의해 수행된다.
위에서 설명한 각 방법의 각 단계들은 본원에서 설명하는 것처럼 또한 수행될 수 있다. 더 나아가, 위에서 설명한 각 방법은 본원에서 설명하는 임의의 다른 방법(들)의 임의의 다른 단계(들)을 포함할 수 있다. 아울러, 위에서 설명한 각 방법은 본원에서 설명하는 임의의 시스템에 의해 수행될 수 있다.
본 발명의 다른 장점들은 양호한 실시형태에 관한 이하의 상세한 설명 및 첨부 도면을 참조함으로써 이 기술에 숙련된 사람에게 명백하게 될 것이다.
도 1은 제1 웨이퍼 스캔을 이용하여 결정된 결함의 속성과 제2 웨이퍼 스캔을 이용하여 결정된 속성 간의 차이 대 제1 웨이퍼 스캔을 이용하여 결정된 속성과 제2 웨이퍼 스캔을 이용하여 결정된 속성의 평균의 모습을 예시적으로 보인 도이다.
도 2는 본원에서 설명하는 것처럼 모델을 발생하기 위해 결함들이 분리되는 빈(bin) 내에서 개체군의 히스토그램을 예시적으로 보인 도이다.
도 3은 웨이퍼 스캔을 이용하여 발생된 웨이퍼의 결과 및 본원에서 설명하는 것처럼 발생된 섭동 결과를 예시적으로 보인 도이다.
도 4는 비일시적 컴퓨터 판독가능 매체의 일 실시형태를 보인 블록도이다.
도 5는 본원에서 설명하는 하나 이상의 컴퓨터 구현 방법을 수행하기 위해 사용될 수 있는 시스템의 일 실시형태를 보인 블록도이다.
비록 본 발명이 각종 수정 및 대안적인 형태로 될 수 있지만, 그 특유의 실시형태가 도면에서 예로서 도시되고 여기에서 구체적으로 설명된다. 도면은 정확한 축척으로 된 것이 아니다. 그러나, 도면 및 도면에 대한 구체적인 설명은 본 발명을 여기에서 설명하는 특수한 형태로 제한하려는 것이 아니고, 그와 반대로, 첨부된 특허청구범위에서 규정하는 본 발명의 정신 및 범위에 포함되는 모든 수정예, 등가물 및 대안예를 포괄하는 것으로 의도된다는 것을 이해하여야 한다.
일반적으로, 여기에서 설명하는 실시형태들은 섭동 모델링에 기반한 안정된 레시피 발생과 관련이 있다. 일 실시형태는 웨이퍼 처리(예를 들면 웨이퍼 검사 및/또는 웨이퍼 계측)를 위한 하나 이상의 파라미터를 결정하는 컴퓨터 구현 방법과 관련이 있다. 여기에서 구체적으로 설명하는 것처럼, 이 방법은 모델을 구축하고 그 다음에 상기 모델을 이용해서 데이터를 섭동(perturbing)(즉 데이터에 대하여 다른 수정을 수행)하여 웨이퍼 검사 또는 계측 레시피를 생성하는 단계를 포함하며, 이것은 3가지 넓은 유형의 응용이 있다. 예를 들면, 일 실시형태에 있어서, 하나 이상의 파라미터를 결정하는 단계는 하나 이상의 제2 웨이퍼 스캔의 수행을 하나 이상의 제1 웨이퍼 스캔의 수행에 정합시키기 위해 본원에서 구체적으로 설명하는 것처럼 수행된다.
일 실시형태에 있어서, 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔은 t상이한 툴에 의해 수행된다. 예를 들면, 이 방법은 툴 정합 사양(tool matching specifications)을 통과할 수 있는 하나 이상의 파라미터를 결정(또는 "레시피 세팅")하기 위해 사용될 수 있다. 이 방식으로, 여기에서 설명하는 실시형태의 하나의 넓은 유형의 응용은 툴 정합 사양을 통과할 수 있는 레시피가 생성되는 툴 대 툴 정합(tool-to-tool matching)이다. 툴 정합 사양의 하나의 예는 C%의 자기 포착률(self capture rate)로 N회 반복을 통하여 2개의 툴에 의해 포착된 D개의 결함의 총수(count) 및 위치가 M% 내로 정합하여야 한다는 것이다. C, N 및 M의 값은 웨이퍼의 층 및 툴의 성질에 따라 정해질 수 있다.
다른 실시형태에 있어서, 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔은 동일한 툴에 의해 수행된다. 예를 들면, 여기에서 설명하는 방법은 자기 포착률 또는 스캔 정합 사양을 통과할 수 있는 하나 이상의 파라미터를 발생(또는 "레시피 세팅")하기 위해 사용될 수 있다. 이 방식으로, 여기에서 설명하는 실시형태는 자기 포착률 레시피 발생, 또는 자기 포착률 사양을 통과할 수 있는 레시피가 생성되는 스캔 대 스캔 정합을 포함하는 다른 넓은 유형의 응용을 위해 사용될 수 있다.
추가의 실시형태에 있어서, 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔은 미리 정해진 간격 후에 수행된다. 예를 들면, 제3의 넓은 유형의 응용은 시간의 변화를 처리하도록 레시피를 적응시키는 것이며, 이것은 본원에서 구체적으로 설명된다.
이 방식으로, 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔은 동일한 툴에 의해, 상이한 툴에 의해, 또는 상이한 횟수로 수행될 수 있다. 그래서, 3가지 넓은 응용은 1) 툴 대 툴 정합(또는 툴간 스캔 정합); 2) 스캔 대 스캔 정합(또는 툴내 스캔 정합); 및 3) 시간에 따른 변화를 고려하는 툴 대 툴 또는 스캔 대 스캔 정합을 포함한다. 비록 사용자가 레시피를 구성하지만, 사용자는 자신이 소정의 자기 포착률을 가진 레시피 또는 툴 정합 사양을 통과하는 레시피를 구성하기 원하는지 결정할 수 있다.
비록 일부 실시형태가 하나의 응용(예를 들면, 툴 대 툴 정합)과 관련하여 여기에서 설명되지만, 3가지 응용은 이 방법을 이용하는 방법에 있어서 유사하다. 그러므로, 실시형태를 하나의 응용(예를 들면, 툴 대 툴 정합)과 관련하여 설명하지만, 이 기술에 숙련된 사람이라면 다른 응용(예를 들면, 스캔 대 스캔 정합)에 대한 실시형태를 이용하는 법을 이해할 수 있을 것이다.
용어 "하나 이상의 파라미터" 또는 "레시피 세팅"은 일반적으로 조정가능한 레시피에서의 모든 세팅(iDO™ 세팅을 포함함)(예를 들면, 사용자가 조정할 수 있는 모든 세팅)을 말한다. iDO™는 캘리포니아 밀피타스에 소재하는 KLA-Tencor로부터 상업적으로 입수가능한 인라인 디펙트 오거나이저(inline Defect Organizer™) 비닝 솔루션(binning solution)이다. "레시피"는 일반적으로 검사 및 계측과 같은 프로세스를 수행하는 명령어 집합으로서 규정될 수 있다.
일 실시형태에 있어서, 하나 이상의 파라미터는 하나 이상의 제2 웨이퍼 스캔을 수행하기 위해 사용되는 하나 이상의 파라미터를 포함한다. 예를 들면, 하나 이상의 파라미터는 하나 이상의 제2 웨이퍼 스캔을 수행하기 위해 사용되는 툴의 조명 서브시스템, 검출 서브시스템, 스캐닝 서브시스템, 또는 이들의 임의 조합의 하나 이상의 파라미터를 포함할 수 있다. 이 방식으로, 하나 이상의 파라미터는 하나 이상의 결과 취득 파라미터를 포함할 수 있다. 조명 서브시스템의 하나 이상의 파라미터는 예를 들면, 조명의 각도(들), 조명의 파장(들), 조명의 편광(들), 스폿 크기, 조명 서브시스템에 포함된 애퍼처(들), 조명 서브시스템에 포함된 다른 광학 소자(들), 및 이들의 조합을 포함할 수 있다. 광 검출 서브시스템의 하나 이상의 파라미터는 예를 들면, 수집의 각도(들), 검출의 파장(들), 검출의 편광(들), 픽셀 크기, 검출 서브시스템에 포함된 애퍼처(들), 검출 서브시스템에 포함된 다른 광학 소자(들), 및 이들의 조합을 포함할 수 있다. 유사한 파라미터(들)가 비광(non-light) 기반 시스템(예를 들면, 전자빔 시스템)에 대하여 결정될 수 있다.
다른 실시형태에 있어서, 하나 이상의 파라미터는 하나 이상의 제2 웨이퍼 스캔에 의해 발생된 결과를 처리하기 위해 사용되는 하나 이상의 파라미터를 포함한다. 예를 들면, 하나 이상의 파라미터는 하나 이상의 제2 웨이퍼 스캔을 수행하기 위해 사용되는 광 검출 서브시스템에 의해 발생된 결과를 처리하기 위해 사용되는 하나 이상의 파라미터를 포함할 수 있다. 이러한 하나의 실시예에서, 광 검출 서브시스템에 의해 발생된 결과는 이미지 또는 이미지 데이터를 포함할 수 있고, 하나 이상의 파라미터는 이미지 또는 이미지 데이터의 필터링, 정렬 등을 위해 사용되는 하나 이상의 파라미터를 포함할 수 있다. 다른 실시예에 있어서, 결과는 신호를 포함할 수 있고, 하나 이상의 파라미터는 신호의 필터링, 정규화, 보정(calibrating) 등을 위해 사용되는 하나 이상의 파라미터를 포함할 수 있다. 결과를 처리하기 위해 사용되는 하나 이상의 파라미터는 웨이퍼의 상이한 영역에 대하여 별도로 결정될 수 있다. 예를 들면, 웨이퍼의 하나의 영역에서 발생된 결과는 하나 이상의 제1 파라미터를 이용하여 처리될 수 있고, 웨이퍼의 다른 영역에서 발생된 결과는 하나 이상의 제2 파라미터를 이용하여 처리될 수 있으며, 제2 파라미터의 적어도 일부는 제1 파라미터와 다를 수 있다. 하나 이상의 파라미터는 추가적으로 또는 대안적으로 결함 검출 알고리즘 및/또는 방법의 하나 이상의 파라미터(예를 들면, 문턱 값)에 의해 규정되는 결함 검출 감도를 포함할 수 있다. 또한, 하나 이상의 파라미터는 웨이퍼의 상이한 영역에 대한 상이한 검출 감도(예를 들면, 중요한 또는 저잡음 영역에 대한 높은 감도, 및 중요하지 않은 또는 고잡음 영역에 대한 낮은 감도)를 포함할 수 있다.
방법은 하나 이상의 제1 웨이퍼 스캔의 수행과 하나 이상의 제2 웨이퍼 스캔의 수행 간의 차이의 모델을 발생하는 단계를 포함한다. 그러므로, 여기에서 설명하는 실시형태는 단지 하나의 툴, 스캔, 또는 하드웨어에 의해 발생된 결과로부터 정합 파라미터를 발생하기 위해 여기에서 구체적으로 설명하는 것처럼 사용될 수 있는 스캔, 툴, 또는 다른 하드웨어에 걸친 결과들을 모델링하는 단계를 포함할 수 있다. 예를 들면, 툴 또는 스캔 간의 광학장치 또는 다른 하드웨어의 상대적인 행동은 웨이퍼마다 실질적으로 변화하지 않는다. 그러므로, 이 정보는 툴 또는 스캔 간의 이미지/결함 데이터의 행동을 모델링하기 위해 사용될 수 있다. 예를 들면, 툴 대 툴 정합에 대하여, 이 방법은 툴 대 툴 가변성을 포착하는 모델을 생성하는 단계를 포함할 수 있다.
일 실시형태에 있어서, 수행에서의 차이는 모델을 발생하기 위해 사용되는 결과들을 발생하기 위해 하나 이상의 제1 및 하나 이상의 제2 스캔이 수행된 웨이퍼에서의 결함의 속성에 있어서의 차이를 포함한다. 다시 말하면, 모델은 웨이퍼에서 수행된 실제 스캔의 실제 결과를 이용하여 발생된다. 모델을 구축하기 위해 실제 결과를 사용하는 것은 모델의 수행에 있어서 신뢰성을 제공한다. 복수의 툴로부터의 결과는 하나의 다른 툴("골든(golden)" 또는 기준 툴)에 대한 각 툴의 모델을 생성하기 위해 사용될 수 있다. 그러나, 여기에서 설명하는 실시형태들은 "골든 툴" 대신에 상대적인 방법으로 2개 이상의 툴을 연구하기 위해 또한 사용될 수 있다. 실제 결과는 웨이퍼에서 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔을 실제로 수행함으로써 발생될 수 있다. 그러나, 실제 결과는 웨이퍼에서 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔을 실제로 수행하지 않고 획득될 수 있다. 예를 들면, 실제 결과는 실제 결과가 저장되어 있는 하나 이상의 저장 매체로부터 여기에서 설명하는 실시형태에 따라 획득될 수 있다.
결함의 속성은 결함 검출 알고리즘을 이용하여 결정된 결함의 속성을 포함할 수 있다. 또한, 결함의 속성은 스캔 중에 검출된 결함으로부터 광의 특성(예를 들면, 강도)에 대한 응답 또는 한 그룹의 픽셀들 간의 상대적 응답인 결함의 속성을 포함할 수 있다. 예를 들면, 속성은 광도(magnitude), MDAT 오프셋, MDAT 그레이 레벨(기준 그레이 레벨), 및 에너지를 포함할 수 있다. MDAT는 KLA-Tencor로부터 상업적으로 입수가능한 일부 검사 툴에 의해 사용되는 결함 검출 알고리즘이다. 결함의 속성은 가능한 한 일반적인 것일 수 있다. 결함의 속성은 결함 위치, 결함 크기 및 임의의 다른 계산된 또는 측정된 양과 같은 비강도(non-intensity)형 속성을 포함할 수 있다. 이 방법에서 속성의 성질에 대하여 제한이 있는 것은 아니다.
모델을 발생하는 일부 특유의 실시형태가 여기에서 구체적으로 설명된다. 그러나, 여기에서 설명하는 모델들은 임의의 특수 유형의 모델로 제한되지 않는다. 이론적으로, 모델은 여기에서 설명하는 것처럼 간단할 수도 있고, 또는 2개의 스캔 또는 스캔의 집합에 걸친 속성의 가변성을 정확하게 모델링하기 위해 필요한 만큼 복잡할 수도 있다.
일 실시형태에 있어서, 모델을 발생하는 단계는 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔에 공통인 웨이퍼에서의 결함을 식별하는 단계를 포함한다. 예를 들면, 단일의 결함 속성을 생각하자. 그 다음에 우리는 여기에서 구체적으로 설명하는 것처럼 공통 결함에 대한 이 속성의 가변성을 모델링할 수 있다. 공통 결함은 임의의 적당한 방법으로 식별될 수 있다. 예를 들면, 공통 결함은 서로의 특정 거리 내에 있는 2개의 결함으로서 식별될 수 있다. 공통 결함을 식별하는 것은 단순한 결함 위치 정합 알고리즘을 이용하여 수행될 수 있다.
일 실시형태에 있어서, 모델을 발생하는 단계는 하나 이상의 제1 웨이퍼 스캔을 이용하여 결정된 결함의 속성과 하나 이상의 제2 웨이퍼 스캔을 이용하여 결정된 속성 간의 차이 대(versus) 하나 이상의 제1 웨이퍼 스캔을 이용하여 결정된 속성과 하나 이상의 제2 웨이퍼 스캔을 이용하여 결정된 속성의 평균을 작도(plotting)하는 단계를 또한 포함한다. 다시 말해서, 모델을 발생하는 단계는 y축 상의 [속성(툴 1) - 속성(툴 2)] 대 x축 상의 [속성(툴 1) + 속성(툴 2)]/2를 작도하는 단계를 포함할 수 있다. 이러한 작도의 일 예는 도 1에 도시되어 있다. 이 도면에서 각 데이터 포인트는 하나의 공통 결함에 대응한다.
일부 실시형태에 있어서, 모델을 발생하는 단계는 결함을 다른 평균값에 대응하는 빈(bin)으로 분할하는 단계를 또한 포함하고, 각 빈은 각각의 다른 빈과 동일한 개수의 결함을 포함한다. 예를 들면, 도 1에 도시된 결함들은 동일한 크기의 N개의 빈으로 분할될 수 있다. 이러한 하나의 예에서, 제1 빈은 0~50의 평균값에 대응하고 제2 빈은 51~100의 평균값에 대응하며, 제3 빈은 101~150의 평균값에 대응하는 방식으로 각 빈이 평균값에 대응할 수 있다.
추가의 실시형태에 있어서, 모델을 발생하는 단계는 각 빈에 대하여 각 빈에서의 결함의 속성 분포의 평균(mean) 및 시그마를 결정하는 단계를 포함한다. 예를 들면, 각 빈에 대하여, 그 빈에서 포인트들의 평균 및 시그마가 결정될 수 있다. 이 방식으로, 각 빈에서 결함의 속성의 가변성이 시험될 수 있다. 예를 들면, 도 1에 도시된 것처럼, 상부 곡선은 각 빈 내에서의 분산 값(variance value)이고, 하부 곡선은 각 빈 내에서 평균값이다. 그러므로, 이 도면은 속성의 가변성 및 모델 발생 전략을 보여준다. 분산(variance)은 포화에 기인하여 상위 값에서 하강한다. 조사하는 동안, 분포는 당업계에서 공지된 콜모고로프-스미노프 테스트(kolmogorov-Smirnov test)(최적의 파라미터 집합을 가짐)를 이용함으로써 가우시안으로서, 또는 가우시안 분포에 대한 임의의 다른 테스트로서 식별될 수 있다. 예를 들면, 도 2는 3개의 다른 빈 내에서 3개의 다른 결함 개체군에 대한 히스토그램을 보인 것이다. 콜모고로프-스미노프 테스트에 의해 분포의 가우시안 특성이 확인된다. 그러나, 모델을 발생하기 위해 사용되는 속성은 가우시안 분포를 따를 수도 있고 따르지 않을 수도 있다. 예를 들어서, 만일 로그 정규 분포(log-normal distribution)가 더 나은 모델이면, 로그 정규 분포가 가우시안 분포 대신에 사용될 수 있다. 가우시안 외의 분포들은 모델이 구성되는 법을 단순히 변경하지만, 전체적인 절차는 여기에서 설명한 것에 따른다. 그 경우에, 올바른 분포를 이용하여 데이터를 모델링하기 위해 추가의 필요조건이 존재한다.
하나 이상의 속성이 모델링될 때, 속성들 간의 상관성이 모델 발생을 위해 고려되어야 한다. 예를 들면, 가우시안 또는 임의의 다른 분포를 따르는 3개의 속성이 모델링된다고 가정하자. 만일 모든 속성들이 상관되면, 섭동 결과를 위한 샘플링이 동일한 랜덤 가우시안 또는 다른 분포로부터 수행되어야 한다. 만일 상관성이 약하면, 샘플링은 독립적인 랜덤 가우시안 또는 다른 분포로부터 수행되어야 한다.
일 실시형태에 있어서, 방법은 모델을 발생하기 위해 사용될 결과를 발생하기 위해 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔이 이용되기 전에 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔이 서로 정합되도록 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔을 수행하기 위해 사용되는 하나 이상의 툴의 하나 이상의 파라미터를 변경하는 단계를 모델을 발생하는 단계 전에 포함한다. 예를 들면, 만일 속성들 간의 가변성이 비교적 크면 섭동법은 유용하지 않을 수 있다. 다시 말해서, 여기에서 설명하는 응용에 있어서, 이것은 모델 발생을 위한 결과를 발생하기 위해 사용되는 툴 또는 스캔이 정합된 후에 속성 가변성을 설명하는 모델을 발생하기 위해 이해할 수 있을 뿐이다. 따라서, 2개의 툴 또는 스캔이 정합되고 모델은 정합 데이터로 구성된다고 추정된다. 모델 발생 전의 이러한 툴 또는 스캔의 정합은 가변성이 비교적 크지 않다는 것을 보장한다. 예를 들면, 2개의 툴 또는 스캔이 "정합"되면, 2개의 "정합"된 툴 또는 스캔으로부터의 속성의 변화는 어떤 특수 경계 내에 있다는 것이 일부 보장된다. 만일 모델 발생을 위해 사용되는 결과의 발생 전에 툴 또는 스캔이 정합되지 않으면, 모델은 구성될 수 있지만 하드웨어 및 보정에서 극히 바람직하지 않은 변화를 포착할 수 있다. 모델 발생을 위한 결과를 발생하기 위해 사용되는 툴 또는 스캔은 툴 또는 스캔 사이에서 하드웨어 및 보정을 정합시키는 임의의 적당한 정합 절차를 이용하여 정합될 수 있다. 만일 툴 또는 스캔이 모델 발생 전에 어떤 다른 방법 및/또는 시스템을 이용하여 정합되면, 여기에서 설명하는 방법은 그러한 툴 정합 단계를 포함하지 않을 수 있다. 과도한 적응성의 위험을 최소화하기 위해 안전장치(safeguard)가 모델에 또한 구축될 수 있다.
다른 실시형태에 있어서, 모델을 발생하는 단계는 툴마다 하나 이상의 척도 인자(scale factor)를 결정하는 단계를 포함할 수 있다. (특수 모델의 세부는 툴내(intra-tool) 정합성의 목표를 달성하기 위해 우리가 어떤 다른 파라미터를 사용하는 지를 결정한다.) 예를 들면, 툴내 가변성(즉, 하나의 툴의 스캔 대 스캔 가변성)은 여기에서 설명하는 것처럼 모델링될 수 있다. 스캔 대 스캔 가변성의 모델링은 광학장치 상태, 픽셀 크기 및 웨이퍼에 대한 종속성을 제거한다. 이 방식으로, 스캔 대 스캔 가변성으로부터 툴마다 데이터를 모델링하는 것은 하드웨어, 광학장치, 및 다른 잔여 변화의 적절한 측정이다. 예를 들면, 다른 웨이퍼, 다른 광학 모드, 및 다른 스테이지 속도가 거의 동일한 척도 인자를 생성한다는 것을 보여주는 실험이 수행되었다. 그래서, 스캔 대 스캔 모델은 툴간 가변성을 나타내도록 적당히 조정(scale)될 수 있다. 이 방법으로, 하나 이상의 척도 인자가 툴마다 결정될 수 있다.
이 방법은 하나 이상의 제1 웨이퍼 스캔을 이용하여 웨이퍼에 대한 결과를 발생하는 단계를 또한 포함한다. 예를 들면, 위에서 설명한 것처럼 모델 또는 모델들이 발생되면, 기준 웨이퍼 스캔만으로부터의 실제 데이터가 임의의 주어진 웨이퍼에 대하여 획득되고 여기에서 설명하는 방법의 추가 단계들을 수행하기 위해(예를 들면, 정합 레시피를 생성하기 위해) 모델 또는 모델들을 사용할 수 있다. 이 방식으로, 소정의 툴이 여기에서 설명하는 모델을 발생하기 위해 사용되었으면, 그 툴은 다른 툴의 수행을 위해 정합되는 레시피를 발생하기 위해 더 이상 필요 없다. 물론, 만일 레시피가 하나의 툴을 이용하여 수행된 하나 이상의 제2 스캔의 수행을 동일한 툴을 이용하여 수행된 하나 이상의 제1 스캔의 수행에 정합시키기 위해 발생되면, 그 툴을 이용하여 발생된 결과는 하나 이상의 제2 스캔을 위한 레시피를 발생하기 위해 여기에서 구체적으로 설명한 것처럼 사용될 수 있다.
이 방법은 결과 및 모델을 이용하여 웨이퍼에 대한 섭동 결과를 발생하는 단계를 포함한다. 다시 말하면, 하나 이상의 제1 스캔의 결과는 방법에 대한 입력으로서 사용되고, 모델의 출력은 섭동 결과이다. 이 방식으로, 하나 이상의 제1 웨이퍼 스캔의 결과(예를 들면, 알고리즘 및 iDO 또는 다른 레시피 세팅에 대한 입력 데이터 등의 결과)는 그 특수 조건에 대하여 조기에 생성된 모델에 따라 섭동될 것이다. 일 실시형태에 있어서, 섭동 결과를 발생하는 단계는 모델의 대응하는 빈에 대하여 결정된 평균 및 시그마를 가지는 랜덤 분포로부터 빈에 대한 포인트를 추출하는 단계를 포함한다. 예를 들면, 섭동 데이터 집합은 모델의 올바른 빈으로부터 유도된 평균 및 시그마에 의해 랜덤 가우시안 분포로부터 포인트를 추출함으로써 발생될 수 있다. 이 방식으로 섭동 결과는 원래 결과의 히스토그램에 실질적으로 정합되는 히스토그램을 가질 것이다. 그래서, 스캔 또는 툴 정합을 위하여, 속성 히스토그램을 정합시킴으로써, 정합된 스캔 또는 툴에 의해 검출된 결함의 속성들이 또한 정합되는 것으로 예상할 수 있다.
섭동 결과(perturbed results)는 하나 이상의 제2 웨이퍼 스캔에 의해 웨이퍼에 대해 발생되는 결과와 근사하다. 예를 들면, 여기에서 설명하는 모델을 이용해서, 이미지/결함 데이터와 같은 결과들은 하나 이상의 제2 툴 또는 스캔으로부터의 결과를 근사화, 시뮬레이트 또는 모방하기 위해 섭동될 수 있다. 다시 말해서, 섭동 결과는 하나 이상의 제2 웨이퍼 스캔에 의해 발생된 결과와 정확하게 동일하지 않을 것이다. 그러나, 섭동 결과는 하나 이상의 제2 웨이퍼 스캔에 의해 발생된 결과와 상당히 근사할 것이다. 그러한 하나의 예로서, 도 3은 섭동 결과가 하나 이상의 제2 웨이퍼 스캔에 의해 웨이퍼에 대해 발생된 결과를 얼마나 잘 근사화, 시뮬레이트 또는 모방하는지를 보여준다. 특히, 도 3의 좌측 도면은 모델이 생성된 실제 결과의 가변성을 나타내고, 도 3의 우측 도면은 섭동 결과의 가변성을 나타낸다. 도 3에 도시된 것처럼, 어떤 결과가 실제 툴로부터의 것이고 어떤 결과가 모델로부터의 것인지 및 그 대응하는 섭동을 구별하는 것은 불가능하고, 이것은 모델의 그럴듯함(plausibility)을 확인한다.
이 방법은 섭동 결과에 기초하여 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 단계를 또한 포함한다. 예를 들면, 레시피는 가능하다면 툴 또는 스캔으로부터의 원래 결과와 함께 섭동 결과를 이용하여 구성될 수 있다. 그러한 일 예에 있어서, 레시피는 가능하다면 유사하게 섭동된 결과 및/또는 원래 결과의 N개의 집합과 함께 섭동 결과의 집합을 이용하여 생성될 수 있다. 그 다음에, 이 레시피는 비록 레시피가 단일 툴에서 또는 단일 스캔을 이용하여 개발되었다 하더라도 툴 또는 스캔 정합 사양에 부합하는 능력을 갖는다. 예를 들면, 레시피가 여기에서 설명하는 섭동 결과를 이용하여 구성된 때, 레시피는 제1 툴 또는 스캔과 유사한 감도를 가지고 제2 툴 또는 스캔에서 자연스럽게 동작할 것이다. 충분한 섭동 결과 및 아마도 실제 결과는 레시피 발생을 정합시키기 위한 결과의 가변성을 포착하기 위해 바람직하게 사용된다.
웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 단계는 웨이퍼 처리 레시피의 적어도 하나의 파라미터에 대한 값을 선택 또는 결정하는 단계를 포함할 수 있다. 여기에서 사용되는 용어 "파라미터"는 파장, 픽셀, 보호 면적(care area), 속도, 조명 및 수집 애퍼처 등과 같은 검사 및/또는 계측 툴을 구성하기 위해 사용되는 모든 변수를 말한다. 예를 들면, 웨이퍼 처리를 위한 파라미터는 웨이퍼로부터의 광에 응답하여 결과를 획득하기 위해 사용되는 툴의 파라미터 및/또는 결과를 처리하기 위해 사용되는 툴의 파라미터를 포함할 수 있다. 이러한 방식으로, 파라미터는 결과 획득 파라미터 및/또는 결과 처리 파라미터를 포함할 수 있다. 웨이퍼 처리는 암시야(dark field; DF) 검사, 명시야(bright field; BF) 검사, 전자빔(e-빔) 검사, DF 및 BF 검사, 또는 멀티모드 검사일 수 있다(하나 이상의 플랫폼으로부터 동시에 또는 툴/검사 정합이 만족될 것을 요구하는 임의의 다른 계측 또는 검사 툴로부터의 데이터를 수반함). 하나 이상의 파라미터는 방법으로 자동으로, 즉 사용자 입력 없이 결정될 수 있다. 그러나, 하나 이상의 파라미터는 추가적으로 또는 대안적으로 사용자로부터의 입력과 함께 섭동 결과에 기초하여 결정될 수 있다. 예를 들면, 사용자는 그가 레시피 세팅을 변경할 때 하나 이상의 결정된 파라미터의 수행에 대한 피드백을 실시간으로 제공받을 수 있다.
웨이퍼 처리는 하나 이상의 제2 웨이퍼 스캔을 수행하는 단계를 포함한다. 예를 들면, 여기에서 구체적으로 설명하는 것처럼, 하나 이상의 파라미터는 하나 이상의 제2 웨이퍼 스캔을 수행하기 위해 사용되는 하나 이상의 파라미터, 하나 이상의 제2 웨이퍼 스캔에 의해 발생된 결과를 처리하기 위해 사용되는 하나 이상의 파라미터, 및 이들의 조합을 포함할 수 있다.
일 실시형태에 있어서, 방법은 미리 정해진 간격으로 수행되고, 웨이퍼 처리는 최종의 2개의 간격 후에 발생된 결과 또는 섭동 결과가 미리 정해진 값 이상으로 서로 다른 경우에 가장 최근의 간격 후에 결정된 하나 이상의 파라미터를 이용하여 수행된다. 예를 들면, 방법은 로트 대 로트(lot-to-lot) 변화를 모방하기 위해서 및 처리가 시간에 맞춰 변화할 때 레시피를 동적으로 조정하기 위해서 사용될 수 있다. 그러한 일 예에 있어서, 실시형태는 특정 기간(예를 들면, N개의 로트) 동안에 레시피 성능 및 결함 속성을 연구하기 위해 사용될 수 있다. 만일 성능이 방해율(nuisance rate) 및 결함 속성 범위 면에서 안정적이면, 레시피 변화가 필요 없다. 만일 성능이 안정적이지 않으면, 등급(class) 내에서 결함 속성 가변성에 대한 모델이 자동으로 생성되고 레시피 세팅을 동조시키기 위해 사용될 수 있다. 이 레시피 성능은 N개의 로트에서 1회 자동으로 체크될 수 있다. 이 방식으로, 여기에서 설명하는 실시형태는 레시피가 동적 생산 공정에서 안정상태를 유지하도록 레시피를 조정하기 위해 사용될 수 있다. 만일 모델이 매 M개의 로트마다 재계산되면, 이 계산된 모델은 공정 변화의 독립형 표시자로서 작용할 수 있다. 이것은 중요한 공정 변화의 강력한 새로운 통계적 독립형 표시자일 수 있다.
다른 실시형태에 있어서, 수행 간의 차이는 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔에 공통인 결함 등급의 속성들 간의 차이를 포함한다. 예를 들면, 시간에 따른 공정 변화에 레시피를 적응시키는 것은 어떤 질적 차이와 함께 여기에서 구체적으로 설명하는 것과 유사한 방식으로 수행될 수 있다. 특히, 제1 및 제2 웨이퍼 스캔은 상이한 웨이퍼에서 수행될 것이고, 그러므로 양쪽 스캔에 공통인 결함은 모델 발생에 이용되지 않을 것이다. 그러나, 결함 등급(들)에 대한 속성 변화는 모델링될 수 있다. 이러한 접근법은 N 로트의 데이터 샘플에 대하여 결함 등급(들)의 소정 분포가 있다는 가정에 기초를 둔다. 이 유즈케이스(use case)에 대한 변화의 범위는 툴 대 툴 및 스캔 대 스캔 정합의 모델 발생을 위해 결정된 속성의 변화의 범위와 실질적으로 다를 수 있다.
일 실시형태에 있어서, 하나 이상의 제1 웨이퍼 스캔은 제1 툴에 의해 수행되고, 하나 이상의 제2 웨이퍼 스캔은 제2 툴에 의해 수행되며, 하나 이상의 파라미터의 결정은 제2 툴을 이용하지 않고 수행된다. 다른 실시형태에 있어서, 방법은 방법의 2개 이상의 단계를 반복적으로 수행하는 단계를 포함하지 않는다. 예를 들면, 여기에서 설명하는 실시형태는 단일 반복에서 제2 툴로부터의 종래 정보에 의해 하나의 툴에서 "정합가능" 레시피를 발생하기 위한 구체적인 방법을 제공한다. 그러므로, 여기에서 설명하는 실시형태는 시간 및 노력을 절약하고, 스캔 또는 툴 정합 사양을 만족시킬 수 있는 레시피를 생성하는 체계적인 접근법을 유도한다. 특히, 여기에서 설명하는 실시형태는 복수의 툴 사이에서 복수 회 오락가락하지(going back and forth) 않고 자기 포착률 및 툴 정합 사양을 통과할 수 있는 레시피를 발생하는 구체적이고 신속한 방법을 제공한다.
여기에서 설명하는 실시형태는 웨이퍼 검사 및 계측과 같은 웨이퍼 처리를 위해 파라미터를 결정하는 다른 방법에 비하여 많은 장점을 제공한다. 예를 들면, 여기에서 설명하는 실시형태는 사용의 용이성 및 비교적 빠른 자기 포착률 또는 툴 정합 결과를 제공한다. 특히, 자기 포착률 또는 툴 정합 결과를 달성하는 현재의 방법은 경험적이고 사용자가 일련의 단계를 통과할 것을 요구한다. 단계들을 수행하는 것은 사용자측에서의 상황의 복잡성, 툴의 개수 및 툴 사용량에 따라서 비교적 긴 시간(예를 들면, 하루 이상)이 소요될 수 있다. 그러나, 여기에서 설명하는 실시형태는 복수의 툴 사이에서 복수 회 오락가락할 필요 없이 자기 포착률 및 툴 정합 사양을 만족시킬 수 있는 레시피 생성에 있어서 신속한 전환(turnaround)을 가능하게 한다.
이 방식으로, 여기에서 설명하는 실시형태는 정합 레시피를 생성하는 데 있어서 더 적은 툴 시간, 더 적은 엔지니어링 시간, 더 적은 전자 주사 현미경(scanning electron microscopy; SEM) 검토 노력 및 더 적은 웨이퍼 시간을 요구한다. 예를 들면, 툴에서 생산 로트를 가동하는 대신에, 현재로서, 사용자는 툴 정합 레시피를 구성하기 위해 툴을 이용해야 하고, 이것은 툴 소유권의 비용을 증가시킨다. 사용자가 얼마나 많은 레시피를 기록하느냐에 따라서, 이것은 상당한 비용이 될 수 있다. 그러나, 여기에서 설명하는 실시형태에서는 정합 레시피를 발생하기 위해 복수의 툴을 사용할 것을 요구하지 않는다. 특히, 여기에서 설명하는 것처럼, 실시형태는 사용자가 정합 레시피를 생성하기 위해 필요로 하는 각각의 툴 및 각각의 층으로부터의 결과를 취할 필요성을 제거한다. 그러므로, 여기에서 설명하는 실시형태는 다른 목적(예를 들면, 실제 검사)으로 사용될 수 있는 상당한 툴 시간을 절약할 수 있다. 또한, 여기에서 설명하는 실시형태는 레시피를 생성하는 체계적인 방법을 제공함과 아울러 정합 레시피를 생성하는 시간을 가속화한다. 여기에서 설명하는 실시형태는 또한 툴 정합 레시피를 생성하는 데 있어서 더 적은 엔지니어 시간을 요구한다. 예를 들면, 통상적으로, 엔지니어는 레시피를 기록한다. 그러나, 만일 엔지니어가 하나의 툴에서 바로(out of the box) 툴 정합 레시피를 생성할 수 있으면, 그의 많은 시간을 절약할 것이다. 이것은 엔지니어 시간면에서의 직접 비용 및 엔지니어의 실패 감소를 통한 간접 비용의 감소로 나타난다. 더 나아가, iDO 정합은 SEM 분류 및 검토가 복수의 툴로부터 요구되는 현재의 방법론에서 실질적인 양(amount)의 SEM 검토를 필요로 할 수 있다. 그러나, 여기에서 설명하는 실시형태는 이 시간을 크게 줄여서 상당한 SEM 검토 시간을 절약한다.
다른 실시형태에 있어서, 방법은 모델을 발생하기 위해 사용되는 결과를 발생하기 위해 웨이퍼의 하나의 층에서 하나 이상의 제1 및 하나 이상의 제2 웨이퍼 스캔을 수행하는 단계를 포함하고, 웨이퍼 처리는 그 웨이퍼의 다른 층 또는 다른 웨이퍼의 다른 층에서 수행될 것이다. 예를 들면, 현재로서, 모든 층에 대한 웨이퍼 처리 구성은 모든 툴에의 방문을 요구하고, 로트의 SEM 검토를 요구할 수 있다. 그러나, 여기에서 설명하는 실시형태에 따르면, "골든(golden)" 툴까지 각 툴에 대하여 모델이 생성된 후에, 정합 레시피는 모든 툴에 가지 않고 구축될 수 있다. 특히, 여기에서 설명하는 모델들은 이들이 하드웨어 및 광학장치 의존형이기 때문에 층 독립적일 수 있다. 층은 활성 층, 폴리 층, 접촉 층, 금속 층 등과 같은 마스크 층 또는 처리 층을 포함할 수 있다. 층은 리소그래피, 에칭, 증착, 화학기계 연마(chemical-mechanical polishing; CMP), 또는 웨이퍼의 구조를 변경할 수 있는 임의의 다른 공정과 같은 임의의 적당한 웨이퍼 제조 공정을 이용하여 웨이퍼 위에 형성될 수 있다.
다른 실시형태는 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하기 위해 사용될 수 있는 결과를 발생하는 컴퓨터 구현 방법에 관련이 있다. 이 방법은 여기에서 구체적으로 설명하는 것처럼 수행될 수 있는 하나 이상의 제1 웨이퍼 스캔의 수행과 하나 이상의 제2 웨이퍼 스캔의 수행 간의 차이의 모델을 발생하는 단계를 또한 포함한다. 이 방법은 또한 여기에서 구체적으로 설명하는 것처럼 수행될 수 있는 하나 이상의 제1 웨이퍼 스캔을 이용하여 웨이퍼에 대한 결과를 발생하는 단계를 포함한다. 또한, 이 방법은 여기에서 구체적으로 설명하는 것처럼 수행될 수 있는 결과 및 모델을 이용하여 웨이퍼에 대한 섭동 결과(perturbed results)를 발생하는 단계를 포함한다. 섭동 결과는 하나 이상의 제2 웨이퍼 스캔에 의해 웨이퍼에 대하여 발생된 결과와 근사하다(approximate). 섭동 결과는 여기에서 구체적으로 설명한 것처럼 결과와 근사하다. 섭동 결과는 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하기 위해 여기에서 구체적으로 설명한 것처럼 사용될 수 있다. 웨이퍼 처리는 하나 이상의 제2 웨이퍼 스캔을 수행하는 단계를 포함한다.
추가의 실시형태는 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 컴퓨터 구현 방법에 관련이 있다. 이 방법은 여기에서 구체적으로 설명하는 것처럼 수행될 수 있는 하나 이상의 제1 웨이퍼 스캔을 이용하여 웨이퍼에 대한 결과를 발생하는 단계를 포함한다. 이 방법은 또한 여기에서 구체적으로 설명하는 것처럼 수행될 수 있는 하나 이상의 제1 웨이퍼 스캔의 수행과 하나 이상의 제2 웨이퍼 스캔의 수행 간의 차이의 모델 및 상기 결과를 이용하여 웨이퍼에 대한 섭동 결과를 발생하는 단계를 포함한다. 모델은 다른 컴퓨터 구현 방법을 이용하여 여기에서 설명하는 것처럼 발생될 수 있다. 섭동 결과는 하나 이상의 제2 웨이퍼 스캔에 의해 웨이퍼에 대해 발생된 결과와 근사하다. 섭동 결과는 여기에서 구체적으로 설명하는 것처럼 결과와 근사하다. 또한, 이 방법은 여기에서 구체적으로 설명하는 것처럼 수행될 수 있는 섭동 결과에 기초하여 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 단계를 포함한다. 웨이퍼 처리는 하나 이상의 제2 웨이퍼 스캔을 수행하는 단계를 포함한다.
여기에서 설명하는 모든 방법은 방법의 하나 이상의 단계들의 결과를 비일시적인 컴퓨터 판독가능 저장 매체에 저장하는 단계를 포함할 수 있다. 결과는 여기에서 설명하는 결과들 중의 임의 결과를 포함할 수 있고, 당업계에서 공지된 임의의 방식으로 저장될 수 있다. 저장 매체는 여기에서 설명하는 임의의 저장 매체 또는 당업계에서 공지된 임의의 다른 적당한 저장 매체를 포함할 수 있다. 결과가 저장된 후에, 결과는 저장 매체에서 액세스될 수 있고 여기에서 설명하는 임의의 방법 또는 시스템 실시형태에 의해 사용될 수 있으며, 사용자에게 디스플레이하기 위해 포맷되고 다른 소프트웨어 모듈, 방법 또는 시스템 등에 의해 사용될 수 있다. 예를 들면, 방법이 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정한 후에, 방법은 하나 이상의 파라미터를 포함하는 웨이퍼 처리를 위한 레시피를, 시스템이 검사 및/또는 계측과 같은 처리를 위해 레시피를 사용할 수 있도록 저장 매체에 저장하는 단계를 포함할 수 있다.
각 방법의 각 단계는 컴퓨터 시스템에 의해 수행될 수 있다. 컴퓨터 시스템은 여기에서 설명하는 것처럼 구성될 수 있다. 방법의 각 실시형태는 여기에서 설명하는 임의의 다른 방법(들)의 임의의 다른 단계(들)을 포함할 수 있다. 또한, 방법의 각 실시형태는 여기에서 설명하는 임의의 시스템에 의해 수행될 수 있다.
다른 실시형태는 컴퓨터 시스템으로 하여금 여기에서 설명하는 하나 이상의 컴퓨터 구현 방법을 수행하게 하는 프로그램 명령어를 저장한 비일시적 컴퓨터 판독가능 매체와 관련이 있다. 그러한 컴퓨터 판독가능 매체의 일 실시형태는 도 4에 도시되어 있다. 특히, 컴퓨터 판독가능 매체(10)는 컴퓨터 시스템(14)으로 하여금 여기에서 설명하는 하나 이상의 컴퓨터 구현 방법을 수행하게 하는 프로그램 명령어(12)를 저장한다.
여기에서 설명한 것과 같은 방법을 구현하는 프로그램 명령어(12)는 컴퓨터 판독가능 매체(10)에 저장될 수 있다. 컴퓨터 판독가능 매체는 읽기 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 자기 테이프와 같은 비일시적 컴퓨터 판독가능 저장 매체, 또는 당업계에서 공지된 임의의 다른 적당한 컴퓨터 판독가능 매체일 수 있다.
프로그램 명령어는 다른 무엇보다도 절차 기반 기술, 컴포넌트 기반 기술, 및/또는 객체 지향형 기술을 포함한 임의의 각종 방법으로 구현될 수 있다. 예를 들면, 프로그램 명령어는 액티브X 컨트롤, C++ 오브젝트, 자바빈즈(JavaBeans), 마이크로소프트 파운데이션 클래스("MFC"), 또는 바람직한 다른 기술 또는 방법론을 이용하여 구현될 수 있다.
컴퓨터 시스템(14)은 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당업계에 공지된 임의의 다른 장치를 포함한 각종 형태를 취할 수 있다. 일반적으로, 용어 "컴퓨터 시스템"은 저장 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비한 임의의 장치를 포괄하도록 넓게 규정된다.
도 5는 여기에서 설명한 하나 이상의 컴퓨터 구현 방법을 수행하도록 구성된 시스템의 일 실시형태를 보인 것이다. 도 5에 도시된 것처럼, 시스템은 다수의 툴(16, 18, 20, 22)을 포함한다. 툴(16, 18, 20, 22)은 임의의 상업적으로 입수가능한 웨이퍼 검사 및/또는 계측 툴을 포함할 수 있다. 툴 중의 하나(예를 들면, 툴(16))는 다른 툴(예를 들면, 툴(18, 20, 22)) 중의 하나에 대한 섭동 결과를 발생하기 위해 사용되는 결과를 발생하기 위해 사용될 수 있다. 예를 들면, 시스템은 툴(16)을 이용하여 수행되는 하나 이상의 제1 웨이퍼 스캔을 이용하여 웨이퍼에 대한 결과를 발생하도록 구성될 수 있다.
여기에서 설명한 것처럼 구성될 수 있는 컴퓨터 시스템(24)은 컴퓨터 시스템이 각 툴에 대하여 데이터를 송신 및 수신할 수 있도록 임의의 적당한 방법으로 각 툴에 결합될 수 있다. 예를 들면, 컴퓨터 시스템(24)은 하나 이상의 제1 웨이퍼 스캔을 이용하여 발생된 결과를 툴(16)로부터 수신할 수 있다.
컴퓨터 시스템은 툴(16)에 의해 발생된 결과 및 여기에서 설명한 것과 같은 모델을 이용하여 웨이퍼에 대한 섭동 결과를 발생하도록 구성될 수 있다. 섭동 결과는 다른 툴 중의 하나에 의해 수행된 하나 이상의 제2 웨이퍼 스캔에 의해 웨이퍼에 대해 발생된 결과와 근사하다.
컴퓨터 시스템(24)은 또한 여기에서 구체적으로 설명하는 것처럼 수행될 수 있는, 섭동 결과에 기초하여 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하도록 구성될 수 있다. 이 방식으로, 툴(16)에 의해 발생된 결과는 다른 툴 중의 하나에 대한 웨이퍼 처리 레시피를 발생하기 위해 사용될 수 있다. 또한, 툴(16)에 의해 발생된 결과는 다른 툴을 이용하지 않고 다른 툴 중의 하나에 대한 웨이퍼 처리 레시피를 발생하기 위해 사용될 수 있고, 이것은 여기에서 설명한 것처럼 유리한 것이다. 그러므로, 툴(16)은 비록 이 툴이 웨이퍼 처리 구성을 위해 사용되지 않을 때 실제 웨이퍼 처리를 위해 또한 사용될 수 있다 하더라도 웨이퍼 처리 레시피 구성에 전용될 수 있다.
컴퓨터 시스템(24)은 프로세스, 검사, 계측, 검토 또는 다른 툴의 일부를 형성하지 않는 독립형 시스템으로서 구성될 수 있다. 대안적으로, 컴퓨터 시스템(24)은 웨이퍼 검사 시스템, 계측 시스템, 결함 검토 시스템, 분석 시스템, 또는 다른 툴의 일부를 형성할 수 있다.
본 발명의 각종 양태에 대한 추가의 수정예 및 대안적인 실시형태가 이 기술에 숙련된 사람에게는 여기에서의 설명에 비추어 명백할 것이다. 예를 들면, 웨이퍼 검사 및/또는 계측을 위한 하나 이상의 파라미터를 결정하는 방법이 제공된다. 그러므로, 여기에서의 설명은 단지 예시하는 것으로서 해석되어야 하고, 이 기술에 숙련된 사람에게 본 발명을 실시하는 일반적인 방법을 교시하는 목적을 갖는다. 여기에서 도시하고 설명한 본 발명의 형태는 현재의 양호한 실시형태로서 취한 것임을 이해하여야 한다. 다른 구성요소 및 물질이 여기에서 예시하고 설명한 것들을 대체할 수 있고, 부품 및 프로세스가 바뀔 수 있으며, 본 발명의 소정의 특징이 독립적으로 사용될 수 있고, 이들은 모두 본 발명에 대한 이 명세서를 읽은 후에 이 기술에 숙련된 사람에게 명백할 것이다. 뒤의 특허청구범위에서 규정하는 본 발명의 정신 및 범위로부터 벗어나지 않고 여기에서 설명한 각종 요소에 대하여 각종의 변경이 이루어질 수 있다.

Claims (21)

  1. 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 컴퓨터 구현 방법에 있어서,
    하나 이상의 제1 웨이퍼 스캔의 수행과 하나 이상의 제2 웨이퍼 스캔의 수행 간의 차이의 모델을 발생하는 단계;
    상기 하나 이상의 제1 웨이퍼 스캔을 이용하여 웨이퍼에 대한 결과를 발생하는 단계;
    상기 결과 및 상기 모델을 이용하여 상기 웨이퍼에 대한 섭동 결과(perturbed results) - 상기 섭동 결과는 상기 하나 이상의 제2 웨이퍼 스캔에 의해 상기 웨이퍼에 대하여 발생된 결과와 근사한 것임 - 를 발생하는 단계;
    상기 섭동 결과에 기초하여 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 단계를
    포함하고,
    상기 웨이퍼 처리는 하나 이상의 제2 웨이퍼 스캔을 수행하는 단계를 포함하고, 상기 방법의 단계들이 컴퓨터 시스템에 의해 수행되는 것인 컴퓨터 구현 방법.
  2. 제1항에 있어서, 상기 결정하는 단계는 상기 하나 이상의 제2 웨이퍼 스캔의 수행을 상기 하나 이상의 제1 웨이퍼 스캔의 수행에 정합시키도록 수행되는 것인 컴퓨터 구현 방법.
  3. 제1항에 있어서, 상기 하나 이상의 제1 웨이퍼 스캔 및 상기 하나 이상의 제2 웨이퍼 스캔은 상이한 툴에 의해 수행되는 것인 컴퓨터 구현 방법.
  4. 제1항에 있어서, 상기 하나 이상의 제1 웨이퍼 스캔 및 상기 하나 이상의 제2 웨이퍼 스캔은 동일한 툴에 의해 수행되는 것인 컴퓨터 구현 방법.
  5. 제1항에 있어서, 상기 방법이 상기 방법의 2개 이상의 단계를 반복적으로 수행하는 단계를 포함하지 않는 것인 컴퓨터 구현 방법.
  6. 제1항에 있어서, 상기 하나 이상의 제1 웨이퍼 스캔은 제1 툴에 의해 수행되고, 상기 하나 이상의 제2 웨이퍼 스캔은 제2 툴에 의해 수행되며, 상기 결정하는 단계는 상기 제2 툴을 이용하지 않고 수행되는 것인 컴퓨터 구현 방법.
  7. 제1항에 있어서, 수행에 있어서의 차이는 상기 모델을 발생하기 위해 사용되는 결과를 발생하기 위해 상기 하나 이상의 제1 웨이퍼 스캔 및 상기 하나 이상의 제2 웨이퍼 스캔이 수행된 웨이퍼에서 결함의 속성의 차이를 포함한 것인 컴퓨터 구현 방법.
  8. 제1항에 있어서, 상기 모델을 발생하는 단계는 상기 하나 이상의 제1 웨이퍼 스캔 및 상기 하나 이상의 제2 웨이퍼 스캔에 공통인 웨이퍼에서 결함을 식별하는 단계를 포함한 것인 컴퓨터 구현 방법.
  9. 제8항에 있어서, 상기 모델을 발생하는 단계는 상기 하나 이상의 제1 웨이퍼 스캔을 이용하여 결정된 결함의 속성과 하나 이상의 제2 웨이퍼 스캔을 이용하여 결정된 속성 간의 차이 대 상기 하나 이상의 제1 웨이퍼 스캔을 이용하여 결정된 속성과 상기 하나 이상의 제2 웨이퍼 스캔을 이용하여 결정된 속성의 평균을 작도(plotting)하는 단계를 또한 포함한 것인 컴퓨터 구현 방법.
  10. 제9항에 있어서, 상기 모델을 발생하는 단계는 상기 결함을 상이한 평균값에 대응하는 빈으로 분할하는 단계를 또한 포함하고, 각 빈은 각각의 다른 빈과 동일한 개수의 결함을 포함한 것인 컴퓨터 구현 방법.
  11. 제10항에 있어서, 상기 모델을 발생하는 단계는 각 빈에 대하여 각 빈에서의 결함의 속성 분포의 평균(mean) 및 시그마를 결정하는 단계를 또한 포함한 것인 컴퓨터 구현 방법.
  12. 제11항에 있어서, 상기 섭동 결과를 발생하는 단계는 상기 모델의 대응하는 빈에 대하여 결정된 평균 및 시그마를 가지는 랜덤 분포로부터 빈에 대한 포인트를 추출하는(drawing) 단계를 포함한 것인 컴퓨터 구현 방법.
  13. 제1항에 있어서, 상기 모델을 발생하기 위해 사용될 결과를 발생하기 위해 상기 하나 이상의 제1 웨이퍼 스캔 및 상기 하나 이상의 제2 웨이퍼 스캔이 이용되기 전에 상기 하나 이상의 제1 웨이퍼 스캔 및 상기 하나 이상의 제2 웨이퍼 스캔이 서로 정합되도록 상기 하나 이상의 제1 웨이퍼 스캔 및 상기 하나 이상의 제2 웨이퍼 스캔을 수행하기 위해 사용되는 하나 이상의 툴의 하나 이상의 파라미터를 변경하는 단계를 상기 모델을 발생하는 단계 전에 더 포함한 컴퓨터 구현 방법.
  14. 제1항에 있어서, 상기 방법이 미리 정해진 간격으로 수행되고, 상기 웨이퍼 처리는 최종의 2개의 간격 후에 발생된 결과 또는 섭동 결과가 미리 정해진 값보다 크게 서로 다른 경우에, 가장 최근의 간격 후에 결정된 하나 이상의 파라미터를 이용하여 수행되는 것인 컴퓨터 구현 방법.
  15. 제1항에 있어서, 수행들 간의 차이는 상기 하나 이상의 제1 웨이퍼 스캔 및 상기 하나 이상의 제2 웨이퍼 스캔에 공통인 결함의 등급의 속성 간의 차이를 포함한 것인 컴퓨터 구현 방법.
  16. 제1항에 있어서, 상기 모델을 발생하기 위해 사용되는 결과를 발생하기 위해 웨이퍼의 하나의 층에서 상기 하나 이상의 제1 웨이퍼 스캔 및 상기 하나 이상의 제2 웨이퍼 스캔을 수행하는 단계를 더 포함하고, 상기 웨이퍼 처리는 상기 웨이퍼의 다른 층 또는 다른 웨이퍼의 다른 층에서 수행되는 것인 컴퓨터 구현 방법.
  17. 제1항에 있어서, 상기 하나 이상의 파라미터는 상기 제2 웨이퍼 스캔을 수행하기 위해 사용되는 하나 이상의 파라미터를 포함한 것인 컴퓨터 구현 방법.
  18. 제1항에 있어서, 상기 하나 이상의 파라미터는 상기 제2 웨이퍼 스캔에 의해 발생된 결과들을 처리하기 위해 사용되는 하나 이상의 파라미터를 포함한 것인 컴퓨터 구현 방법.
  19. 제1항에 있어서, 웨이퍼 처리는 검사 또는 계측을 포함한 것인 컴퓨터 구현 방법.
  20. 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하기 위해 사용될 수 있는 결과를 발생하는 컴퓨터 구현 방법에 있어서,
    하나 이상의 제1 웨이퍼 스캔의 수행과 하나 이상의 제2 웨이퍼 스캔의 수행 간의 차이의 모델을 발생하는 단계;
    상기 하나 이상의 제1 웨이퍼 스캔을 이용하여 웨이퍼에 대한 결과를 발생하는 단계;
    상기 결과 및 상기 모델을 이용하여 상기 웨이퍼에 대한 섭동 결과를 발생하는 단계를
    포함하고,
    섭동 결과는 하나 이상의 제2 웨이퍼 스캔에 의해 상기 웨이퍼에 대해 발생된 결과와 근사하고, 상기 섭동 결과는 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하기 위해 사용될 수 있으며, 상기 웨이퍼 처리는 상기 하나 이상의 제2 웨이퍼 스캔을 수행하는 단계를 포함하고, 상기 방법의 단계들이 컴퓨터 시스템에 의해 수행되는 것인 컴퓨터 구현 방법.
  21. 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 컴퓨터 구현 방법에 있어서,
    하나 이상의 제1 웨이퍼 스캔을 이용하여 웨이퍼에 대한 결과를 발생하는 단계;
    상기 하나 이상의 제1 웨이퍼 스캔의 수행과 상기 하나 이상의 제2 웨이퍼 스캔의 수행 간의 차이의 모델 및 상기 결과를 이용하여 웨이퍼에 대한 섭동 결과 - 상기 섭동 결과는 상기 하나 이상의 제2 웨이퍼 스캔에 의해 상기 웨이퍼에 대해 발생된 결과와 근사한 것임 - 를 발생하는 단계;
    상기 섭동 결과에 기초하여 웨이퍼 처리를 위한 하나 이상의 파라미터를 결정하는 단계를
    포함하고,
    상기 웨이퍼 처리는 상기 하나 이상의 제2 웨이퍼 스캔을 수행하는 단계를 포함하고, 상기 방법의 단계들이 컴퓨터 시스템에 의해 수행되는 것인 컴퓨터 구현 방법.
KR1020137002360A 2010-06-30 2011-06-09 웨이퍼 검사 또는 계측 구성을 위한 데이터 섭동 KR101803119B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US36040610P 2010-06-30 2010-06-30
US61/360,406 2010-06-30
PCT/US2011/039876 WO2012005863A2 (en) 2010-06-30 2011-06-09 Data perturbation for wafer inspection or metrology setup

Publications (2)

Publication Number Publication Date
KR20130096228A true KR20130096228A (ko) 2013-08-29
KR101803119B1 KR101803119B1 (ko) 2017-11-29

Family

ID=45441709

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137002360A KR101803119B1 (ko) 2010-06-30 2011-06-09 웨이퍼 검사 또는 계측 구성을 위한 데이터 섭동

Country Status (8)

Country Link
US (1) US9360863B2 (ko)
JP (1) JP5925199B2 (ko)
KR (1) KR101803119B1 (ko)
CN (1) CN102985887B (ko)
DE (1) DE112011102209T5 (ko)
IL (1) IL223198A (ko)
TW (1) TWI451512B (ko)
WO (1) WO2012005863A2 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9053390B2 (en) * 2012-08-14 2015-06-09 Kla-Tencor Corporation Automated inspection scenario generation
US8948494B2 (en) * 2012-11-12 2015-02-03 Kla-Tencor Corp. Unbiased wafer defect samples
US9255877B2 (en) * 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
NL2017881B1 (en) * 2015-12-18 2017-10-17 Ultratech Inc Full-wafer inspection methods having selectable pixel density
US10964013B2 (en) * 2017-01-10 2021-03-30 Kla-Tencor Corporation System, method for training and applying defect classifiers in wafers having deeply stacked layers
DE102018111378A1 (de) * 2018-05-14 2019-11-14 Osram Opto Semiconductors Gmbh Verfahren zur auswertung von messdaten

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05248843A (ja) * 1992-03-05 1993-09-28 Mitsubishi Electric Corp 走査型電子顕微鏡
JP3869588B2 (ja) 1999-09-01 2007-01-17 株式会社日立製作所 回路パターン検査装置
WO2001027600A1 (fr) 1999-10-14 2001-04-19 Sumitomo Metal Industries., Ltd. Technique d'inspection de la surface d'une tranche de semi-conducteur
JP2002054915A (ja) * 2000-08-08 2002-02-20 Sony Corp 検査装置
KR100583960B1 (ko) * 2004-01-20 2006-05-26 삼성전자주식회사 반도체 소자의 테스트 패턴 및 이를 이용한 테스트 방법.
US9037280B2 (en) * 2005-06-06 2015-05-19 Kla-Tencor Technologies Corp. Computer-implemented methods for performing one or more defect-related functions
JP2007024737A (ja) * 2005-07-20 2007-02-01 Hitachi High-Technologies Corp 半導体の欠陥検査装置及びその方法
US7676077B2 (en) * 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
JP4908934B2 (ja) 2006-06-08 2012-04-04 株式会社日立ハイテクノロジーズ 半導体ウェーハ検査装置および半導体ウェーハ検査方法
KR100755372B1 (ko) * 2006-08-25 2007-09-04 삼성전자주식회사 웨이퍼 검사방법
KR101343429B1 (ko) 2008-02-28 2013-12-20 삼성전자주식회사 표면 검사장치 및 그의 표면 검사방법
US8223327B2 (en) * 2009-01-26 2012-07-17 Kla-Tencor Corp. Systems and methods for detecting defects on a wafer
EP2394295A2 (en) * 2009-02-06 2011-12-14 KLA-Tencor Corporation Selecting one or more parameters for inspection of a wafer

Also Published As

Publication number Publication date
IL223198A0 (en) 2013-02-03
WO2012005863A2 (en) 2012-01-12
TW201209948A (en) 2012-03-01
DE112011102209T5 (de) 2013-06-27
US20120116733A1 (en) 2012-05-10
CN102985887A (zh) 2013-03-20
WO2012005863A3 (en) 2012-04-05
TWI451512B (zh) 2014-09-01
JP5925199B2 (ja) 2016-05-25
IL223198A (en) 2017-04-30
US9360863B2 (en) 2016-06-07
JP2013534055A (ja) 2013-08-29
KR101803119B1 (ko) 2017-11-29
CN102985887B (zh) 2014-12-03

Similar Documents

Publication Publication Date Title
TWI751376B (zh) 識別在一晶圓上偵測到之缺陷中之損害及所關注缺陷
CN110770886B (zh) 用于使用半导体制造工艺中的深度学习预测缺陷及临界尺寸的系统及方法
US10402461B2 (en) Virtual inspection systems for process window characterization
US10359371B2 (en) Determining one or more characteristics of a pattern of interest on a specimen
US7975245B2 (en) Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
US10832396B2 (en) And noise based care areas
KR20130096228A (ko) 웨이퍼 검사 또는 계측 구성을 위한 데이터 섭동
US11619592B2 (en) Selecting defect detection methods for inspection of a specimen
US9536299B2 (en) Pattern failure discovery by leveraging nominal characteristics of alternating failure modes
KR20110052672A (ko) 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US11416982B2 (en) Controlling a process for inspection of a specimen
TWI617816B (zh) 晶圓的可適性電性測試
KR102408848B1 (ko) 웨이퍼 상의 뉴슨스 결함들의 소스 식별
KR20170088849A (ko) 다양화 및 결함 발견을 위한 동적 비닝
KR20190138891A (ko) 광학 검사 결과들로부터 형성한 계측 가이드 검사 샘플
KR102506719B1 (ko) 변조된 웨이퍼의 감도를 튜닝하고 변조된 웨이퍼에 대한 프로세스 윈도우를 결정하는 시스템, 방법 및 비일시적 컴퓨터 판독 가능 매체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant