KR20120033706A - Semiconductor device using hard mask and fabricating method thereof - Google Patents

Semiconductor device using hard mask and fabricating method thereof Download PDF

Info

Publication number
KR20120033706A
KR20120033706A KR1020100095375A KR20100095375A KR20120033706A KR 20120033706 A KR20120033706 A KR 20120033706A KR 1020100095375 A KR1020100095375 A KR 1020100095375A KR 20100095375 A KR20100095375 A KR 20100095375A KR 20120033706 A KR20120033706 A KR 20120033706A
Authority
KR
South Korea
Prior art keywords
gate electrode
insulating layer
hard mask
metal gate
contact
Prior art date
Application number
KR1020100095375A
Other languages
Korean (ko)
Inventor
정수연
이진욱
김명철
김용진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020100095375A priority Critical patent/KR20120033706A/en
Publication of KR20120033706A publication Critical patent/KR20120033706A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Abstract

PURPOSE: A semiconductor device which uses a hard mask and a manufacturing method thereof are provided to protect a metal gate electrode from an etching phenomenon by forming a hard mask which is overlapped with the metal gate electrode. CONSTITUTION: A metal gate electrode(11) is formed on a substrate(1). A gate spacer(17) is formed on both sides of the metal gate electrode. A first insulating layer(5) is arranged on both sides of the gate spacer. An etching stopping layer(21) is arranged on the metal gate electrode. A hard mask(41) is formed on the etching stopping layer. A second insulating layer(51) is arranged on the hard mask.

Description

하드 마스크를 이용한 반도체 장치 및 그의 제조 방법{Semiconductor device using hard mask and fabricating method thereof}Semiconductor device using hard mask and method of manufacturing the same {Semiconductor device using hard mask and fabricating method

본 발명은 하드 마스크를 이용한 반도체 장치 및 그 제조 방법에 관한 것이다.The present invention relates to a semiconductor device using a hard mask and a method of manufacturing the same.

일반적으로, 반도체 장치는 트랜지스터 또는 캐패시터 등과 같은 개별 소자들과, 개별 소자들을 연결하는 배선을 포함한다. 또한, 반도체 장치는 개별 소자와 개별 소자, 개별 소자와 배선, 또는 배선과 배선을 연결하는 콘택들을 포함한다.In general, semiconductor devices include individual elements such as transistors or capacitors, and wiring for connecting the individual elements. In addition, the semiconductor device includes individual elements and individual elements, individual elements and wires, or contacts connecting the wires and wires.

이러한 반도체 장치는 최근 고성능화 추세에 따라, 반도체 장치의 게이트 전극의 크기를 축소시켜 소자를 고집적화하고 있다. 이에 따라 소자들뿐만 아니라, 배선 및 콘택들의 사이즈 또한 급격히 감소되어, 배선 및 콘택들이 형성될 영역의 마진(margin)이 감소하고 있다. 집적도 증가에 따른 마진 감소는 배선 및 콘택들 간의 전기적 불량을 야기시킬 수 있다.In accordance with the recent trend toward higher performance, such semiconductor devices have reduced the size of gate electrodes of semiconductor devices, resulting in higher integration of devices. As a result, the size of the wirings and the contacts, as well as the devices, are also drastically reduced, thereby reducing the margin of the area where the wirings and the contacts are to be formed. Margin reduction with increasing integration can cause electrical failures between interconnects and contacts.

본 발명이 해결하려는 과제는, 게이트 전극의 상부에 하드 마스크가 형성된 반도체 장치를 제공하는 것이다.An object of the present invention is to provide a semiconductor device in which a hard mask is formed on an upper portion of a gate electrode.

본 발명이 해결하려는 다른 과제는, 콘택 형성을 위한 포토리소그래피 공정에서 포토레지스트 패턴의 미스 얼라인이 발생하더라도 게이트 전극의 손상을 줄일 수 있는 반도체 장치 및 그의 제조 방법을 제공하는 것이다.Another object of the present invention is to provide a semiconductor device and a method of manufacturing the same, which can reduce damage to a gate electrode even when misalignment of a photoresist pattern occurs in a photolithography process for forming a contact.

본 발명이 해결하려는 과제들은 이상에서 언급한 과제들로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.Problems to be solved by the present invention are not limited to the above-mentioned problems, and other problems not mentioned will be clearly understood by those skilled in the art from the following description.

상기 과제를 해결하기 위한 본 발명의 반도체 장치 제조 방법의 일 태양은 기판 상에, 금속 게이트 전극과, 상기 금속 게이트 전극의 양측에 위치하는 게이트 스페이서와, 상기 게이트 스페이서의 양측에 위치하는 제1 절연층을 형성하고, 상기 금속 게이트 전극 및 상기 제1 절연층 상에 식각 정지층을 형성하고, 상기 식각 정지층 상에 상기 금속 게이트 전극과 오버랩되도록 하드 마스크를 형성하고, 상기 식각 정지층 및 상기 하드 마스크 상에 제2 절연층을 형성하고, 상기 식각 정지층이 노출되도록 상기 제2 절연층의 일부를 제거하여 제1 콘택홀을 형성하는 것을 포함한다.One aspect of the semiconductor device manufacturing method of the present invention for solving the above problems is a metal gate electrode, a gate spacer located on both sides of the metal gate electrode, and a first insulation disposed on both sides of the gate spacer on the substrate. Forming a layer, forming an etch stop layer on the metal gate electrode and the first insulating layer, forming a hard mask on the etch stop layer so as to overlap with the metal gate electrode, and forming the etch stop layer and the hard Forming a first contact hole by forming a second insulating layer on the mask and removing a portion of the second insulating layer to expose the etch stop layer.

상기 과제를 해결하기 위한 본 발명의 반도체 장치의 일 태양은 기판, 상기 기판 상에 형성된 금속 게이트 전극, 상기 금속 게이트 전극의 양측에 위치하는 게이트 스페이서, 상기 게이트 스페이서의 양측에 위치하는 제1 절연층, 상기 금속 게이트 상에 형성된 식각 정지층, 상기 식각 정지층 상에 상기 금속 게이트 전극과 오버랩되도록 형성된 하드 마스크, 상기 하드 마스크 상에 형성된 제2 절연층, 상기 제2 절연층의 측면에 형성된 콘택 스페이서를 포함한다.One aspect of the semiconductor device of the present invention for solving the above problems is a substrate, a metal gate electrode formed on the substrate, a gate spacer located on both sides of the metal gate electrode, a first insulating layer located on both sides of the gate spacer An etch stop layer formed on the metal gate, a hard mask formed to overlap the metal gate electrode on the etch stop layer, a second insulating layer formed on the hard mask, and a contact spacer formed on a side surface of the second insulating layer. It includes.

본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.Other specific details of the invention are included in the detailed description and drawings.

도 1 내지 도 9는 본 발명의 일 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 구조물의 단면도들이다.1 to 9 are cross-sectional views of intermediate structures for describing a method of manufacturing a semiconductor device according to an embodiment of the present invention.

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.Advantages and features of the present invention and methods for achieving them will be apparent with reference to the embodiments described below in detail with the accompanying drawings. However, the present invention is not limited to the embodiments disclosed below, but will be implemented in various forms, and only the present embodiments are intended to complete the disclosure of the present invention, and the general knowledge in the art to which the present invention pertains. It is provided to fully convey the scope of the invention to those skilled in the art, and the present invention is defined only by the scope of the claims. Like reference numerals refer to like elements throughout.

하나의 소자(elements)가 다른 소자와 "접속된(connected to)" 또는 "커플링된(coupled to)" 이라고 지칭되는 것은, 다른 소자와 직접 연결 또는 커플링된 경우 또는 중간에 다른 소자를 개재한 경우를 모두 포함한다. 반면, 하나의 소자가 다른 소자와 "직접 접속된(directly connected to)" 또는 "직접 커플링된(directly coupled to)"으로 지칭되는 것은 중간에 다른 소자를 개재하지 않은 것을 나타낸다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다. "및/또는"은 언급된 아이템들의 각각 및 하나 이상의 모든 조합을 포함한다. When an element is referred to as being "connected to" or "coupled to" with another element, it may be directly connected to or coupled with another element or through another element in between. This includes all cases. On the other hand, when one device is referred to as "directly connected to" or "directly coupled to" with another device indicates that no other device is intervened. Like reference numerals refer to like elements throughout. “And / or” includes each and all combinations of one or more of the items mentioned.

비록 제1, 제2 등이 다양한 소자, 구성요소 및/또는 섹션들을 서술하기 위해서 사용되나, 이들 소자, 구성요소 및/또는 섹션들은 이들 용어에 의해 제한되지 않음은 물론이다. 이들 용어들은 단지 하나의 소자, 구성요소 또는 섹션들을 다른 소자, 구성요소 또는 섹션들과 구별하기 위하여 사용하는 것이다. 따라서, 이하에서 언급되는 제1 소자, 제1 구성요소 또는 제1 섹션은 본 발명의 기술적 사상 내에서 제2 소자, 제2 구성요소 또는 제2 섹션일 수도 있음은 물론이다.Although the first, second, etc. are used to describe various elements, components and / or sections, these elements, components and / or sections are of course not limited by these terms. These terms are only used to distinguish one element, component or section from another element, component or section. Therefore, the first device, the first component, or the first section mentioned below may be a second device, a second component, or a second section within the technical spirit of the present invention.

본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 "포함한다(comprises)" 및/또는 "포함하는(comprising)"은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. In the present specification, the singular form includes plural forms unless otherwise specified in the specification. As used herein, “comprises” and / or “comprising” refers to the presence of one or more other components, steps, operations and / or elements. Or does not exclude additions.

다른 정의가 없다면, 본 명세서에서 사용되는 모든 용어(기술 및 과학적 용어를 포함)는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 공통적으로 이해될 수 있는 의미로 사용될 수 있을 것이다. 또 일반적으로 사용되는 사전에 정의되어 있는 용어들은 명백하게 특별히 정의되어 있지 않는 한 이상적으로 또는 과도하게 해석되지 않는다. Unless otherwise defined, all terms (including technical and scientific terms) used in the present specification may be used in a sense that can be commonly understood by those skilled in the art. In addition, the terms defined in the commonly used dictionaries are not ideally or excessively interpreted unless they are specifically defined clearly.

이하, 본 발명의 실시예들은 금속 게이트 전극을 이용하는 반도체 장치를 이용하여 설명할 것이다. 그러나, 본 발명은 폴리 실리콘 게이트 전극을 이용하는 반도체 장치에 적용될 수 있음은 본 발명이 속하는 기술의 당업자에게 자명하다.Embodiments of the present invention will be described below using a semiconductor device using a metal gate electrode. However, it will be apparent to those skilled in the art that the present invention can be applied to a semiconductor device using a polysilicon gate electrode.

도 1 내지 도 9은 본 발명의 일 실시예에 따른 반도체 장치 및 그의 제조 방법을 설명하기 위한 도면들이다. 도 1 내지 도 9에서는 설명의 편의를 위해서, 기판(1) 내에 형성되는 소오스/드레인 영역, STI(shallow trench isolation)와 같은 소자 분리 영역 등의 도시는 생략한다.1 to 9 are diagrams for describing a semiconductor device and a method of manufacturing the same according to an embodiment of the present invention. 1 to 9, illustrations of source / drain regions and element isolation regions such as shallow trench isolation (STI), which are formed in the substrate 1, are omitted for convenience of description.

우선, 도 1을 참조하면, 기판(1) 상에, 금속 게이트 전극(11), 배리어층(13), 게이트 절연층 패턴(15), 게이트 스페이서(17) 및 제1 절연층(5)을 형성할 수 있다.First, referring to FIG. 1, a metal gate electrode 11, a barrier layer 13, a gate insulating layer pattern 15, a gate spacer 17, and a first insulating layer 5 are disposed on a substrate 1. Can be formed.

기판(1)은 벌크 실리콘 또는 SOI(silicon-on-insulator)일 수 있다. 이와 달리, 기판(1)은 실리콘 기판일 수도 있고, 또는 다른 물질, 예를 들어, 게르마늄, 안티몬화 인듐, 납 텔루르 화합물, 인듐 비소, 인듐 인화물, 갈륨 비소 또는 안티몬화 갈륨을 포함할 수 있으나, 이에 한정되는 것은 아니다.The substrate 1 may be bulk silicon or silicon-on-insulator (SOI). Alternatively, the substrate 1 may be a silicon substrate or may include other materials such as germanium, indium antimonide, lead tellurium compounds, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonium, It is not limited to this.

기판(1) 상에 게이트 절연층(미도시) 및 희생 게이트 전극층(미도시)을 각각 순차적으로 형성한 후, 패터닝함으로써 게이트 절연층 패턴(15), 희생 게이트 전극(미도시)이 순차적으로 적층된 구조물을 형성하고, 이 적층 구조물의 양측에 게이트 스페이서(17)를 형성하는 일련의 과정을 진행할 수 있다. A gate insulating layer (not shown) and a sacrificial gate electrode layer (not shown) are sequentially formed on the substrate 1, and then patterned to sequentially stack the gate insulating layer pattern 15 and the sacrificial gate electrode (not shown). A series of processes of forming the structure and forming the gate spacers 17 on both sides of the stacked structure may be performed.

상기 적층 구조물이 형성된 기판(1) 상에 식각 정지층(미도시)과 제1 절연층(5)을 형성하고, 상기 희생 게이트 전극(미도시)의 상면이 노출되도록 예컨대 화학기계적 연마(CMP: chemical mechanical polishing) 공정을 진행할 수 있다. 이어서, 희생 게이트 전극(미도시)을 제거하여 트렌치(미도시)를 형성하고, 제1 절연층(5)의 상면, 트렌치(미도시)의 측면과 바닥면을 따라서 배리어층(13)을 컨포말하게 형성한 후, 상기 트렌치(미도시)를 채우도록 금속층을 매립하여 금속 게이트 전극(11)을 형성할 수 있다. 그리고, 게이트 스페이서(17)에서 연마가 정지되도록, 예컨대 화학기계적 연마 공정을 진행할 수 있다. 결과적으로, 금속 게이트 전극(11)의 상면과, 게이트 스페이서(17)의 상면과, 제1 절연층(5)의 상면은 동일 평면 상에 위치할 수 있다. 다만, 도 1의 금속 게이트 전극(11)을 형성하는 공정에 포함되는 세부적인 공정 순서 및 방법 상의 변경이 가능함은 당업자에게 자명하다.An etch stop layer (not shown) and a first insulating layer 5 are formed on the substrate 1 on which the stacked structure is formed, and chemical mechanical polishing (CMP) is performed to expose the top surface of the sacrificial gate electrode (not shown). chemical mechanical polishing) process. Subsequently, the sacrificial gate electrode (not shown) is removed to form a trench (not shown), and the barrier layer 13 is formed along the top surface of the first insulating layer 5 and the side and bottom surfaces of the trench (not shown). After forming the foam, the metal gate electrode 11 may be formed by filling a metal layer to fill the trench (not shown). Then, for example, a chemical mechanical polishing process may be performed to stop polishing at the gate spacer 17. As a result, the upper surface of the metal gate electrode 11, the upper surface of the gate spacer 17, and the upper surface of the first insulating layer 5 may be located on the same plane. However, it will be apparent to those skilled in the art that the detailed process order and method included in the process of forming the metal gate electrode 11 of FIG. 1 may be changed.

게이트 절연층 패턴(15)은 예컨대 화학기상증착(CVD: chemical vapor deposition) 방식으로 형성되는 실리콘 산화막 또는 고유전율 물질을 포함할 수 있다. 즉, 실리콘 산화막 단일막일 수도 있고, 고유전율 물질 단일막일 수도 있고, 실리콘 산화막과 고유전율 물질의 적층막일 수도 있다. 여기서, 고유전율 물질은 예컨대 하프늄 산화물, 하프늄 실리콘 산화물 중 어느 하나를 포함할 수 있다. The gate insulating layer pattern 15 may include, for example, a silicon oxide film or a high dielectric constant material formed by a chemical vapor deposition (CVD) method. That is, the silicon oxide film may be a single film, a high dielectric constant material single film, or a laminated film of the silicon oxide film and the high dielectric constant material. Here, the high dielectric constant material may include any one of hafnium oxide and hafnium silicon oxide.

배리어층(13)은 예컨대 스퍼터링(sputtering)을 이용하여 티타늄 질화물(TiN)로 형성될 수 있다. 또한, 게이트 스페이서(17)는 예컨대 실리콘 질화물로 형성될 수 있다. 희생 게이트 전극(미도시)은 예컨대 폴리실리콘으로 형성될 수 있고, 금속 게이트 전극(11)은 예컨대 하프늄, 지르코늄, 티타늄, 탄탈륨, 알루미늄 및 이들의 합금을 포함하는 단일층 또는 다중층으로 형성될 수 있다. 그리고, 제1 절연층(5)은 예컨대 고밀도 플라즈마 증착 공정을 이용하여 실리콘 산화물로 형성될 수 있다. 다만, 상기 열거된 물질들은 예시적인 것이며, 이에 한정되지 않는다.The barrier layer 13 may be formed of titanium nitride (TiN) using, for example, sputtering. In addition, the gate spacer 17 may be formed of, for example, silicon nitride. The sacrificial gate electrode (not shown) may be formed of, for example, polysilicon, and the metal gate electrode 11 may be formed of a single layer or multiple layers including, for example, hafnium, zirconium, titanium, tantalum, aluminum and alloys thereof. have. The first insulating layer 5 may be formed of silicon oxide using, for example, a high density plasma deposition process. However, the above listed materials are exemplary and not limited thereto.

이어서, 도 2를 참조하면, 도 1에 따라 형성된 구조물 상에 식각 정지층(21)과 산화층(23)을 차례로 형성할 수 있다.Subsequently, referring to FIG. 2, an etch stop layer 21 and an oxide layer 23 may be sequentially formed on the structure formed according to FIG. 1.

금속 게이트 전극(11) 및 제1 절연층(5) 상에 식각 정지층(21)을 형성할 수 있다. 식각 정지층(21)은 예컨대, 화학기상증착 방법을 이용하여 실리콘 질화물(SiN)로 형성될 수 있다. An etch stop layer 21 may be formed on the metal gate electrode 11 and the first insulating layer 5. The etch stop layer 21 may be formed of silicon nitride (SiN) using, for example, a chemical vapor deposition method.

식각 정지층(21) 상에 산화층(23)을 형성할 수 있다. 예컨대, 산화층(23)은 화학기상증착 방법을 이용하여 실리콘 산화물로 형성될 수 있다. 또한, 식각 정지층(21)과 산화층(23)은 in-situ 방식으로 형성될 수도 있다.The oxide layer 23 may be formed on the etch stop layer 21. For example, the oxide layer 23 may be formed of silicon oxide using a chemical vapor deposition method. In addition, the etch stop layer 21 and the oxide layer 23 may be formed in an in-situ manner.

이어서, 도 3을 참조하면, 식각 정지층(21)이 노출되도록 산화층(23)의 일부를 선택적으로 제거하여 리세스(31)를 형성할 수 있다. 3, a portion of the oxide layer 23 may be selectively removed to form the recess 31 so that the etch stop layer 21 is exposed.

구체적으로, 포토리소그래피(photo-lithography) 공정을 이용할 수 있다. 금속 게이트 전극(11)과 오버랩되는 영역을 제외하고 산화층(23) 상에 포토레지스트 패턴(미도시)을 형성하고, 포토레지스트 패턴(미도시)을 식각 마스크로 사용하는 이방성 식각 공정을 수행함으로써 산화층(23)을 식각하여 리세스(31)를 형성할 수 있다. 리세스(31)는 금속 게이트 전극(11)과 오버랩되는 영역에 형성될 수 있다. 식각 공정 이후에, 포토레지스트 패턴(미도시)은 애싱(ashing) 및 세정(cleaning) 공정을 통해 제거될 수 있다.Specifically, a photo-lithography process may be used. An oxide layer is formed by forming a photoresist pattern (not shown) on the oxide layer 23 except for a region overlapping with the metal gate electrode 11 and performing an anisotropic etching process using the photoresist pattern (not shown) as an etching mask. 23 may be etched to form a recess 31. The recess 31 may be formed in an area overlapping the metal gate electrode 11. After the etching process, the photoresist pattern (not shown) may be removed through an ashing and cleaning process.

이어서, 도 4를 참조하면, 리세스(31)를 매립하여 금속 게이트 전극(11)과 오버랩되도록 하드 마스크(41)를 형성할 수 있다.Subsequently, referring to FIG. 4, the hard mask 41 may be formed to fill the recess 31 and overlap the metal gate electrode 11.

구체적으로, 리세스(31)를 매립할 수 있을 정도의 두께로 하드 마스크용 절연층(미도시)을 증착시킬 수 있다. 예컨대, 하드 마스크용 절연층(미도시)은 화학기상증착 방법을 이용한 실리콘 질화물 또는 실리콘 산화물로 형성될 수 있으나, 이에 한정되지 않는다. 하드 마스크용 절연층(미도시)을 증착시킨 후, 산화층(23)이 노출되도록 화학기계적 연마 또는 에치백(etch back)하여 하드 마스크(41)를 형성할 수 있다. 에치백은 예컨대 플라즈마를 이용한 건식식각으로 진행될 수 있다. 에치백에 의해서, 금속 게이트 전극(11)과 오버랩되는 영역에 하드 마스크(41)가 형성될 수 있다.Specifically, an insulating layer (not shown) for a hard mask may be deposited to a thickness sufficient to fill the recess 31. For example, an insulating layer (not shown) for a hard mask may be formed of silicon nitride or silicon oxide using a chemical vapor deposition method, but is not limited thereto. After depositing an insulating layer (not shown) for the hard mask, the hard mask 41 may be formed by chemical mechanical polishing or etching back to expose the oxide layer 23. The etch back may be performed by dry etching using, for example, plasma. By the etch back, the hard mask 41 may be formed in a region overlapping with the metal gate electrode 11.

이어서, 도 5를 참조하면, 도 4에 따라 형성된 구조물 상에 제2 절연층(51)을 형성할 수 있다. 예컨대, 제2 절연층(51)은 화학기상증착 방법을 이용한 실리콘 산화물로 형성될 수 있으나, 이에 한정되지는 않는다.Subsequently, referring to FIG. 5, a second insulating layer 51 may be formed on the structure formed according to FIG. 4. For example, the second insulating layer 51 may be formed of silicon oxide using a chemical vapor deposition method, but is not limited thereto.

이어서, 도 6을 참조하면, 제2 절연층(51)과 산화층(23)의 일부를 선택적으로 제거하여 제1 콘택홀(61, 63)을 형성할 수 있다.Subsequently, referring to FIG. 6, portions of the second insulating layer 51 and the oxide layer 23 may be selectively removed to form first contact holes 61 and 63.

구체적으로, 포토리소그래피 공정을 이용할 수 있다. 이웃한 금속 게이트 전극(11)의 사이에 제1 콘택홀(61, 63)을 형성하기 위해서, 제2 절연층(51) 상부 중 금속 게이트 전극(11)과 오버랩되는 영역에 포토레지스트 패턴(미도시)을 형성하고, 포토레지스트 패턴(미도시)을 식각 마스크로 사용하는 식각 공정을 수행함으로써 제1 콘택홀(61, 63)을 형성할 수 있다. 즉, 이웃한 금속 게이트 전극(11) 사이에 위치한 식각 정지층(21)의 일부가 노출되도록 제2 절연층(51)과 산화층(23)의 일부를 선택적으로 제거하여 제1 콘택홀(61, 63)을 형성할 수 있다. 예컨대, 상기 식각 공정은 질화막에 대한 산화막의 식각 선택비가 높은 CFx 계열 가스 등을 이용한 건식식각으로 진행될 수 있다. 그러므로, 예컨대 산화막으로 형성되는 제2 절연층(51) 및 산화층(23)에 비하여 질화막으로 형성되는 하드 마스크(41)와 식각 정지층(21)은 상대적으로 식각이 진행되지 않으므로, 제2 절연층(51)과 산화층(23)의 일부의 선택적인 제거가 가능할 수 있다. 제1 콘택홀(61, 63)을 형성한 후, 포토레지스트 패턴(미도시)은 애싱(ashing) 및 세정(cleaning) 공정을 통해 제거될 수 있다. Specifically, a photolithography process can be used. In order to form the first contact holes 61 and 63 between the neighboring metal gate electrodes 11, a photoresist pattern (not shown) is formed in the region overlapping with the metal gate electrodes 11 of the second insulating layer 51. And the first contact holes 61 and 63 may be formed by performing an etching process using a photoresist pattern (not shown) as an etching mask. That is, a portion of the second insulating layer 51 and the oxide layer 23 may be selectively removed so that a portion of the etch stop layer 21 positioned between the adjacent metal gate electrodes 11 is exposed. 63). For example, the etching process may be performed by dry etching using a CF x -based gas having a high etching selectivity of the oxide film with respect to the nitride film. Therefore, as compared with the second insulating layer 51 and the oxide layer 23 formed of the oxide film, for example, the hard mask 41 and the etch stop layer 21 formed of the nitride film do not relatively etch, so that the second insulating layer Selective removal of part 51 and oxide layer 23 may be possible. After the first contact holes 61 and 63 are formed, the photoresist pattern (not shown) may be removed through an ashing and cleaning process.

도 6에 도시된 바와 같이, 제1 콘택홀(61, 63)의 측벽 프로파일은 일정한 기울기를 가질 수 있으며, 구체적으로 포지티브(positive)한 기울기를 가질 수 있다. 본 명세서에서 포지티브한 기울기란 제1 콘택홀의 폭이 하부에서 상부로 갈수록 넓어지는 구조를 가질 때의 측벽 프로파일의 기울기를 의미한다. 그러나, 이에 제한 되지 않고, 제1 콘택홀(61, 63) 측벽의 프로파일은 수직으로 형성될 수도 있다.As illustrated in FIG. 6, the sidewall profiles of the first contact holes 61 and 63 may have a constant slope, and specifically, may have a positive slope. In the present specification, the positive slope refers to the slope of the sidewall profile when the width of the first contact hole is widened from bottom to top. However, the present invention is not limited thereto, and the profile of the sidewalls of the first contact holes 61 and 63 may be formed vertically.

포토레지스트 패턴(미도시)이 미스얼라인 없이 형성된 경우, 식각 공정을 통해 하드 마스크(41)의 노출없이 제1 콘택홀(63)이 형성될 수 있다. 그러므로, 금속 게이트 전극(11)이 식각에 의하여 손상되는 문제가 발생하지 않을 수 있다.When the photoresist pattern (not shown) is formed without misalignment, the first contact hole 63 may be formed through the etching process without exposing the hard mask 41. Therefore, the problem that the metal gate electrode 11 is damaged by etching may not occur.

그러나, 반도체 장치에서 디자인 룰(design rule)이 점차 감소하고, 게이트 라인과 같은 전도 라인 간의 간극이 좁아져서 식각 공정에서의 마진이 충분하지 않기 때문에, 포토레지스트 패턴(미도시)이 미스얼라인(misalign) 되는 경우에는 문제가 발생할 수 있다. 예컨대, 포토레지스트 패턴(미도시)이 미스얼라인인 되는 경우, 식각 공정이 진행되는 과정에서 금속 게이트 전극(11)의 상부에 위치하는 제2 절연층(51)이 식각될 수 있다. 금속 게이트 전극(11) 상에는 식각 정지층(21)이 위치하고 있어서 금속 게이트 전극(11)을 보호할 수 있지만, 반도체 장치의 퍼포먼스 확보 측면에서 식각 정지층(21)의 두께에 한계가 있을 수 있다. 그러므로, 식각 공정이 진행되는 과정에서 식각 정지층(21)이 제거되면, 금속 게이트 전극(11)이 노출되어 식각될 수 있다. 결과적으로, 하드 마스크(41) 없이 식각 정지층(21)만 있는 경우, 제1 콘택홀 형성을 위한 식각 공정 중에 금속 게이트 전극(11)을 보호하는데 어려움이 따를 수 있다.However, since the design rule in the semiconductor device is gradually reduced and the gap between the conducting lines such as the gate line is narrowed and the margin in the etching process is not sufficient, the photoresist pattern (not shown) is misaligned (not shown). misalignment) can cause problems. For example, when the photoresist pattern (not shown) is misaligned, the second insulating layer 51 disposed on the metal gate electrode 11 may be etched during the etching process. Although the etch stop layer 21 is positioned on the metal gate electrode 11 to protect the metal gate electrode 11, the thickness of the etch stop layer 21 may be limited in terms of securing performance of the semiconductor device. Therefore, when the etch stop layer 21 is removed during the etching process, the metal gate electrode 11 may be exposed and etched. As a result, when only the etch stop layer 21 is provided without the hard mask 41, it may be difficult to protect the metal gate electrode 11 during the etching process for forming the first contact hole.

하드 마스크(41)를 포함하는 반도체 제조 공정에서 포토레지스트 패턴(미도시)의 미스얼라인이 발생하는 경우에 하드 마스크(41)의 일부가 노출되는 제1 콘택홀(61)이 형성될 수 있다. 즉, 제1 콘택홀(61)은 하드 마스크(41)와 일부가 오버랩되어 형성될 수 있다. 그러나, 금속 게이트 전극(11)과 오버랩되도록 하드 마스크(41)가 형성되어 있기 때문에 포토레지스트 패턴의 미스얼라인이 발생하더라도 금속 게이트 전극(11)을 식각으로부터 보호할 수 있다. 포토레지스트 패턴(미도시)이 미스얼라인 되어서 식각 공정이 진행되는 과정에서 금속 게이트 전극(11)의 상부에 위치하는 제2 절연층(51)이 식각되더라도, 금속 게이트 전극(11)의 상부에 위치하는 하드 마스크(41)의 일부가 노출될 뿐이며, 하드 마스크(41)의 하부에 식각 정지층(21)이 위치하고 있기 때문에, 금속 게이트 전극(11)은 하드 마스크(41)와 식각 정지층(21)에 의해 이중으로 보호될 수 있다.When a misalignment of the photoresist pattern (not shown) occurs in the semiconductor manufacturing process including the hard mask 41, a first contact hole 61 exposing a portion of the hard mask 41 may be formed. . That is, the first contact hole 61 may be formed by overlapping a part of the hard mask 41. However, since the hard mask 41 is formed to overlap the metal gate electrode 11, the metal gate electrode 11 may be protected from etching even if a misalignment of the photoresist pattern occurs. Although the second insulating layer 51 positioned on the metal gate electrode 11 is etched during the etching process due to the misalignment of the photoresist pattern (not shown), the upper portion of the metal gate electrode 11 may be etched. Since the portion of the hard mask 41 is only exposed, and the etch stop layer 21 is positioned below the hard mask 41, the metal gate electrode 11 may be formed of the hard mask 41 and the etch stop layer ( Double protection).

이어서, 도 7을 참조하면, 제1 콘택홀(61, 63)의 측면에 콘택 스페이서(71)를 형성하기 위하여, 제1 콘택홀(61, 63)의 측면 및 바닥면을 따라서 콘택 스페이서용 절연층을 컨포말하게 증착시킬 수 있다. 예컨대, 콘택 스페이서용 절연층은 화학기상증착 방법을 이용하여 실리콘 질화물로 형성될 수 있다.Next, referring to FIG. 7, in order to form contact spacers 71 on the side surfaces of the first contact holes 61 and 63, insulation for the contact spacers is formed along the side surfaces and the bottom surfaces of the first contact holes 61 and 63. The layer can be conformally deposited. For example, the insulating layer for contact spacers may be formed of silicon nitride using a chemical vapor deposition method.

이어서, 도 8을 참조하면, 기판(1) 표면이 노출되도록 식각 정지층(21)과 제1 절연층(5)의 일부를 선택적으로 제거하여 제2 콘택홀(81, 83)을 형성할 수 있다. 직접 콘택(direct contact) 식각 공정을 하는 제1 콘택홀(61, 63) 형성 공정과는 달리, 제2 콘택홀(81, 83)은 자기 정렬콘택(SAC: self aligned contact) 식각 공정으로 형성될 수 있다.Subsequently, referring to FIG. 8, portions of the etch stop layer 21 and the first insulating layer 5 may be selectively removed so that the surface of the substrate 1 may be formed to form second contact holes 81 and 83. have. Unlike the process of forming the first contact holes 61 and 63 which perform the direct contact etching process, the second contact holes 81 and 83 may be formed by the self aligned contact (SAC) etching process. Can be.

구체적으로, 포토리소그래피 공정을 이용할 수 있다. 제2 절연층(51) 상부에 포토레지스트 패턴(미도시)을 형성하고, 포토레지스트 패턴(미도시), 콘택 스페이서(71) 및 게이트 스페이서(17)를 식각 마스크로 사용하는 식각 공정을 수행함으로써 제2 콘택홀(81, 83)을 형성할 수 있다. 즉, 기판(1)의 표면 일부가 노출되도록 식각 정지층(21)과 제1 절연층(5)의 일부를 선택적으로 제거하여 제2 콘택홀(81, 83)을 형성할 수 있다. 제2 콘택홀(81, 83)은 이웃하는 게이트 스페이서(17) 사이에 형성될 수 있으며, 제2 콘택홀(81, 83)은 제1 콘택홀(61, 63)과 이어지도록 형성될 수 있다. 제2 콘택홀(81, 83)을 형성한 후에, 포토레지스트 패턴(미도시)은 애싱(ashing) 및 세정(cleaning) 공정을 통해 제거될 수 있다.Specifically, a photolithography process can be used. By forming a photoresist pattern (not shown) on the second insulating layer 51 and performing an etching process using the photoresist pattern (not shown), the contact spacer 71 and the gate spacer 17 as an etching mask. Second contact holes 81 and 83 may be formed. That is, the second contact holes 81 and 83 may be formed by selectively removing portions of the etch stop layer 21 and the first insulating layer 5 so that a part of the surface of the substrate 1 is exposed. The second contact holes 81 and 83 may be formed between neighboring gate spacers 17, and the second contact holes 81 and 83 may be formed to connect with the first contact holes 61 and 63. . After forming the second contact holes 81 and 83, the photoresist pattern (not shown) may be removed through ashing and cleaning processes.

제1 콘택홀(61, 63)의 측면에 콘택 스페이서(71)를 형성함으로써, 디자인 룰이 감소하더라도 스몰 콘택 식각(small contact etch)이 가능할 수 있다. 제1 콘택홀(61, 63)의 측면에 콘택 스페이서(71)를 형성하여 콘택 스페이서(71)를 식각 마스크로 이용함으로써, 콘택 스페이서(71)의 두께만큼 콘택홀을 좁게 형성할 수 있다. 또한, 포토레지스트 패턴의 미스얼라인이 발생하거나, 에치 스큐(etch skew)가 발생하더라도, 콘택 스페이서(71)에 의해 식각 공정의 마진을 확보할 수 있다.By forming the contact spacers 71 on the side surfaces of the first contact holes 61 and 63, a small contact etch may be possible even if the design rule is reduced. By forming the contact spacers 71 on the side surfaces of the first contact holes 61 and 63 and using the contact spacers 71 as etch masks, the contact holes may be narrowed by the thickness of the contact spacers 71. In addition, even if a misalignment or etch skew occurs in the photoresist pattern, the contact spacer 71 may secure a margin of the etching process.

더욱이, 콘택 스페이서(71) 없이 제2 콘택홀(81, 83)을 형성하는 경우, 포토레지스트 패턴의 미스얼라인이나 에치 스큐의 발생으로 인하여 금속 게이트 전극(11) 상부의 제2 절연층(51)이 식각될 수 있기 때문에, 하드 마스크(41) 및 식각정지층(21)도 식각되는 경우, 후술될 도전층(91) 형성 공정에서 도전물질과 금속 게이트 전극(11)이 접촉할 위험이 있다. 그러므로, 콘택 스페이서(71)는 하드 마스크(41)와 더불어 금속 게이트 전극(11)을 보호할 수 있다.Further, when the second contact holes 81 and 83 are formed without the contact spacer 71, the second insulating layer 51 on the metal gate electrode 11 may be formed due to misalignment or etch skew of the photoresist pattern. Since the hard mask 41 and the etch stop layer 21 are also etched, there is a risk that the conductive material and the metal gate electrode 11 come into contact with each other during the formation of the conductive layer 91 to be described later. . Therefore, the contact spacer 71 can protect the metal gate electrode 11 together with the hard mask 41.

이어서, 도 9를 참조하면, 제1 콘택홀(61, 63) 및 제2 콘택홀(81, 83)을 도전물질을 매립하여 도전층(91)을 형성할 수 있다.Subsequently, referring to FIG. 9, the conductive layer 91 may be formed by filling the first contact holes 61 and 63 and the second contact holes 81 and 83 with a conductive material.

구체적으로, 도전층(91)을 구성하는 도전물질은 도전성 폴리실리콘, 질화 티타늄(TiN) 및 텅스텐(W)을 포함할 수 있으나, 이에 한정되는 것은 아니다. 제1 콘택홀(61, 63) 및 제2 콘택홀(81, 83)에 도전층(91)을 형성한 후, 반도체 장치의 상부에 평탄화 공정을 진행할 수 있다. 평탄화 공정은 화학기계적 연마 공정 혹은 에치백 공정을 이용할 수 있다.Specifically, the conductive material constituting the conductive layer 91 may include conductive polysilicon, titanium nitride (TiN), and tungsten (W), but is not limited thereto. After the conductive layers 91 are formed in the first contact holes 61 and 63 and the second contact holes 81 and 83, the planarization process may be performed on the semiconductor device. The planarization process may use a chemical mechanical polishing process or an etch back process.

이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.Although the embodiments of the present invention have been described above with reference to the accompanying drawings, those skilled in the art to which the present invention pertains may implement the present invention in other specific forms without changing the technical spirit or essential features thereof. I can understand that. It is therefore to be understood that the above-described embodiments are illustrative in all aspects and not restrictive.

1: 기판 5: 제1 절연층
11: 금속 게이트 전극 13: 배리어층
15: 게이트 절연층 패턴 17: 게이트 스페이서
21: 식각 정지층 23: 산화층
31: 리세스 41: 하드 마스크
51: 제2 절연층 61, 63: 제1 콘택홀
71: 콘택 스페이서 81, 83: 제2 콘택홀
91: 도전층
1: substrate 5: first insulating layer
11: metal gate electrode 13: barrier layer
15: gate insulating layer pattern 17: gate spacer
21: etch stop layer 23: oxide layer
31: recess 41: hard mask
51: second insulating layer 61, 63: first contact hole
71: contact spacer 81, 83: second contact hole
91: conductive layer

Claims (10)

기판 상에, 금속 게이트 전극과, 상기 금속 게이트 전극의 양측에 위치하는 게이트 스페이서와, 상기 게이트 스페이서의 양측에 위치하는 제1 절연층을 형성하고,
상기 금속 게이트 전극 및 상기 제1 절연층 상에 식각 정지층을 형성하고,
상기 식각 정지층 상에 상기 금속 게이트 전극과 오버랩되도록 하드 마스크를 형성하고,
상기 식각 정지층 및 상기 하드 마스크 상에 제2 절연층을 형성하고,
상기 식각 정지층이 노출되도록 상기 제2 절연층의 일부를 제거하여 제1 콘택홀을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
Forming a metal gate electrode, a gate spacer positioned at both sides of the metal gate electrode, and a first insulating layer positioned at both sides of the gate spacer, on the substrate;
Forming an etch stop layer on the metal gate electrode and the first insulating layer,
Forming a hard mask on the etch stop layer to overlap the metal gate electrode,
Forming a second insulating layer on the etch stop layer and the hard mask,
And removing a portion of the second insulating layer to form a first contact hole so that the etch stop layer is exposed.
제1항에 있어서, 상기 하드 마스크를 형성하는 것은
상기 식각 정지층 상에 산화층을 형성하고,
상기 산화층 중 상기 금속 게이트 전극과 오버랩되는 영역에 위치한 상기 산화층의 일부를 제거하여 리세스를 형성하고,
상기 리세스를 채우도록 하드 마스크용 절연층을 증착시키고,
상기 하드 마스크용 절연층을 에치백하는 것을 포함하는 반도체 장치의 제조 방법.
The method of claim 1, wherein forming the hard mask
Forming an oxide layer on the etch stop layer,
A recess is formed by removing a portion of the oxide layer positioned in an area overlapping with the metal gate electrode of the oxide layer,
Depositing an insulating layer for the hard mask to fill the recess,
A method of manufacturing a semiconductor device comprising etching back the insulating layer for hard mask.
제2항에 있어서, 상기 제1 콘택홀의 측면에 콘택 스페이서를 형성하는 것을 더 포함하며,
상기 제1 콘택홀을 형성하는 것은 상기 식각 정지층이 노출되도록 상기 산화층의 일부를 제거하는 것을 포함하는 반도체 장치의 제조 방법.
The method of claim 2, further comprising forming a contact spacer on a side surface of the first contact hole.
The forming of the first contact hole may include removing a portion of the oxide layer to expose the etch stop layer.
제3항에 있어서, 상기 콘택 스페이서를 형성하는 것은
상기 제1 콘택홀의 측면 및 바닥면을 따라서 콘택 스페이서용 절연층을 형성하고,
상기 제1 콘택홀의 바닥면에 위치한 상기 콘택 스페이서용 절연층을 제거하는 것을 포함하는 반도체 장치의 제조 방법.
4. The method of claim 3, wherein forming the contact spacers
An insulating layer for contact spacers is formed along side and bottom surfaces of the first contact hole,
And removing the insulating layer for the contact spacer located on the bottom surface of the first contact hole.
제3항에 있어서, 상기 콘택 스페이서를 식각 마스크로 이용하여 상기 제1 콘택홀 하부에 위치하는 상기 식각 정지층과 상기 제1 절연층의 일부를 제거하여 상기 제1 콘택홀과 이어지도록 제2 콘택홀을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.4. The second contact of claim 3, wherein a portion of the etch stop layer and the first insulating layer under the first contact hole is removed using the contact spacer as an etch mask so as to be connected to the first contact hole. The method for manufacturing a semiconductor device, further comprising forming a hole. 제5항에 있어서, 상기 제1 및 제2 콘택홀에 도전물질을 매립하여 도전층을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.The method of claim 5, further comprising forming a conductive layer by filling a conductive material in the first and second contact holes. 제1항에 있어서, 상기 제1 콘택홀은 상기 하드 마스크와 적어도 일부가 오버랩되는 반도체 장치의 제조 방법.The method of claim 1, wherein the first contact hole overlaps at least a portion of the hard mask. 제1항에 있어서, 상기 금속 게이트 전극의 상면과, 상기 게이트 스페이서의 상면과, 상기 제1 절연층의 상면은 동일 평면 상에 위치하는 반도체 장치의 제조 방법.The method of claim 1, wherein an upper surface of the metal gate electrode, an upper surface of the gate spacer, and an upper surface of the first insulating layer are disposed on the same plane. 기판;
상기 기판 상에 형성된 금속 게이트 전극;
상기 금속 게이트 전극의 양측에 위치하는 게이트 스페이서;
상기 게이트 스페이서의 양측에 위치하는 제1 절연층;
상기 금속 게이트 상에 형성된 식각 정지층;
상기 식각 정지층 상에 상기 금속 게이트 전극과 오버랩되도록 형성된 하드 마스크;
상기 하드 마스크 상에 형성된 제2 절연층; 및
상기 제2 절연층의 측면에 형성된 콘택 스페이서를 포함하는 반도체 장치.
Board;
A metal gate electrode formed on the substrate;
Gate spacers positioned at both sides of the metal gate electrode;
First insulating layers positioned at both sides of the gate spacer;
An etch stop layer formed on the metal gate;
A hard mask formed on the etch stop layer to overlap the metal gate electrode;
A second insulating layer formed on the hard mask; And
And a contact spacer formed on a side surface of the second insulating layer.
제9항에 있어서, 상기 콘택 스페이서의 일단은 상기 하드 마스크의 상부와 접촉하는 반도체 장치.The semiconductor device of claim 9, wherein one end of the contact spacer is in contact with an upper portion of the hard mask.
KR1020100095375A 2010-09-30 2010-09-30 Semiconductor device using hard mask and fabricating method thereof KR20120033706A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020100095375A KR20120033706A (en) 2010-09-30 2010-09-30 Semiconductor device using hard mask and fabricating method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100095375A KR20120033706A (en) 2010-09-30 2010-09-30 Semiconductor device using hard mask and fabricating method thereof

Publications (1)

Publication Number Publication Date
KR20120033706A true KR20120033706A (en) 2012-04-09

Family

ID=46136422

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100095375A KR20120033706A (en) 2010-09-30 2010-09-30 Semiconductor device using hard mask and fabricating method thereof

Country Status (1)

Country Link
KR (1) KR20120033706A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160009754A (en) * 2014-07-16 2016-01-27 삼성전자주식회사 Method for manufacturing a semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160009754A (en) * 2014-07-16 2016-01-27 삼성전자주식회사 Method for manufacturing a semiconductor device

Similar Documents

Publication Publication Date Title
KR102457130B1 (en) Semiconductor device and method for manufacturing the same
TWI549193B (en) Method for manufacturing semiconductor device and semiconductor device
US10439048B2 (en) Photomask layout, methods of forming fine patterns and method of manufacturing semiconductor devices
TWI567885B (en) Semiconductor device
US20140035051A1 (en) Semiconductor device and associated methods
CN111326517A (en) Semiconductor device including spacer and method of manufacturing the same
KR101215952B1 (en) Semiconductor device and method for fabricating the same
KR20120057818A (en) Method of manufacturing semiconductor devices
JP2012199381A (en) Semiconductor device and method of manufacturing the same
US11043397B2 (en) Method of forming a pattern and method of manufacturing a semiconductor device using the same
TWI713147B (en) Method for manufacturing semiconductor device
US9741615B1 (en) Contacts for a fin-type field-effect transistor
US11910594B2 (en) Semiconductor devices and methods of manufacturing the same
US9728456B2 (en) Interconnect structure including middle of line (MOL) metal layer local interconnect on etch stop layer
KR102462439B1 (en) Manufacturing method of semiconductor device
KR20120033706A (en) Semiconductor device using hard mask and fabricating method thereof
US8124488B2 (en) Method of fabricating memory
TW202038381A (en) Via contact, memory device, and method of forming semiconductor structure
KR100636670B1 (en) Landing plug contact mask and method for manufacturing the plug by using it
KR20230042963A (en) Semiconductor device comprising carbon-contained contact-fence
CN114267674A (en) Semiconductor structure and forming method thereof
KR101035598B1 (en) Method for fabricating semiconductor devices
KR20120097713A (en) Method for fabricating semiconductor device
JP2014053372A (en) Method of manufacturing semiconductor device
KR20100107211A (en) Semiconductor device with buried conduction line and method for fabricating the same

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination