KR20110001145A - 마스크 제조 방법 - Google Patents

마스크 제조 방법 Download PDF

Info

Publication number
KR20110001145A
KR20110001145A KR1020090058548A KR20090058548A KR20110001145A KR 20110001145 A KR20110001145 A KR 20110001145A KR 1020090058548 A KR1020090058548 A KR 1020090058548A KR 20090058548 A KR20090058548 A KR 20090058548A KR 20110001145 A KR20110001145 A KR 20110001145A
Authority
KR
South Korea
Prior art keywords
layout
mask
line width
pattern
mtt
Prior art date
Application number
KR1020090058548A
Other languages
English (en)
Inventor
최진영
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020090058548A priority Critical patent/KR20110001145A/ko
Publication of KR20110001145A publication Critical patent/KR20110001145A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

설계된 테스트 패턴들의 선폭과 실제 테스트 마스크 상에 형성되는 테스트 패턴들의 선폭과의 차이를 측정하여 민투타겟(MTT) 데이터를 얻고, 웨이퍼 상으로 전사할 패턴의 원본 레이아웃을 얻은 후, 원본 레이아웃을 광근접효과보정(OPC)하고, 광근접효과보정된 레이아웃에 민투타겟(MTT) 데이터를 이용하여 바이어스(bias)를 인가하여 선폭을 수정한 후, 선폭이 수정된 레이아웃을 검증하여 취약 지점을 검출한다. 검증된 광근접효과보정 레이아웃을 이용하여 마스크를 제작하는 마스크 제조 방법을 제시한다.
OPC, MTT, 마스크, 바이어스

Description

마스크 제조 방법{Method for fabricating mask}
본 발명은 리소그래피(lithography) 기술에 관한 것으로, 특히, 선폭(CD: Critical Dimension) 에러(error)를 예측하여 배제시키는 마스크 제조 방법에 관한 것이다.
메모리(memory) 소자와 같은 반도체 소자가 급격히 고집적화되고 패턴 크기가 축소됨에 따라, 웨이퍼(wafer) 상으로 패턴을 전사하는 포토 리소그래피(photo lithography) 과정에 도입되는 마스크(mask)가 보다 미세한 크기의 패턴을 가지게 요구되고 있다. 웨이퍼 상에 패턴 전사되어 형성될 웨이퍼 패턴의 크기가 작아짐에 따라, 웨이퍼 패턴에 대한 원본 패턴 레이아웃(layout)에 대한 광근접효과보정(OPC: Optical Proximity Correction) 또한 점점 강화되고 있어, 1㎚ 내지 2㎚의 차이에 따라 취약지점(weak point)이 발생되고 있다. 이에 따라, 마스크 제작 시 마스크 패턴에 발생될 수 있는 선폭 에러(CD error)에 대해서도 OPC 과정에 고려해야할 필요성이 대두되고 있다.
현재의 OPC 과정은 원본 패턴 레이아웃을 설계한 후, 웨이퍼 패턴 또는 웨이퍼 상에 형성될 레지스트 패턴(resist pattern)을 고려하여 OPC를 수행하고, OPC된 레이아웃을 검증(verify)하는 과정에서 마스크 패턴에 대한 고려없이 웨이퍼 패턴 전사 과정을 고려하여 시뮬레이션(simulation)을 진행하고 있다. 이에 따라, 실질적으로 마스크 상에 형성되는 마스크 패턴은 이상적으로 형성된 경우, 즉, 설계된 레이아웃이 정확하게 반영되게 형성된 마스크 패턴인 경우를 고려하고 있다. 그런데, 실질적으로 마스크 패턴을 형성하는 전자빔 노광 및 식각 등의 과정에서 마스크 패턴에 대한 선폭 변동 또한 발생되고 있으며, 이러한 선폭 변동에 의해서 웨이퍼 노광 과정에서 웨이퍼 패턴(또는 레지스트 패턴)에의 선폭 변동이 심화될 수 있다. 따라서, 마스크 패턴을 형성하는 과정에서 마스크 패턴의 선폭 에러를 유발하는 취약 지점을 검출하여, 이러한 취약 지점을 사전에 수정 보완하는 방법의 개발이 요구되고 있다.
본 발명은 마스크 제작 시 발생될 수 있는 취약 지점을 검출하여, 마스크 패턴의 선폭 에러 범위 내에서도 결함 발생 유무를 확인할 수 있는 마스크 제조 방법을 제시하고자 한다.
본 발명의 일 관점은, 설계된 테스트 패턴들의 선폭과 실제 테스트 마스크 상에 형성되는 테스트 패턴들의 선폭과의 차이를 측정하여 민투타겟(MTT) 데이터를 얻는 단계; 웨이퍼 상으로 전사할 패턴의 원본 레이아웃을 얻는 단계; 상기 원본 레이아웃을 광근접효과보정(OPC)하는 단계; 상기 광근접효과보정된 레이아웃에 상기 민투타겟(MTT) 데이터를 이용하여 바이어스(bias)를 인가하여 선폭을 수정하는 단계; 상기 선폭이 수정된 레이아웃을 검증하여 취약 지점을 검출하는 단계; 및 상기 검증된 광근접효과보정 레이아웃을 이용하여 마스크를 제작하는 단계를 포함하는 마스크 제조 방법을 제시한다.
상기 민투타겟(MTT) 데이터를 얻는 단계는 상기 테스트 패턴들을 선폭 크기 및 피치(pitch) 크기로 스플릿하는 단계; 상기 테스트 패턴들을 상기 테스트 마스크 상에 실제 패턴으로 형성하는 단계; 상기 실제 패턴들의 선폭 크기를 측정하는 단계; 상기 실제 패턴들과 상기 설계된 테스트 패턴들의 선폭 차이를 계산하는 단계; 및 상기 차이의 값들을 마스크 룰 테이블(mask rule table)로 리스트(list)하는 단계를 포함하여 수행될 수 있다.
상기 바이어스(bias)를 인가하는 단계는 상기 마스크 룰 테이블로부터 상기 광근접효과보정된 레이아웃에 적용할 선폭 차이값들을 추출하여 룰 파일(rule file)로 작성하는 단계; 및 상기 광근접효과보정된 레이아웃에 상기 룰 파일의 데이터를 적용하여 상기 레이아웃의 선폭을 상기 선폭 차이값만큼 변경시키는 단계를 포함하여 수행할 수 있다.
상기 선폭이 수정된 레이아웃을 검증하는 단계는 상기 레이아웃을 시뮬레이션(simulation)하여 웨이퍼 상으로 전사될 때의 컨투어(contour)를 얻는 단계; 및 상기 컨투어에서 브리지(bridge)를 포함하는 결함을 검출하는 단계를 포함하여 수행될 수 있다.
본 발명의 실시예는 마스크 제작 시 발생될 수 있는 취약 지점을 마스크 민투타겟(MTT: Mean To Target) 데이터를 이용한 검증 과정으로 검출하여, 마스크 패턴의 선폭 에러 범위 내에서도 결함(defect)이 유발되는 지를 확인(check)할 수 있어, 취약 지점을 보다 정밀하게 예측할 수 있는 마스크 제조 방법을 제시할 수 있다.
도 1 내지 7은 본 발명의 실시예에 따른 마스크 제조 방법을 설명하기 위해서 제시한 도면들이다.
본 발명의 실시예는 마스크 민투타겟(MTT) 데이터를 확보하여, MTT 데이터를 반영하여 OPC된 레이아웃을 검증(verify)함으로써 보다 신뢰성있는 마스크 제조 방 법을 제공한다. 마스크 제작 시 셀(cell) 또는 주요 위치(point)에 대해 마스크 패턴 선폭(CD)을 확인하는 데 더하여, 마스크 패턴의 MTT를 고려한 레이아웃 데이터를 시뮬레이션(simulation)을 통해 검증한다. 이에 따라, 마스크 제작 시 발생될 수 있는 취약 지점을 보다 신뢰성있게 검출할 수 있어, 마스크 패턴의 선폭 에러 범위 내에서도 취약한 부분을 검출할 수 있다. 이에 따라, 마스크 패턴의 패터닝 공정의 공정 마진을 확보하면서 OPC 과정을 수행하는 것이 가능하다.
도 1을 참조하면, MTT 데이터를 확보하기 위한 테스트 마스크를 제작한다(110). 테스트 마스크는 MTT를 계산할 수 있는 테스트 패턴들을 삽입하여 사전 제작한다. 테스트 패턴들을 배열시켜 제작된 테스트 마스크의 일례를 보여주는 도 2에 제시된 바와 같이, 최소 라인 및 스페이스 패턴(Min L/S)을 중심으로 하여, 크기(size)와 피치(pitch)를 스플릿(split)한다. 이때, 수평 및 수직 라인 패턴(horizontal line and vertical line)에 대해서 크기 및 피치를 스플릿한다. 스플릿하는 스텝(step), 즉, 스플릿한 테스트 패턴의 크기 차이는 1㎚ 또는 2㎚로 설정한다.
테스트 마스크에 실질적으로 형성된 테스트 패턴들의 선폭(CD) 데이터를 측정하고, 이러한 테스트 패턴의 스플릿으로 설정된 데이터의 선폭과의 차이를 계산하여 MTT 데이터를 추출한다(도 1의 120). 즉, 설계상의 설정된 선폭과 실제 패턴으로 형성된 선폭의 차이를 MTT 데이터로 추출한다. 추출된 MTT 데이터를 룰 테이블(rule table)로 작성한다(도 1의 130). 예컨대, 도 3에 제시된 바와 같이 수평 라인 패턴들에 대해서 라인 크기(line size)와 스페이스 크기(space size)에 대해 테이블로 리스트(list)한다. 또한, 도 4에 제시된 바와 같이 수직 라인 패턴들에 대해서 라인 크기 및 스페이스 크기에 대해 테이블로 리스트 한다. 도 3 및 4에 제시된 바와 같이 MTT 룰 테이블을 작성하며, 이러한 MTT 룰 테이블은 설계된 CD(또는 OPC된 후의 CD)와 마스크 패턴과의 CD 차이를 예측하는 수단으로 제공된다.
테스트 패턴 및 테스트 마스크의 제작을 통해 MTT 룰 테이블을 작성한 후에, 실제 웨이퍼 상으로 패턴 전사할 마스크를 제작할 때 사용될 원본 패턴 레이아웃을 설계한다. 설계된 원본 패턴 레이아웃은 웨이퍼 상으로 전사할 패턴의 레이아웃을 제공하게 된다. 설계된 원본 패턴 레이아웃을 OPC 보정한다(도 1의 140). OPC된 레이아웃의 데이터에 대해서, MTT 룰 테이블의 데이터를 적용한다. 예컨대, MTT 룰 테이블을 이용하여 OPC된 레이아웃의 데이터에 적용할 룰 파일(rule file)을 도 5에 제시된 바와 같이 작성한다.
룰 파일은 적용할 수직 및 수평 라인 종류 및 이에 따른 크기 및 피치에 따른 MTT 데이터들을 리스트하여 작성될 수 있다. 이러한 룰 파일은 결국 설계된 패턴 선폭과 실제 마스크에서 형성될 패턴의 선폭과의 차이를 리스트한 것으로, 이러한 룰 파일에 의해 제공되는 선폭 차이 값을 OPC된 레이아웃에 적용한다(도 1의 150). 즉, OPC된 레이아웃 상의 선폭에 룰 파일 상의 차이값을 바이어스(bias)로 인가하여, 실제 마스크 상에 형성될 마스크 패턴의 선폭값이 OPC된 레이아웃 상의 바이어스 인가 전의 선폭값에 부합되도록 한다. 이와 같이 마스크 룰 바이어스를 OPC된 레이아웃에 적용함으로써, 실제 마스크 상에 형성되는 마스크 패턴의 선폭에 보다 근접하게 OPC를 통해 1차 보정된 레이아웃이 2차적으로 보정되게 된다.
마스크 룰 바이어스가 적용된 레이아웃에 대해서 검증 과정을 수행한다(도 1의 160). 검증 과정은 노광을 통한 패턴 전사 과정이 모델링(modeling)된 시뮬레이션 모델을 이용한 시뮬레이션 모델을 이용하여 이루어진다. 이러한 검증 과정에 시뮬레이션 결과 컨투어(contour)는 도 6에 제시된 바와 같이 얻어질 수 있고, 이때, 브리지(bridge: 70)와 같은 취약 지점이 검출되게 된다. 마스크 제작 과정이 이상적이어서, 설계된 레이아웃과 실제 형성된 마스크 패턴의 선폭이 차이를 가지지 않을 때, 즉, MTT가 "0"일 때는, 즉, 바이어스가 "0"인 경우, 검증을 통해 얻어지는 시뮬레이션 컨투어는 도 7에 제시된 바와 같은 컨투어로 얻어질 수 있다. 도 6에서의 브리지(70) 발생 지점과 동일한 동일 지점(71)에서 브리지와 같은 취약 지점이 검출되지 않은 것으로 도 7의 검증 결과가 얻어지지만, 도 6의 검증 결과를 고려할 때 실제 마스크 패턴이 형성될 경우 브리지(70) 발생이 보다 신뢰성있게 예측된다.
이와 같이 검증을 통해 브리지(70)와 같은 취약 지점이 검출될 경우, 레이아웃을 다시 OPC하는 과정을 수행하여, 이러한 결함 발생이 미연에 방지되어 배제되게 한다. 본 발명의 실시예에서는 라인 및 스페이스 패턴에 대해서 마스크 MTT 바이어스를 적용하여 취약 지점 또는 핫 스팟(hot spot)을 신뢰성있게 검출하는 과정을 설명하지만, 본 발명의 실시예는 홀타입(hole type), 아일랜드(island) 타입 등의 다른 패턴 모델링에 적용할 수 있으며, 디램(DRAM), 플래시(FLASH), 에스램(SRAM), 로직(LOGIC) 등의 다양한 소자의 마스크 맵(mask map)을 이용한 OPC 과정에 적용될 수 있다.
도 1은 본 발명의 실시예에 따른 마스크 제조 방법을 보여주는 공정 흐름도이다.
도 2 내지 도 7은 본 발명의 실시예에 따른 마스크 제조 방법을 설명하기 위해서 제시한 도면들이다.

Claims (4)

  1. 설계된 테스트 패턴들의 선폭과 실제 테스트 마스크 상에 형성되는 테스트 패턴들의 선폭과의 차이를 측정하여 민투타겟(MTT) 데이터를 얻는 단계;
    웨이퍼 상으로 전사할 패턴의 원본 레이아웃을 얻는 단계;
    상기 원본 레이아웃을 광근접효과보정(OPC)하는 단계;
    상기 광근접효과보정된 레이아웃에 상기 민투타겟(MTT) 데이터를 이용하여 바이어스(bias)를 인가하여 선폭을 수정하는 단계;
    상기 선폭이 수정된 레이아웃을 검증하여 취약 지점을 검출하는 단계; 및
    상기 검증된 광근접효과보정 레이아웃을 이용하여 마스크를 제작하는 단계를 포함하는 마스크 제조 방법.
  2. 제1항에 있어서,
    상기 민투타겟(MTT) 데이터를 얻는 단계는
    상기 테스트 패턴들을 선폭 크기 및 피치(pitch) 크기로 스플릿하는 단계;
    상기 테스트 패턴들을 상기 테스트 마스크 상에 실제 패턴으로 형성하는 단계;
    상기 실제 패턴들의 선폭 크기를 측정하는 단계;
    상기 실제 패턴들과 상기 설계된 테스트 패턴들의 선폭 차이를 계산하는 단계; 및
    상기 차이의 값들을 마스크 룰 테이블(mask rule table)로 리스트(list)하는 단계를 포함하는 마스크 제조 방법.
  3. 제2항에 있어서,
    상기 바이어스(bias)를 인가하는 단계는
    상기 마스크 룰 테이블로부터 상기 광근접효과보정된 레이아웃에 적용할 선폭 차이값들을 추출하여 룰 파일(rule file)로 작성하는 단계; 및
    상기 광근접효과보정된 레이아웃에 상기 룰 파일의 데이터를 적용하여 상기 레이아웃의 선폭을 상기 선폭 차이값만큼 변경시키는 단계를 포함하는 마스크 제조 방법.
  4. 제1항에 있어서,
    상기 선폭이 수정된 레이아웃을 검증하는 단계는
    상기 레이아웃을 시뮬레이션(simulation)하여 웨이퍼 상으로 전사될 때의 컨투어(contour)를 얻는 단계; 및
    상기 컨투어에서 브리지(bridge)를 포함하는 결함을 검출하는 단계를 포함하는 마스크 제조 방법.
KR1020090058548A 2009-06-29 2009-06-29 마스크 제조 방법 KR20110001145A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090058548A KR20110001145A (ko) 2009-06-29 2009-06-29 마스크 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090058548A KR20110001145A (ko) 2009-06-29 2009-06-29 마스크 제조 방법

Publications (1)

Publication Number Publication Date
KR20110001145A true KR20110001145A (ko) 2011-01-06

Family

ID=43609746

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090058548A KR20110001145A (ko) 2009-06-29 2009-06-29 마스크 제조 방법

Country Status (1)

Country Link
KR (1) KR20110001145A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8856695B1 (en) 2013-03-14 2014-10-07 Samsung Electronics Co., Ltd. Method for generating post-OPC layout in consideration of top loss of etch mask layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8856695B1 (en) 2013-03-14 2014-10-07 Samsung Electronics Co., Ltd. Method for generating post-OPC layout in consideration of top loss of etch mask layer
US9064085B2 (en) 2013-03-14 2015-06-23 Samsung Electronics Co., Ltd. Method for adjusting target layout based on intensity of background light in etch mask layer

Similar Documents

Publication Publication Date Title
US7752584B2 (en) Method for verifying mask pattern of semiconductor device
KR100826655B1 (ko) 광 근접 효과 보정 방법
US20070061773A1 (en) Method for selecting and optimizing exposure tool using an individual mask error model
KR101082103B1 (ko) 광 근접효과 보정의 검증방법
JP4748343B2 (ja) ウエーハ転写検証方法
JP2007519981A (ja) レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US8661373B2 (en) Method for the real-time monitoring of integrated circuit manufacture through localized monitoring structures in OPC model space
JP2012252055A (ja) マスク検査方法、マスク作製方法および半導体装置の製造方法
KR101033225B1 (ko) 패턴 레이아웃을 광근접효과보정하는 방법
JP4621485B2 (ja) パタンデータ検証方法、パタンデータ作成方法、露光用マスクの製造方法およびプログラム
KR100924335B1 (ko) 멀티 도즈 시뮬레이션을 이용한 광근접보정 방법
KR20110001145A (ko) 마스크 제조 방법
KR20100127671A (ko) 광근접효과보정된 콘택 패턴의 레이아웃을 검증하는 방법
KR20100025822A (ko) 마스크 레이아웃 분리 방법 및 이를 이용한 광 근접 보정 방법
JP2010122438A (ja) リソグラフィシミュレーションモデルの検証方法、検証プログラム及び検証装置
KR100861376B1 (ko) 광 강도 프로파일을 이용한 광 근접효과 보정방법
JP2006235327A (ja) マスクパターンデータ・マスク検査データ作成方法、及びフォトマスクの製造・検査方法
US8336004B2 (en) Dimension assurance of mask using plurality of types of pattern ambient environment
KR20090071738A (ko) 복수 레이아웃의 데이터를 이용한 패턴 검증 방법
KR101095062B1 (ko) 광학 근접 효과 보정의 검증 방법
US7664614B2 (en) Method of inspecting photomask defect
KR20080000976A (ko) 반도체 소자 패턴의 광근접 효과 보정 방법
KR20000060456A (ko) 리소그래피 공정으로부터 야기되는 불량 발생 지점 예측 방법
JP2007081292A (ja) 検査方法、検査システムおよびプログラム
KR101204676B1 (ko) 포토마스크의 모델 기반 검증 수행 방법

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination