KR20100129272A - Pillar devices and methods of making thereof - Google Patents

Pillar devices and methods of making thereof Download PDF

Info

Publication number
KR20100129272A
KR20100129272A KR1020107017757A KR20107017757A KR20100129272A KR 20100129272 A KR20100129272 A KR 20100129272A KR 1020107017757 A KR1020107017757 A KR 1020107017757A KR 20107017757 A KR20107017757 A KR 20107017757A KR 20100129272 A KR20100129272 A KR 20100129272A
Authority
KR
South Korea
Prior art keywords
insulating layer
semiconductor
forming
layer
openings
Prior art date
Application number
KR1020107017757A
Other languages
Korean (ko)
Other versions
KR101573270B1 (en
Inventor
반스 던톤
브래드 에스. 허너
폴 와이 키에 푼
추안빈 판
마이클 찬
마이클 코네섹키
우샤 라구람
크리스토퍼 제이. 페티
Original Assignee
쌘디스크 3디 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/007,781 external-priority patent/US7906392B2/en
Priority claimed from US12/007,780 external-priority patent/US7745312B2/en
Application filed by 쌘디스크 3디 엘엘씨 filed Critical 쌘디스크 3디 엘엘씨
Publication of KR20100129272A publication Critical patent/KR20100129272A/en
Application granted granted Critical
Publication of KR101573270B1 publication Critical patent/KR101573270B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • H01L29/66136PN junction diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/868PIN diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • H10B20/25One-time programmable ROM [OTPROM] devices, e.g. using electrically-fusible links
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 장치를 제조하는 방법은 복수의 개구부를 포함하는 절연층을 제공하는 단계와, 절연층 위에, 그리고, 절연층에서 복수의 개구부에 제 1 반도체 층을 형성하는 단계와, 제 1 반도체 층의 제 1 전도형의 제 2 부분이 절연층에서 복수의 개구부의 하부에 잔류하고 절연층에서 복수의 개구부의 상부가 충전되지 않고 남아있도록 제 1 반도체 층의 제 1 부분을 제거하는 단계를 포함한다. 또한, 이 방법은 절연층 위에, 그리고, 절연층에서 복수의 개구부의 상부에 제 2 반도체 층을 형성하는 단계와, 절연층 위에 위치한 제 2 반도체 층의 제 1 부분을 제거하는 단계를 포함한다. 복수의 개구부에 복수의 필라형 다이오드를 형성하도록 절연층에서 복수의 개구부의 상부에 제 2 반도체 층의 제 2 도전형 제 2 부분이 잔류된다.A method of manufacturing a semiconductor device includes providing an insulating layer comprising a plurality of openings, forming a first semiconductor layer over the insulating layer and in the plurality of openings in the insulating layer, and forming a first semiconductor layer. Removing the first portion of the first semiconductor layer such that a second portion of the first conductivity type remains below the plurality of openings in the insulating layer and the top of the plurality of openings in the insulating layer remains uncharged. The method also includes forming a second semiconductor layer over the insulating layer and over the plurality of openings in the insulating layer, and removing the first portion of the second semiconductor layer located over the insulating layer. A second conductive second portion of the second semiconductor layer remains on top of the plurality of openings in the insulating layer to form a plurality of pillar-type diodes in the plurality of openings.

Description

필라 장치와 이를 제조하는 방법{PILLAR DEVICES AND METHODS OF MAKING THEREOF}PILLAR DEVICES AND METHODS OF MAKING THEREOF}

관련 특허 출원에 대한 상호 참조Cross Reference to Related Patent Application

본 출원은, 2008년 1월 15일자로 출원된 미국 출원 번호 제 12/007,780호 및 제 12/007,781호에 대한 우선권을 주장하며, 이들 출원 양자 모두는 본 명세서에 그 전문이 참조로 통합되어 있다.This application claims priority to US Application Nos. 12 / 007,780 and 12 / 007,781, filed January 15, 2008, both of which are hereby incorporated by reference in their entirety. .

기술 분야Technical field

본 발명은, 일반적으로 반도체 장치 처리의 분야에 관한 것이고, 보다 구체적으로는 필라(pillar) 장치와 이 장치를 제조하는 방법에 관한 것이다.TECHNICAL FIELD The present invention generally relates to the field of semiconductor device processing, and more particularly to pillar devices and methods of manufacturing the devices.

본 명세서에 참조로 통합되어 있는 2004년 9월 29일자로 출원된 헤르너(Herner) 등의 미국 특허 출원 제 10/955,549호(미국 출원 공개 제 2005/0052915 A1호에 대응함)는 삼차원 메모리 어레이를 개시하고 있으며, 이 삼차원 메모리 어레이에서는 메모리 셀의 데이터 상태가 필라형 반도체 접합 다이오드의 다결정 반도체 재료의 저항 상태로 저장된다. 이런 필라 다이오드 장치를 제조하기 위해 차감식 방법이 사용된다. 이 방법은 하나 이상의 실리콘, 게르마늄 또는 기타 반도체 재료 층을 증착하는 것을 포함한다. 증착된 반도체 층 또는 층들은 그후 에칭되어 반도체 필라를 획득한다. 필라 에칭을 위한 하드 마스크로서 SiO2 층이 사용될 수 있으며, 그후 제거되게 된다. 다음에, SiO2 또는 기타 간극 충전 유전체 재료가 필라의 사이 및 필라의 위에 증착된다. 화학 기계 연마(CMP) 또는 에치백 단계가 그후 수행되어 필라의 상부면과 함께 간극 충전 유전체를 평탄화한다.US Patent Application No. 10 / 955,549 (corresponding to US Patent Application Publication No. 2005/0052915 A1), filed on September 29, 2004, incorporated by reference herein, discloses a three-dimensional memory array. In this three-dimensional memory array, the data state of a memory cell is stored in a resistive state of a polycrystalline semiconductor material of a pillar-type semiconductor junction diode. Subtractive methods are used to fabricate such pillar diode devices. The method includes depositing one or more layers of silicon, germanium or other semiconductor material. The deposited semiconductor layer or layers are then etched to obtain a semiconductor pillar. As a hard mask for pillar etching, an SiO 2 layer can be used, which is then removed. Next, SiO 2 or other gap filling dielectric material is deposited between and over the pillars. A chemical mechanical polishing (CMP) or etch back step is then performed to planarize the gap filling dielectric with the top surface of the pillar.

차감식 필라 제조 공정의 추가적인 설명은 2004년 12월 17일자로 출원된 헤르너 등의 미국 특허 출원 제 11/015,824호 "감소된 높이의 수직 다이오드를 포함하는 비휘발성 메모리 셀" 및 2007년 7월 25일자로 출원된 미국 특허 출원 제 11/819,078호를 참조하라.Further description of the subtractive pillar manufacturing process is described in US Patent Application No. 11 / 015,824, "Nonvolatile Memory Cells with Reduced Height Vertical Diodes," filed December 17, 2004, and July 2007. See US patent application Ser. No. 11 / 819,078, filed 25.

그러나, 차감식 방법에서는, 작은 직경 및 폭의 필라형 장치에 대해, 에칭 단계 동안 필라의 베이스에서의 필라의 언더컷팅을 피하기 위해 주의를 기울여야 한다. 언더컷된 필라 장치는 후속 처리 동안 넘어지기 쉽다. 또한, 더 작은 필라 장치에 대해, 반도체 필라의 높이는 에칭 마스크로서 사용되는 얇고 연성인 포토레지스트에 의해 제한될 수 있으며, 산화물 간극 충전 단계는 필라들 사이의 개구부의 형상비가 증가할 때 처리상의 과제를 부여하게 되며, 간극 충전 층의 CMP 프로세스 또는 에치백은 증착된 반도체 재료의 상당한 두께를 제거할 수 있다.In the subtractive method, however, care must be taken for small diameter and width pillared devices to avoid undercutting of the pillars at the base of the pillars during the etching step. The undercut pillar device is likely to fall during subsequent processing. In addition, for smaller pillar devices, the height of the semiconductor pillar may be limited by the thin, flexible photoresist used as an etch mask, and the oxide gap filling step presents processing challenges when the aspect ratio of the openings between the pillars increases. Imparted, the CMP process or etch back of the gap filling layer can remove significant thickness of the deposited semiconductor material.

본 발명의 일 실시예는 반도체 장치를 제조하는 방법을 제공하며, 이 방법은 복수의 개구부를 포함하는 절연층을 제공하는 단계와, 절연층의 복수의 개구부 내에, 그리고, 절연층 위에 제 1 반도체 층을 형성하는 단계를 포함한다. 또한, 이 방법은 제 1 반도체 층의 제 1 도전형 제 2 부분이 절연층의 복수의 개구부의 하부 부분에 잔류하고, 절연층에서 복수의 개구부의 상부가 충전되지 않은 상태로 남아 있도록 제 1 반도체 층의 제 1 부분을 제거하는 단계를 포함한다. 또한, 이 방법은 절연층과 절연층 위에서 복수의 개구부의 상부에 제 2 반도체 층을 형성하고, 절연층 위에 위치한 제 2 반도체 층의 제 1 부분을 제거하는 단계를 포함한다. 제 2 반도체 층의 제 2 도전형 제 2 부분은 절연층에서 복수의 개구부의 상부에 잔류하여 복수의 개구부에 복수의 필라형 다이오드를 형성한다.One embodiment of the present invention provides a method of manufacturing a semiconductor device, the method comprising providing an insulating layer comprising a plurality of openings, in a plurality of openings of the insulating layer, and over the insulating layer; Forming a layer. In addition, the method further includes the first semiconductor such that the first conductive second portion of the first semiconductor layer remains in the lower portion of the plurality of openings in the insulating layer, and the upper portion of the plurality of openings in the insulating layer remains uncharged. Removing the first portion of the layer. The method also includes forming a second semiconductor layer over the insulating layer and the plurality of openings over the insulating layer and removing the first portion of the second semiconductor layer located over the insulating layer. The second conductive second portion of the second semiconductor layer remains on top of the plurality of openings in the insulating layer to form a plurality of pillar-type diodes in the plurality of openings.

다른 실시예는 반도체 장치를 제조하는 방법을 제공하며, 이 방법은 복수의 텅스텐 전극을 형성하는 단계와, 복수의 텅스텐 전극 상에 텅스텐 질화물 배리어를 형성하도록 텅스텐 전극을 질화하는 단계와, 텅스텐 질화물 배리어가 절연층에서 복수의 개구부에서 노출되도록 복수의 개구부를 포함하는 절연층을 형성하는 단계와, 절연층에서 복수의 개구부에서 텅스텐 질화물 배리어 상에 복수의 반도체 장치를 형성하는 단계를 포함한다.Another embodiment provides a method of manufacturing a semiconductor device, the method comprising forming a plurality of tungsten electrodes, nitriding a tungsten electrode to form a tungsten nitride barrier on the plurality of tungsten electrodes, and a tungsten nitride barrier Forming an insulating layer comprising a plurality of openings such that the semiconductor layer is exposed at the plurality of openings in the insulating layer, and forming a plurality of semiconductor devices on the tungsten nitride barrier at the plurality of openings in the insulating layer.

다른 실시예는 반도체 장치를 제조하는 방법을 제공하며, 이 방법은 복수의 텅스텐 전극을 형성하는 단계와, 텅스텐 전극의 노출된 상부면에 복수의 전도성 배리어를 선택적으로 형성하는 단계와, 복수의 전도성 배리어가 절연층에서 복수의 개구부에서 노출되도록 복수의 개구부를 포함하는 절연층을 형성하는 단계와, 복수의 개구부에서 전도성 배리어 상에 복수의 반도체 장치를 형성하는 단계를 포함한다.Another embodiment provides a method of manufacturing a semiconductor device, the method comprising forming a plurality of tungsten electrodes, selectively forming a plurality of conductive barriers on an exposed top surface of the tungsten electrode, and Forming an insulating layer comprising a plurality of openings such that the barrier is exposed at the plurality of openings in the insulating layer, and forming a plurality of semiconductor devices on the conductive barrier at the plurality of openings.

다른 실시예는 반도체 장치를 제조하는 방법을 제공하며, 이 방법은 기판 위에 복수의 하부 전극을 형성하는 단계와, 하부 전극이 제 1 개구부 내에서 노출되도록 제 1 폭을 갖는 복수의 제 1 개구부를 포함하는 절연층을 형성하는 단계와, 제 1 개구부에 제 1 도전형의 제 1 반도체 영역을 형성하는 단계와, 제 1 반도체 영역 위에 복수의 제 1 개구부에 희생 재료를 형성하는 단계와, 희생 재료를 노출하도록 절연 층에 제 1 폭보다 큰 제 2 폭을 갖는 복수의 제 2 개구부를 형성하는 단계와, 제 2 개구부를 통해 제 1 개구부로부터 희생 재료를 제거하는 단계와, 제 1 개구부에 제 2 도전형 제 2 반도체 영역을 형성하는 단계와, 상부 전극이 제 2 반도체 영역과 접촉하도록 절연층에서 제 2 개구부에 상부 전극을 형성하는 단계를 포함하고, 제 1 및 제 2 반도체 영역은 제 1 개구부에 필라형 다이오드를 형성한다.Another embodiment provides a method of manufacturing a semiconductor device, the method comprising forming a plurality of bottom electrodes on a substrate, and forming a plurality of first openings having a first width such that the bottom electrodes are exposed within the first openings. Forming an insulating layer comprising: forming a first semiconductor region of a first conductivity type in the first opening, forming a sacrificial material in the plurality of first openings over the first semiconductor region, and sacrificial material Forming a plurality of second openings in the insulating layer having a second width greater than the first width, exposing sacrificial material from the first openings through the second openings; Forming a conductive second semiconductor region, and forming an upper electrode in the second opening in the insulating layer such that the upper electrode is in contact with the second semiconductor region, the first and second semiconductor regions First to form a pillar shaped diodes in the first opening.

다른 실시예는 개구부를 갖는 절연층을 제공하는 단계와, 필라 장치를 형성하도록 개구부 내로 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료를 선택적으로 증착하는 단계를 포함하는 필라 장치를 제조하는 방법을 제공한다.Another embodiment provides a method of making a pillar device comprising providing an insulating layer having an opening and selectively depositing a germanium or germanium rich silicon germanium semiconductor material into the opening to form a pillar device.

본 발명은, 필라(pillar) 장치와 이 장치를 제조하는 방법을 제공하는 효과를 갖는다.The present invention has the effect of providing a pillar device and a method of manufacturing the device.

도 1a, 도 1c 및 도 1e는, 본 발명의 제 1 실시예에 따른 필라 장치의 형성 단계를 예시하는 측단면도로서, 도 1b와 도 1d는 각각 도 1a와 도 1c에 도시된 단계의 3차원 도면이다.
도 2a 내지 도 2c는, 본 발명의 제 2 실시예에 따른 필라 장치의 형성 단계를 예시하는 측단면도.
도 3a 내지 도 3e는, 본 발명의 제 3 실시예에 따른 필라 장치의 형성 단계를 예시하는 측단면도.
도 3f 내지 도 3g는, 제 3 실시예에 따라 제조된 예시적 장치의 현미경 사진.
도 4는, 본 발명의 하나 이상의 실시예에 따른 완성된 필라 장치의 3차원 도면.
도 5a는, 종래 기술에 따른 에칭율 대 폴리실리콘 도핑의 플롯으로서, 도 5b 내지 도 5e는 본 발명의 제 4 실시예에 따른 필라 장치의 형성 단계를 예시하는 측단면도이다.
도 6a 내지 도 6g는, 본 발명의 제 5 실시예에 따른 필라 장치의 형성 단계를 예시하는 측단면도.
도 7a 및 도 7b는, 본 발명의 실시예에 따라 제조된 장치 특징부의 측단면도.
도 8a 내지 도 8d는, 본 발명의 실시예에 따른 필라 장치의 형성 단계를 예시하는 측단면도.
도 8e는, 본 발명의 일 실시예에 따른 완성된 필라 장치의 3차원 도면.
도 9a는, 380℃와 1 torr에서 60분 동안 SiH4 분해에 의해 TiN에 증착된 실리콘 시드 필름 위에 380℃와 1 torr에서 10분 동안 GeH4에 의해 증착된 약 40nm 두께의 Ge 필름의 단면 SEM 영상이다. 도 9b는 서로 동일한 2개 단계 SiH4와 GeH4 CVD 처리 후 SiO2 표면의 단면 SEM 영상으로, SiO2에는 어떠한 Ge 증착도 관찰되지 않았다.
1A, 1C and 1E are side cross-sectional views illustrating the step of forming a pillar device according to a first embodiment of the present invention, in which FIGS. 1B and 1D are three-dimensional views of the steps shown in FIGS. 1A and 1C, respectively. Drawing.
2A-2C are side cross-sectional views illustrating the step of forming a pillar device according to a second embodiment of the present invention.
3A-3E are side cross-sectional views illustrating the step of forming a pillar device according to a third embodiment of the present invention.
3F-3G are micrographs of an exemplary device made according to the third embodiment.
4 is a three dimensional view of a completed pillar device according to one or more embodiments of the present invention.
FIG. 5A is a plot of etch rate versus polysilicon doping according to the prior art, and FIGS. 5B-5E are side cross-sectional views illustrating the step of forming a pillar device according to a fourth embodiment of the present invention.
6A-6G are side cross-sectional views illustrating the step of forming a pillar device according to a fifth embodiment of the present invention.
7A and 7B are side cross-sectional views of device features made in accordance with an embodiment of the invention.
8A-8D are side cross-sectional views illustrating steps of forming a pillar device according to an embodiment of the present invention.
8E is a three dimensional view of a completed pillar device according to one embodiment of the present invention.
9A is a cross-sectional SEM of a 40 nm thick Ge film deposited by GeH 4 on 380 ° C. and 1 torr for 10 minutes on a silicon seed film deposited on TiN by SiH 4 decomposition for 60 minutes at 380 ° C. and 1 torr. It is a video. 9B is a cross-sectional SEM image of the surface of SiO 2 after two identical SiH 4 and GeH 4 CVD treatments, in which no Ge deposition was observed on SiO 2 .

본 발명자는, p-형 및 n-형 반도체 영역들 양자 모두를 포함하는 다이오드 같은 적어도 두 개의 서로 다른 도전형의 영역을 갖는 반도체 필라 장치를 위해, 장치가 절연층에서 개구부에 형성될 때 이런 장치의 단락을 피하기 위해 특별한 단계가 수행되어야 한다는 것을 인지하였다.The inventors have found that for semiconductor pillar devices having at least two different conductivity type regions, such as diodes, which comprise both p-type and n-type semiconductor regions, such devices when the device is formed in an opening in an insulating layer. It was recognized that special steps must be taken to avoid shorts.

예를 들어, 전도성 배리어 층이 개구부에 단순히 증착되고 그후 평탄화되는 경우, 그후 전도성 배리어 층은 개구부의 저부로부터 상부로 개구부의 측벽을 따라 연장할 것이다. 그후, 반도체 다이오드가 개구부에 증착되면, 개구부의 측벽을 따라 위치한 전도성 배리어 층은 다이오드의 p-형 영역을 다이오드의 n-형 영역에 단락시킨다.For example, if the conductive barrier layer is simply deposited in the opening and then planarized, then the conductive barrier layer will extend along the sidewall of the opening from the bottom of the opening to the top. Then, when the semiconductor diode is deposited in the opening, a conductive barrier layer located along the sidewall of the opening shorts the p-type region of the diode to the n-type region of the diode.

또한, 다이오드의 반도체 층이 저압 화학 증기 증착(LPCVD) 같은 방법에 의해 형성되는 경우, 이때, 등각 증착(conformal deposition)은 저부 뿐만 아니라 측부로부터 개구부를 충전한다. 따라서, n-형 반도체가 개구부에 먼저 증착되어 있는 경우, 이때 이는 또한 개구부의 전체 측벽을 따라 위치되거나 전체 개구부를 충전한다. n-형 영역이 개구부의 측벽을 따라 위치되고, p-형 영역이 개구부의 중앙부에 위치하는 경우, 이때, 상부 전극은 p-형 및 n-형 영역 양자 모두와 접촉한다. n-형 영역이 전체 개구부를 충전하면, 그후, 다이오드를 형성하기 위해 개구부에 p-형 영역을 형성할 장소가 없어진다.In addition, where the semiconductor layer of the diode is formed by a method such as low pressure chemical vapor deposition (LPCVD), then conformal deposition fills the opening from the side as well as the bottom. Thus, if an n-type semiconductor is first deposited in the opening, it is then also located along or filling the entire sidewall of the opening. If the n-type region is located along the sidewall of the opening and the p-type region is located at the center of the opening, then the upper electrode is in contact with both the p-type and n-type regions. If the n-type region fills the entire opening, then there is no place to form a p-type region in the opening to form a diode.

본 발명의 실시예는 이들 문제점을 극복하는 방법을 제공한다. 제 1 실시예에서, 배리어 위의 절연층에서 개구부에 형성된 다이오드를 단락시키지 않도록 배리어 층이 선택적으로 형성된다. 제 1 실시예의 제 1 양태에서, 배리어 층은 절연층을 형성하기 이전 또는 이후에 텅스텐 질화물 배리어 층을 형성하도록 하부 텅스텐 전극을 질화시킴으로써 형성될 수 있다. 텅스텐 질화물 배리어가 절연층의 형성 이후 형성되는 경우, 이때, 배리어 층은 절연층의 개구부에 노출된 텅스텐 전극의 일부를 질화시킴으로써 형성된다. 절연층에서 개구부를 통한 이러한 질화 단계는 개구부의 저부 상에 텅스텐 질화물 배리어 층을 선택적으로 형성하도록 사용된다. 제 1 실시예의 대안적 양태에서, 배리어 층은 절연층의 형성 이전에 전극 상에 질화에 의해 형성된다.Embodiments of the present invention provide a method for overcoming these problems. In the first embodiment, the barrier layer is selectively formed so as not to short-circuit the diode formed in the opening in the insulating layer over the barrier. In a first aspect of the first embodiment, the barrier layer can be formed by nitriding the lower tungsten electrode to form a tungsten nitride barrier layer before or after forming the insulating layer. When the tungsten nitride barrier is formed after the formation of the insulating layer, the barrier layer is formed by nitriding a portion of the tungsten electrode exposed to the opening of the insulating layer. This nitriding step through the openings in the insulating layer is used to selectively form a tungsten nitride barrier layer on the bottom of the openings. In an alternative aspect of the first embodiment, the barrier layer is formed by nitriding on the electrode prior to the formation of the insulating layer.

제 2 실시예에서, 배리어 층은 하부 전극 상의 선택적 증착에 의해 형성된다. 제 3 실시예에서, 리세스 에칭에 의해 생성된 개구부에서 공간 내에 반대 도전형의 실리콘 층을 형성하기 이전에, 개구부에서 일 도전형의 실리콘 층을 오목화하기 위해 정밀하게 제어될 수 있는 선택적 실리콘 리세스 에칭이 사용된다.In a second embodiment, the barrier layer is formed by selective deposition on the bottom electrode. In a third embodiment, selective silicon that can be precisely controlled to concave one conductive silicon layer in the opening, prior to forming a silicon layer of opposite conductivity in the opening in the opening created by the recess etch. Recess etch is used.

도 1 및 도 2는 제 1 실시예의 대안적 양태에 따른 질화된 배리어 층을 제조하는 방법을 예시한다. 도 1a 및 도 1b는 절연 재료 또는 층(3)에 의해 서로 분리되어 있는 복수의 전도성 전극(1)의 측단면도 및 삼차원도를 각각 도시한다. 전극은 약 200nm 내지 약 400nm 같은 임의의 적절한 두께를 가질 수 있다. 전극(1)은 텅스텐 또는 질화될 수 있는 다른 전도성 재료를 포함할 수 있다. 절연 재료는 실리콘 산화물, 실리콘 질화물, 알루미늄 산화물 같은 고 유전 상수 절연 재료, 탄탈륨 펜트 옥사이드 또는 유기 절연 재료 같은 임의의 적절한 절연 재료를 포함할 수 있다. 전극은 임의의 적절한 기판 위에 텅스텐 층을 증착하고, 텅스텐 층을 전극(1)으로 포토리소그래피 패터닝하고, 전극(1) 위 및 그들 사이에 절연층을 증착하고, 절연층을 화학 기계 연마(CMP) 또는 에치백에 의해 평탄화하여 전극들(1)을 서로 격리시키는 절연 재료 영역(3)을 형성함으로써 형성될 수 있다. 대안적으로, 전극(1)은 다마센법에 의해 형성될 수 있으며, 이 다마센법에서는 절연층(3) 내에 홈이 형성되고, 텅스텐 층이 홈 내부 및 절연층(3)의 상부면 위에 형성되며, 후속하여, CMP 또는 에치백에 의한 텅스텐 층의 평탄화가 이어져서 절연층(3) 내의 홈 내에 전극(1)을 남김으로써 형성될 수 있다. 전극(1)은 도 1b에 도시된 바와 같이, 레일형 전극일 수 있다. 다른 전극(1) 형상도 사용될 수 있다.1 and 2 illustrate a method of manufacturing a nitrided barrier layer according to an alternative aspect of the first embodiment. 1A and 1B show side cross-sectional and three-dimensional views, respectively, of a plurality of conductive electrodes 1 separated from each other by an insulating material or layer 3. The electrode may have any suitable thickness, such as about 200 nm to about 400 nm. The electrode 1 may comprise tungsten or another conductive material that may be nitrided. The insulating material may include any suitable insulating material, such as high dielectric constant insulating material, such as silicon oxide, silicon nitride, aluminum oxide, tantalum pent oxide or organic insulating material. The electrode deposits a layer of tungsten on any suitable substrate, photolithographic patterns the layer of tungsten with electrode 1, deposits an insulating layer over and between the electrodes 1, and insulates the insulating layer with chemical mechanical polishing (CMP). Or by forming an insulating material region 3 that is flattened by an etch back to isolate the electrodes 1 from each other. Alternatively, the electrode 1 may be formed by the damascene method, in which a groove is formed in the insulating layer 3, and a tungsten layer is formed in the groove and on the top surface of the insulating layer 3. Subsequently, planarization of the tungsten layer by CMP or etch back may be followed by forming the electrode 1 in the groove in the insulating layer 3. The electrode 1 may be a rail type electrode, as shown in FIG. 1B. Other electrode 1 shapes can also be used.

도 1c 및 도 1d는 다마센형 절연층이 전극(1) 상에 증착되기 이전에 복수의 텅스텐 전극 상에 텅스텐 질화물 배리어(5)를 형성하기 위해 텅스텐 전극(1)을 질화하는 단계를 예시한다. 배리어(5)는 예로서 약 1nm 내지 약 30nm 같은 임의의 적절한 두께를 가질 수 있다. 임의의 질화 방법이 사용될 수 있다. 예를 들어, 플라즈마 질화 방법이 사용될 수 있으며, 여기서, 암모니아 또는 질소 플라즈마 같은 플라즈마를 함유한 질소가 함께 노출되어 있는 텅스텐(1) 및 절연체(3)의 표면에 제공된다. 텅스텐 질화물을 형성하기 위한 텅스텐의 예시적인 플라즈마 질화의 구체적인 내용이 미국 특허 제 5,780,908호에 기술되어 있고, 상기 특허는 그 전문이 본 명세서에 참조로 포함되어 있다. 미국 특허 제 5,780,908호의 방법은 반도체 장치 아래에 배리어층을 형성하는 대신, 금속 게이트를 형성하기 위한 목적으로 텅스텐과 그 위의 알루미늄 층 사이에 배리어를 제공하도록 질화된 텅스텐 표면을 형성하기 위해 사용된다.1C and 1D illustrate the step of nitriding the tungsten electrode 1 to form a tungsten nitride barrier 5 on the plurality of tungsten electrodes before the damascene type insulating layer is deposited on the electrode 1. Barrier 5 may have any suitable thickness, such as, for example, about 1 nm to about 30 nm. Any nitriding method can be used. For example, a plasma nitridation method can be used, wherein the nitrogen containing plasma, such as ammonia or nitrogen plasma, is provided on the surfaces of tungsten 1 and insulator 3 that are exposed together. Details of exemplary plasma nitridation of tungsten for forming tungsten nitride are described in US Pat. No. 5,780,908, which is incorporated herein by reference in its entirety. The method of US Pat. No. 5,780,908 is used to form a nitrided tungsten surface to provide a barrier between tungsten and an aluminum layer thereon for the purpose of forming a metal gate, instead of forming a barrier layer under the semiconductor device.

텅스텐이 전극(1) 재료로서 사용되는 것으로 설명되었지만, 티타늄, 텅스텐 실리사이드 또는 알루미늄 같은 다른 재료도 사용될 수 있다. 예를 들어, 텅스텐 실리사이드 표면의 질화에 의해 형성된 텅스텐 질화물 층의 안정성은 본 명세서에 그 전문이 참조로 통합되어 있는 미국 특허 제 6,133,149호에 설명되어 있다.Although tungsten has been described as being used as the electrode 1 material, other materials such as titanium, tungsten silicide or aluminum may also be used. For example, the stability of tungsten nitride layers formed by nitriding tungsten silicide surfaces is described in US Pat. No. 6,133,149, which is incorporated herein by reference in its entirety.

플라즈마 질화는 절연층(3) 및 전극(1)의 전체 노출 표면을 질화한다. 이는 일부는 텅스텐 질화물 배리어(5)이고, 일부는 질소 함유 절연 재료(7) 부분인 표면을 남긴다. 예를 들어, 절연 재료(3)가 실리콘 산화물인 경우, 이때, 그 상부 부분은 질화 후 실리콘 옥시질화물(7)로 변환된다. 물론, 원래의 절연 재료(3)가 실리콘 질화물인 경우, 이때 질화는 절연 재료(3)의 표면 또는 상부에 질소 농후 실리콘 질화물 영역(7)을 형성할 수 있다. 따라서, 인접한 텅스텐 전극(1)을 서로 분리하는 절연층 또는 재료(3)의 상부는 또한 질화 단계 동안 질화된다.Plasma nitridation nitrides the entire exposed surface of the insulating layer 3 and the electrode 1. This leaves a surface, part of which is a tungsten nitride barrier 5 and part of which is a portion of the nitrogen-containing insulating material 7. For example, if the insulating material 3 is silicon oxide, then the upper portion thereof is converted to silicon oxynitride 7 after nitriding. Of course, if the original insulating material 3 is silicon nitride, then nitriding may form a nitrogen rich silicon nitride region 7 on the surface or top of the insulating material 3. Thus, the top of the insulating layer or material 3 separating the adjacent tungsten electrodes 1 from each other is also nitrided during the nitriding step.

도 1e에 도시된 바와 같이, 제 2 절연층(9)은 질화된 절연 재료(7) 및 텅스텐 질화물 배리어(5) 위에 증착된다. 절연층(9)은 질화되지 않은 텅스텐 표면에 대해서보다 텅스텐 질화물 표면에 대해 더 양호한 접착성을 가질 수 있다. 절연층(9)은 실리콘 산화물, 실리콘 질화물, 알루미늄 산화물 같은 고 유전 상수 절연 재료, 탄탈륨 펜트옥사이드 또는 유기 절연 재료 같은 임의의 적절한 절연 재료를 포함할 수 있다. 층(9)의 재료는 절연층(3)의 재료와 동일하거나 그와 상이할 수 있다.As shown in FIG. 1E, a second insulating layer 9 is deposited over the nitrided insulating material 7 and the tungsten nitride barrier 5. The insulating layer 9 may have better adhesion to the tungsten nitride surface than to the unnitrided tungsten surface. The insulating layer 9 may comprise any suitable insulating material, such as high dielectric constant insulating material, such as silicon oxide, silicon nitride, aluminum oxide, tantalum pentoxide or organic insulating material. The material of layer 9 may be the same as or different from the material of insulating layer 3.

텅스텐 질화물 배리어(5)가 복수의 개구부(11)에서 노출되도록 절연층(9) 내에 복수의 개구부(11)가 형성된다. 개구부(11)는 절연층(9) 위에 포토레지스트 층을 형성하고, 포토레지스트 층을 노광 및 현상(즉, 패터닝)하고, 마스크로서 포토레지스트 패턴을 사용하여 층(9) 내에 개구부(11)를 에칭하고, 포토레지스트 패턴을 제거하는 것 같은 포토리소그래피 패터닝에 의해 형성될 수 있다.A plurality of openings 11 are formed in the insulating layer 9 so that the tungsten nitride barrier 5 is exposed in the plurality of openings 11. The opening 11 forms a photoresist layer over the insulating layer 9, exposes and develops (ie, patterns) the photoresist layer, and opens the opening 11 in the layer 9 using a photoresist pattern as a mask. It may be formed by photolithography patterning such as etching and removing the photoresist pattern.

따라서, 도 1a 내지 도 1d의 방법에서, 절연층(9)을 형성하는 단계 이전에 배리어(5)를 형성하기 위한 질화 단계가 이루어진다. 절연층(9)은 텅스텐 질화물 배리어(5)상에 형성되고, 후속하여, 텅스텐 질화물 배리어(5)의 상부면을 노출하도록 절연층(9) 내에 복수의 개구부(11)가 형성된다.Thus, in the method of FIGS. 1A-1D, a nitriding step for forming the barrier 5 is performed prior to the step of forming the insulating layer 9. The insulating layer 9 is formed on the tungsten nitride barrier 5, and subsequently, a plurality of openings 11 are formed in the insulating layer 9 to expose the top surface of the tungsten nitride barrier 5.

그후, 복수의 반도체 장치가 절연층(9) 내의 복수의 개구부(11) 내의 텅스텐 질화물 배리어(5) 상에 형성된다. 예를 들어, 도핑된 폴리실리콘 또는 비정질 실리콘 층 같은 실리콘 층(13)이 개구부(11) 내의 배리어(5) 상에 증착된다. 필라형 다이오드 같은 반도체 장치의 형성은 아래의 제 3 내지 제 5 실시예에 관하여 더 상세히 상술될 것이다.Thereafter, a plurality of semiconductor devices are formed on the tungsten nitride barrier 5 in the plurality of openings 11 in the insulating layer 9. For example, a silicon layer 13, such as a doped polysilicon or amorphous silicon layer, is deposited on the barrier 5 in the opening 11. The formation of a semiconductor device such as a pillar type diode will be described in more detail with reference to the third to fifth embodiments below.

도 2a 내지 도 2c는 배리어(5) 형성 이전에, 복수의 텅스텐 전극(1) 상에(그리고, 절연 재료 또는 층(3) 상에) 절연층(9)이 형성되는 제 1 실시예의 대안적 방법을 예시한다. 그후, 복수의 개구부(11)가 절연층(9) 내에 형성되어 도 2a에 도시된 바와 같이 복수의 텅스텐 전극(1)의 상부면을 노출시킨다. 도 2b에 도시된 바와 같이, 복수의 텅스텐 전극(1)의 상부면이 복수의 개구부(11)를 통해 질화되도록 절연층(9) 내에 복수의 개구부(11)를 형성하는 단계 이후 질화 단계가 이루어진다. 예를 들어, 도 2b에 도시된 바와 같이, 질소 함유 플라즈마(15)가 개구부(11) 내로 제공되어 텅스텐 전극(1)을 질화시킨다. 질화는 개구부(11) 내의 텅스텐 전극(1) 상에 텅스텐 배리어(5)를 형성한다.2A to 2C show an alternative embodiment of the first embodiment in which an insulating layer 9 is formed on the plurality of tungsten electrodes 1 (and on the insulating material or layer 3) before the barrier 5 is formed. Illustrate the method. A plurality of openings 11 are then formed in the insulating layer 9 to expose the top surfaces of the plurality of tungsten electrodes 1 as shown in FIG. 2A. As shown in FIG. 2B, a nitriding step is performed after forming the plurality of openings 11 in the insulating layer 9 such that the upper surfaces of the plurality of tungsten electrodes 1 are nitrided through the plurality of openings 11. . For example, as shown in FIG. 2B, a nitrogen containing plasma 15 is provided into the opening 11 to nitride the tungsten electrode 1. Nitriding forms a tungsten barrier 5 on the tungsten electrode 1 in the opening 11.

따라서, 질화 단계는 텅스텐 질화물 배리어를 형성하도록 절연층(9) 내에 복수의 개구부(11)를 형성한 이후 수행된다. 선택적으로, 또한 질화 단계는 절연층(9) 내의 복수의 개구부(11)의 적어도 하나의 측벽(12)을 질화시킨다. 절연층(9)이 실리콘 산화물인 경우, 이때, 측벽(12)은 실리콘 옥시질화물 영역(14)으로 변환된다. 본 명세서에서 사용될 때, 용어 "측벽"은 편의상 다각형 단면을 갖는 개구부의 복수의 측벽 또는 원형 또는 난형 단면을 갖는 개구부의 하나의 측벽 양자 모두를 지칭한다. 따라서, 용어 "측벽"의 사용은 다각형 단면을 갖는 개구부의 측벽에 한정되는 것으로 해석되지 않아야 한다. 절연층(9)이 실리콘 산화물 이외의 재료인 경우, 이때 이 또한 질화될 수 있다. 예를 들어, 금속 산화물은 또한 금속 옥시질화물로 변환되고, 실리콘 질화물은 질소 농후 실리콘 질화물로 변환되고, 유기 재료는 질소 농후 영역(14)을 포함할 것이다.Therefore, the nitriding step is performed after forming the plurality of openings 11 in the insulating layer 9 to form a tungsten nitride barrier. Optionally, the nitriding step also nitrides at least one sidewall 12 of the plurality of openings 11 in the insulating layer 9. If the insulating layer 9 is silicon oxide, then the side wall 12 is converted to the silicon oxynitride region 14. As used herein, the term "side wall" refers to both a plurality of side walls of an opening having a polygonal cross section or both one side wall of an opening having a circular or oval cross section for convenience. Thus, the use of the term "side wall" should not be construed as limited to the side walls of the openings having a polygonal cross section. If the insulating layer 9 is made of a material other than silicon oxide, this may also be nitrided. For example, the metal oxide will also be converted to metal oxynitride, the silicon nitride will be converted to nitrogen rich silicon nitride, and the organic material will include a nitrogen rich region 14.

도 2c는 개구부(11) 내의 실리콘 층(13)의 형성을 도시한다. 층(13) 증착의 세부사항은 후술된 제 3 내지 제 5 실시예에 관련하여 제공될 것이다.2C shows the formation of the silicon layer 13 in the opening 11. Details of layer 13 deposition will be provided in connection with the third to fifth embodiments described below.

도 1c 및 도 1d에 도시된 바와 같은 전극(1)의 평탄화 이후 질화를 수행하는 것의 장점은 후속 절연층(9)이 텅스텐 표면 상에 증착되지 않는다는 것이다. 절연층이 실리콘 산화물인 경우, 이때, 이는 텅스텐에 대한 이상적 접합력을 제공하지 못할 수 있다. 그러나, 텅스텐 질화물 배리어(5) 같은 금속 질화물 배리어 상에는 실리콘 산화물이 더 양호하게 부착된다.The advantage of performing nitriding after planarization of the electrode 1 as shown in FIGS. 1C and 1D is that no subsequent insulating layer 9 is deposited on the tungsten surface. If the insulating layer is silicon oxide, this may not provide the ideal bonding force to tungsten. However, silicon oxide is better attached on metal nitride barriers such as tungsten nitride barrier 5.

플라즈마 증착 반응기에 필요한 가스가 배관을 통해 공급되면, 이때 어떠한 공정 단계도 추가하지 않고, 절연층(9) 증착과 동일한 챔버에서 플라즈마 질화가 수행될 수 있다. 이런 공정에서, 질소 또는 암모니아 플라즈마 같은 질화 플라즈마가 텅스텐 전극(1) 표면을 질화하기 위해 소정 시간 동안 턴 온된다. 그후, 질소 함유 플라즈마가 증착 챔버로부터 펌핑되고, 실리콘 및 산소 함유 전구체(예를 들어, 산소 또는 질소 산화물과 조합한 실란) 같은 원하는 전구체를 증착 챔버에 제공함으로써 절연층(9) 증착 프로세스가 시작되어 층(9)을 증착한다. 바람직하게는 층(9)은 PECVD에 의해 증착된 실리콘 산화물이다.If the gas required for the plasma deposition reactor is supplied through the piping, then plasma nitriding can be performed in the same chamber as the deposition of the insulating layer 9 without adding any process steps. In this process, a nitride plasma such as nitrogen or ammonia plasma is turned on for a predetermined time to nitride the tungsten electrode 1 surface. Thereafter, the nitrogen containing plasma is pumped out of the deposition chamber, and the deposition layer 9 deposition process is started by providing the deposition chamber with a desired precursor, such as silicon and an oxygen containing precursor (e.g., a silane in combination with oxygen or nitrogen oxides). Layer 9 is deposited. Preferably layer 9 is silicon oxide deposited by PECVD.

개구부(11)를 형성한 이후 질화를 수행하는 것의 장점은 텅스텐 전극 측벽(2)이 오버에칭된 개구부(11) 내에 노출되는 경우, 이때 도 2b에 도시된 바와 같이 이 측벽(2)도 질화된다는 것이다. 이는 오버에칭된 절연층(9) 개구부(11)가 텅스텐 전극(1) 아래에 위치될 수 있는 TIN 접합 층을 제거하는 경우 발생할 수 있다. 달리 말해서, 절연층(9) 내의 복수의 개구부(11)는 복수의 텅스텐 전극(1)과 부분적으로 오정렬될 수 있고, 복수의 개구부(11)를 형성하기 위해 사용되는 에칭 단계는 오정렬 및 오버에칭으로 인해 도 2a에 도시된 바와 같이 적어도 텅스텐 전극(1)의 측벽(2)의 부분들을 노출시킨다. 이때, 질화 단계는 도 2b에 도시된 바와 같이 텅스텐 전극(1)의 측벽(2)의 노출된 부분 상에 텅스텐 질화물 배리어(6) 및 전극(1)의 상부면에 텅스텐 질화물 배리어(5)를 형성한다.The advantage of performing nitriding after forming the opening 11 is that if the tungsten electrode sidewall 2 is exposed in the overetched opening 11, then this sidewall 2 is also nitrided as shown in FIG. 2B. will be. This may occur if the overetched insulating layer 9 opening 11 removes the TIN junction layer, which may be located under the tungsten electrode 1. In other words, the plurality of openings 11 in the insulating layer 9 may be partially misaligned with the plurality of tungsten electrodes 1, and the etching step used to form the plurality of openings 11 may be misaligned and overetched. This exposes at least portions of the side wall 2 of the tungsten electrode 1 as shown in FIG. 2A. At this time, the nitriding step is performed by applying the tungsten nitride barrier 6 and the tungsten nitride barrier 5 to the upper surface of the electrode 1 on the exposed portion of the side wall 2 of the tungsten electrode 1 as shown in FIG. 2B. Form.

개구부(11)의 형성 동안 오정렬이 발생된 경우에, 실리콘 층(13)은 개구부(11)의 오버에칭된 부분 내로 연장할 수 있다. 그러나, 실리콘 층(13)은 도 2c에 도시된 바와 같이 단지 텅스텐 질화물 배리어(5, 6)들과만 접촉하며, 텅스텐 전극(1)과는 직접적으로 접촉하지 않는다. 필라형 다이오드 같은 최종 장치가 완성되었을 때, 이는 텅스텐 전극(1)과 부분적으로 오정렬되고, 텅스텐 질화물 배리어(5, 6)는 텅스텐 전극의 상부면 및 텅스텐 전극의 측벽의 적어도 일부에 위치된다. 산화물 절연층(9)은 보다 상세히 후술될 바와 같이 다이오드 주변에 위치되고, 그래서, 필라형 다이오드의 적어도 하나의 측벽에 인접하게 위치한 산화물 절연층(9)의 부분(14)이 질화된다.If misalignment occurs during the formation of the opening 11, the silicon layer 13 may extend into the overetched portion of the opening 11. However, the silicon layer 13 is in contact only with the tungsten nitride barriers 5, 6, as shown in FIG. 2C, and is not in direct contact with the tungsten electrode 1. When the final device, such as a pillar diode, is completed, it is partially misaligned with the tungsten electrode 1, and the tungsten nitride barriers 5 and 6 are located on at least part of the top surface of the tungsten electrode and the side wall of the tungsten electrode. The oxide insulating layer 9 is located around the diode as will be described in more detail below, so that the portion 14 of the oxide insulating layer 9 located adjacent to at least one sidewall of the pillar-type diode is nitrided.

상술한 질화의 비제한적인 장점 양자 모두{텅스텐 질화물에 대한 개선된 절연층(9) 접착 및 전극(1) 측벽 배리어(6) 형성}는 층(9) 증착 이전, 그리고, 층(9) 내에 개구부(11)를 형성한 이후 질화가 수행되는 경우 달성될 것이다. 따라서, 필요시, 전극(1) 질화는 도 1c 및 도 1d에 도시된 바와 같이 저부 전극 평탄화 이후, 및 도 2b에 도시된 바와 같이 개구부(11)의 형성 이후, 양자 모두에 수행될 수 있다.Both of the above non-limiting advantages of nitriding—improved adhesion of the insulating layer 9 to tungsten nitride and formation of the electrode 1 sidewall barrier 6—before the layer 9 deposition and within the layer 9 It will be achieved if nitriding is performed after forming the opening 11. Thus, if desired, electrode 1 nitriding can be performed both after bottom electrode planarization as shown in FIGS. 1C and 1D and after formation of the opening 11 as shown in FIG. 2B.

제 2 실시예에서, 전도성 배리어(5)는 텅스텐 전극(1)의 노출된 상부면에 선택적 증착에 의해 형성된다. 예를 들어, 제 2 실시예의 일 양태에서, 금속 또는 금속 합금 배리어(5)는 복수의 텅스텐 전극 상에서의 선택적 원자 층 증착에 의해 형성된다. 배리어(5) 금속 또는 금속 합금은 탄탈륨, 니오븀 또는 그 합금을 포함할 수 있다. 탄탈륨 또는 니오븀 같은 배리어 금속의 선택적 원자 층 증착은 본 명세서에 그 전문이 참조로 통합되어 있는 미국 특허 출원 공개 제 2004/0137721호에 설명되어 있다. 배리어(5)의 원자 층 증착은 도 1c 및 도 1d에 도시된 바와 같이 절연층(9) 증착 이전에 수행되는 것이 바람직하다. 선택적 증착은 절연층 또는 재료(3)에 인접한 부분을 제외한 전극(1)상에만 선택적으로 배리어(5)를 형성한다. 따라서, 전극의 배리어(5)로부터 절연층(9)의 상부면으로의 금속 연결이 방지된다.In the second embodiment, the conductive barrier 5 is formed by selective deposition on the exposed top surface of the tungsten electrode 1. For example, in one aspect of the second embodiment, the metal or metal alloy barrier 5 is formed by selective atomic layer deposition on a plurality of tungsten electrodes. The barrier 5 metal or metal alloy may comprise tantalum, niobium or an alloy thereof. Selective atomic layer deposition of barrier metals such as tantalum or niobium is described in US Patent Application Publication No. 2004/0137721, which is incorporated herein by reference in its entirety. The atomic layer deposition of the barrier 5 is preferably performed prior to depositing the insulating layer 9 as shown in FIGS. 1C and 1D. Selective deposition selectively forms a barrier 5 only on the electrode 1 except the portion adjacent to the insulating layer or material 3. Thus, metal connection from the barrier 5 of the electrode to the top surface of the insulating layer 9 is prevented.

제 2 실시예의 대안적 방법에서, 전도성 배리어는 복수의 텅스텐 전극 상에 배리어 금속 또는 금속 합금을 선택적으로 도금함으로써 형성된다. 도금은 무전해 도금 또는 전해도금을 포함할 수 있으며, 이는 인접한 절연층(3 또는 9)을 제외한 전극(1)상에만 선택적으로 배리어(5)를 도금한다. 배리어 금속 또는 금속 합금은 CoWP를 포함하는 코발트 또는 코발트 텅스텐 합금 같은 도금 용액으로부터 절연층이 아닌 전극 상에만 선택적으로 도금될 수 있는 임의의 전도성 배리어 재료를 포함할 수 있다. 도금에 의한 CoWP 같은 배리어 금속 합금의 선택적 증착은 본 명세서에 그 전문이 참조로 통합되어 있는 샌프란시스코에서 2006년 4월 17일 내지 21일 이루어진 MRS 초록 번호 F5.9의 제프 가민도(Jeff Gamindo) 및 공동저자들에 의한 "무전해 도금에 의해 형성된 Ni 및 Co 합금의 열 산화"에 설명되어 있다. 선택적 도금은 절연층(9)의 증착 이전에 및/또는 절연층(9) 내의 개구부(11)를 통해 수행될 수 있다. 달리 말해서, 전도성 배리어의 도금은 절연층(9)이 복수의 전도성 배리어(5) 상에 형성되고, 후속하여, 복수의 전도성 배리어(5)의 상부면을 노출하도록 절연층(9) 내에 복수의 개구부(11)가 형성되도록, 절연층(9) 형성 단계 이전에 수행될 수 있다. 대안적으로, 전도성 배리어의 도금은 절연층(9) 내에 복수의 개구부(11)를 형성하는 단계 이후에 수행될 수 있으며, 그래서, 복수의 전도성 배리어는 절연층(9) 내의 복수의 개구부(11)를 통해 복수의 텅스텐 전극(1)의 상부면에 선택적으로 형성된다.In an alternative method of the second embodiment, the conductive barrier is formed by selectively plating a barrier metal or metal alloy on the plurality of tungsten electrodes. Plating may include electroless plating or electroplating, which selectively plate the barrier 5 only on the electrode 1 except the adjacent insulating layer 3 or 9. The barrier metal or metal alloy may comprise any conductive barrier material that can be selectively plated only on the electrode and not on the insulating layer from a plating solution such as cobalt or cobalt tungsten alloy comprising CoWP. Selective deposition of barrier metal alloys, such as CoWP by plating, is performed by Jeff Gamindo of MRS Abstract No. F5.9, issued April 17-21, 2006 in San Francisco, which is hereby incorporated by reference in its entirety. Co-authors describe "Thermal Oxidation of Ni and Co Alloys Formed by Electroless Plating". Selective plating may be performed prior to the deposition of the insulating layer 9 and / or through the openings 11 in the insulating layer 9. In other words, the plating of the conductive barrier is performed by forming a plurality of insulating layers 9 on the plurality of conductive barriers 5 and subsequently exposing a plurality of layers in the insulating layer 9 so as to expose the top surfaces of the plurality of conductive barriers 5. It may be performed before the step of forming the insulating layer 9 so that the opening 11 is formed. Alternatively, plating of the conductive barrier may be performed after forming the plurality of openings 11 in the insulating layer 9, so that the plurality of conductive barriers are arranged in the plurality of openings 11 in the insulating layer 9. ) Is selectively formed on the upper surface of the plurality of tungsten electrodes (1).

도 2a 내지 도 2c에 관하여 상술된 바와 같이, 절연층(9) 내의 개구부(11)는 복수의 텅스텐 전극(1)과 부분적으로 오정렬될 수 있으며, 그래서, 복수의 개구부(11)를 형성하는 단계는 텅스텐 전극(1)의 측벽(2)의 적어도 일부를 노출시킨다. 선택적 도금 같은 전도성 배리어(5)의 선택적 증착은 복수의 텅스텐 전극(1)의 측벽(2)의 노출된 부분 상의 전도성 배리어(6) 및 상부면 상의 전도성 배리어(5)를 형성한다.As described above with respect to FIGS. 2A-2C, the openings 11 in the insulating layer 9 may be partially misaligned with the plurality of tungsten electrodes 1, thus forming a plurality of openings 11. Exposes at least a portion of the side wall 2 of the tungsten electrode 1. Selective deposition of the conductive barrier 5, such as selective plating, forms a conductive barrier 6 on the exposed portion of the side walls 2 of the plurality of tungsten electrodes 1 and a conductive barrier 5 on the top surface.

본 발명의 제 3 실시예에 따른 방법은 도 3a 내지 도 3e에 도시된 바와 같은 변형된 공정에 의해 절연층(9) 내의 개구부(11) 내에 필라 다이오드 같은 필라형 장치를 형성한다. 이 장치는 제 1 또는 제 2 실시예의 배리어 층(5, 6) 상에 형성될 수 있다. 대안적으로, 배리어 층(5, 6)이 생략될 수 있거나, 배리어(5)는 제 1 또는 제 2 실시예의 방법 대신 비선택적 층 증착 및 후속하는 포토리소그래피 패터닝에 의해 형성될 수 있다.The method according to the third embodiment of the present invention forms a pillar-like device such as a pillar diode in the opening 11 in the insulating layer 9 by a modified process as shown in FIGS. 3A-3E. This device can be formed on the barrier layers 5, 6 of the first or second embodiment. Alternatively, the barrier layers 5 and 6 may be omitted, or the barrier 5 may be formed by non-selective layer deposition and subsequent photolithography patterning instead of the method of the first or second embodiment.

도 3a에 도시된 바와 같이, 복수의 개구부(11)를 포함하는 절연층(9)이 기판 위에 제공된다. 기판은 단결정 실리콘, 실리콘-게르마늄 또는 실리콘-게르마늄-카본 같은 IV-IV 화합물, III-V 화합물, II-VI 화합물 같은 본 기술 분야에 공지된 임의의 반도체 기판, 이런 기판 위의 에피텍셜 층 또는 유리, 플라스틱, 금속 또는 세라믹 기판 같은 임의의 다른 반도체 또는 비-반도체 재료일 수 있다. 기판은 메모리 장치를 위한 구동 회로 같은 그 위에 제조된 집적 회로를 포함할 수 있다. 제 1 및 제 2 실시예에 관하여 상술된 바와 같이, 배리어(5)로 덮여진 레일형 텅스텐 전극(1) 같은 하부 전극은 비휘발성 메모리 어레이 제조의 제 1 단계로서 기판 위에 형성된다. 알루미늄, 탄탈륨, 티타늄, 구리, 코발트 또는 그 합금 같은 다른 전도성 재료도 사용될 수 있다. TiN 접착층 같은 접착층이 전극(1) 아래의 다른 재료 또는 절연층(3)에 대한 전극의 접착을 돕기 위해 전극(1) 아래에 포함될 수 있다.As shown in FIG. 3A, an insulating layer 9 comprising a plurality of openings 11 is provided over the substrate. The substrate may be any semiconductor substrate known in the art, such as IV-IV compounds, III-V compounds, II-VI compounds, such as monocrystalline silicon, silicon-germanium or silicon-germanium-carbon, epitaxial layers or glass on such substrates. Or any other semiconductor or non-semiconductor material, such as a plastic, metal or ceramic substrate. The substrate may include integrated circuits fabricated thereon, such as drive circuits for memory devices. As described above with respect to the first and second embodiments, a lower electrode such as a rail-shaped tungsten electrode 1 covered with a barrier 5 is formed on the substrate as a first step in the fabrication of a nonvolatile memory array. Other conductive materials such as aluminum, tantalum, titanium, copper, cobalt or alloys thereof may also be used. An adhesive layer, such as a TiN adhesive layer, may be included under the electrode 1 to assist the adhesion of the electrode to another material below the electrode 1 or to the insulating layer 3.

절연층(9)은 실리콘 산화물, 실리콘 질화물 또는 실리콘 옥시질화물 같은 임의의 전기 절연 재료, 또는 유기나 무기 고 유전 상수 재료일 수 있다. 필요시, 절연층(9)은 둘 이상의 분리된 서브층으로서 증착될 수 있다. 층(9)은 PECVD 또는 임의의 다른 적절한 증착법에 의해 증착될 수 있다. 층(9)은 예로서 약 200nm 내지 약 500nm 같은 임의의 적절한 두께를 가질 수 있다.The insulating layer 9 can be any electrically insulating material, such as silicon oxide, silicon nitride or silicon oxynitride, or an organic or inorganic high dielectric constant material. If desired, the insulating layer 9 may be deposited as two or more separate sublayers. Layer 9 may be deposited by PECVD or any other suitable deposition method. Layer 9 may have any suitable thickness, such as about 200 nm to about 500 nm, for example.

다음으로, 절연층(9)은 전극(1)의 배리어(5)의 상부면으로 연장하여 이를 노출하는 개구부(11)를 형성하도록 포토리소그래피 패턴화된다. 개구부(11)는 각각의 후속 형성된 반도체 필라가 각각의 전극(1)의 위에 형성되도록 아래의 전극(1)과 대략 동일한 피치 및 대략 동일한 폭을 가져야 한다. 상술한 바와 같이 약간의 오정렬은 허용될 수 있다. 바람직하게는 절연층(9) 내의 개구부(11)는 10nm 내지 32nm 같은 45nm 이하의 하프 피치를 가진다. 작은 피치를 갖는 개구부(11)는 절연층(9) 위에 포지티브 포토레지스트를 형성하고, 감쇠 위상 시프트 마스크를 사용하면서 포토레지스트를 193nm 방사선 같은 방사선에 노출하고, 노출된 포토레지스트를 패터닝하고, 마스크로서 패턴화된 포토레지스트를 사용하여 절연층(9) 내에 개구부(11)를 에칭함으로써 형성될 수 있다. 다음으로, 포토레지스트 패턴이 제거된다. 다른 임의의 적절한 리쏘그래피 또는 패터닝이 또한 사용될 수 있다. 예를 들어, 248nm 같은 다른 방사선 파장이 위상 이동 마스크와 함께 또는 위상 이동 마스크 없이 사용될 수 있다. 예를 들어, 약 130nm 같은 120-150nm 폭의 개구부가 248nm 리소그래피로 형성될 수 있고, 약 80nm 같은 45-100nm 폭의 개구부가 193nm 리소그래피로 형성될 수 있다. 또한, 248nm 리소그래피를 위한 절연 하드마스크와 조합한 BARC 또는 DARC 및 193nm 리소그래피를 위한 이중 W/절연 하드마스크와 조합한 BARC 또는 DARC 같은 다양한 하드마스크 및 반사방지 층이 또한 리소그래피에 사용될 수 있다.Next, the insulating layer 9 is photolithographically patterned to form an opening 11 extending to and exposing the top surface of the barrier 5 of the electrode 1. The opening 11 should have approximately the same pitch and approximately the same width as the underlying electrode 1 such that each subsequently formed semiconductor pillar is formed over each electrode 1. As described above, some misalignment can be tolerated. Preferably, the opening 11 in the insulating layer 9 has a half pitch of 45 nm or less, such as 10 nm to 32 nm. Opening 11 with a small pitch forms a positive photoresist on insulating layer 9, exposes the photoresist to radiation such as 193 nm radiation, using an attenuated phase shift mask, patterning the exposed photoresist, and as a mask. It can be formed by etching the opening 11 in the insulating layer 9 using a patterned photoresist. Next, the photoresist pattern is removed. Any other suitable lithography or patterning may also be used. For example, other radiation wavelengths such as 248 nm can be used with or without the phase shift mask. For example, a 120-150 nm wide opening, such as about 130 nm, may be formed in 248 nm lithography, and a 45-100 nm wide opening, such as about 80 nm, may be formed in 193 nm lithography. In addition, various hardmasks and antireflective layers, such as BARC or DARC in combination with insulating hardmasks for 248 nm lithography and BARC or DARC in combination with double W / insulating hardmasks for 193 nm lithography, may also be used in lithography.

제 1 반도체 층(13)은 절연층(9) 내의 복수의 개구부(11) 내에, 그리고, 절연층(9) 위에 형성된다. 반도체 층(13)은 실리콘, 게르마늄, 실리콘-게르마늄 또는 III-V 또는 II-VI 재료 같은 화합물 반도체 재료를 포함할 수 있다. 반도체 층(13)은 폴리실리콘 같은 다결정 재료 또는 비정질 재료일 수 있다. 비정질 반도체 재료는 후속 단계에서 결정화될 수 있다. 층(13)은 1018 내지 1021 cm-3의 도핑제 농도를 사용한 도핑 같이 p-형 또는 n-형 도핑제 같은 제 1 도전형의 도핑제로 고 도핑(heavily doped)되는 것이 바람직하다. 예를 들어, 층(13)은 등각 증착된 n-형 도핑된 폴리실리콘인 것으로 가정된다. 폴리실리콘은 증착되고 그후 도핑될 수 있지만, 바람직하게는 폴리실리콘 층의 LPCVD 증착 동안 n-형 도핑제 원자, 예를 들어, 인 또는 비소를 제공하는 도핑제 함유 가스(즉, 실란 가스에 추가된 비소 가스 또는 포스핀 가스 형태로)를 유동시킴으로써 현장 도핑되는 것이 바람직하다. 결과적 구조물이 도 3a에 도시되어 있다.The first semiconductor layer 13 is formed in the plurality of openings 11 in the insulating layer 9 and on the insulating layer 9. The semiconductor layer 13 may comprise a compound semiconductor material, such as silicon, germanium, silicon-germanium or a III-V or II-VI material. The semiconductor layer 13 may be a polycrystalline material or an amorphous material such as polysilicon. The amorphous semiconductor material can be crystallized in a subsequent step. The layer 13 is preferably highly doped with a first conductivity type dopant, such as a p-type or n-type dopant, such as doping using a dopant concentration of 10 18 to 10 21 cm −3 . For example, it is assumed that layer 13 is conformal deposited n-type doped polysilicon. The polysilicon may be deposited and then doped, but is preferably added to the dopant containing gas (ie silane gas) that provides the n-type dopant atoms, eg, phosphorus or arsenic, during LPCVD deposition of the polysilicon layer. Preferably doped in situ by flowing arsenic gas or phosphine gas). The resulting structure is shown in FIG. 3A.

도 3b에 도시된 바와 같이, 폴리실리콘 층 같은 반도체 층(13)의 상부가 제거된다. 폴리실리콘 층(13)의 하부 n-형 부분(17)은 절연층(9) 내의 개구부(11)의 하부에 잔류하고, 절연층(9) 내의 복수의 개구부(11)의 상부 부분(19)은 충전되지 않은 상태로 남아 있다. N-형 부분(17)은 약 10nm 내지 약 50nm 두께 같이 약 5nm 내지 약 80nm 두께일 수 있다. 다른 적절한 두께가 대신 사용될 수 있다.As shown in FIG. 3B, the top of the semiconductor layer 13, such as the polysilicon layer, is removed. The lower n-type portion 17 of the polysilicon layer 13 remains below the opening 11 in the insulating layer 9, and the upper portion 19 of the plurality of openings 11 in the insulating layer 9. Remains uncharged. N-type portion 17 may be about 5 nm to about 80 nm thick, such as about 10 nm to about 50 nm thick. Other suitable thicknesses may be used instead.

임의의 적절한 방법이 개구부(11)의 상부 부분(19)으로부터 층(13)을 제거하기 위해 사용될 수 있다. 예를 들어, 2개 단계 공정이 사용될 수 있다. 먼저, 폴리실리콘 층(13)은 절연층(9)의 상부면과 함께 평탄화된다. 평탄화는 광학적 종료 지점 검출을 사용하여 CMP 또는 에치백(등방성 에칭 같은)에 의해 수행될 수 있다. 폴리실리콘 층(13)이 절연층(9)의 상부면과 함께 평탄화되고 나면(즉, 폴리실리콘 층(13)이 개구부(11)를 충전하지만, 절연층(9)의 상부면 위에는 위치되지 않도록), 제 2 리세스 에칭 단계가 수행되어 개구부(11) 내의 층(13)을 오목화하고, 그래서, 층(13)의 부분들(17)만이 개구부(11) 내에 남아 있는다. 선택적으로 또는 우선적으로 층(9)의 절연 재료(실리콘 산화물 같은) 위의 개구부(11)의 상부에 잔류하는 폴리실리콘을 에칭하는 습식 또는 건식 등방성 또는 이방성 에칭 단계 같은 임의의 선택적 에칭 단계가 사용될 수 있다. 바람직하게는 제어 가능한 에칭 종료 지점을 제공하는 건식 에칭 단계가 사용된다.Any suitable method may be used to remove the layer 13 from the upper portion 19 of the opening 11. For example, a two step process can be used. First, the polysilicon layer 13 is planarized with the top surface of the insulating layer 9. Planarization can be performed by CMP or etch back (such as isotropic etching) using optical end point detection. Once the polysilicon layer 13 is planarized with the top surface of the insulating layer 9 (ie, the polysilicon layer 13 fills the opening 11, but is not positioned over the top surface of the insulating layer 9). ), A second recess etch step is performed to concave the layer 13 in the opening 11, so that only the portions 17 of the layer 13 remain in the opening 11. Optionally or preferentially any optional etching step may be used, such as a wet or dry isotropic or anisotropic etching step of etching polysilicon remaining on top of the opening 11 above the insulating material (such as silicon oxide) of the layer 9. have. Preferably a dry etch step is used which provides a controllable etch end point.

예를 들어, 도 3f의 현미경 사진에 도시된 바와 같이, 리세스 에칭 단계는 선택적 건식 이방성 에칭 단계이다. 이 단계에서, 복수의 개구부(11)의 상부에 잔류하는 제 1 반도체 층(13)은 제 1 반도체 층(13)을 오목화하도록 평탄한 식각 전면(level etch front)으로 에칭된다. 평탄한 식각 전면은 복수의 개구부(11) 내에 잔류하는 제 1 반도체 층(13)의 부분들(17)이 도 3f에 도시된 바와 같이 실질적으로 평탄한 상부면을 갖는 것을 제공한다. 이는 서로 다른 도전형의 영역들 사이의 경계가 실질적으로 평탄한 "파르페(parfait)" 형 다이오드의 형성을 가능하게 한다.For example, as shown in the micrograph of FIG. 3F, the recess etch step is a selective dry anisotropic etch step. In this step, the first semiconductor layer 13 remaining on top of the plurality of openings 11 is etched with a flat level etch front to concave the first semiconductor layer 13. The flat etch front provides that the portions 17 of the first semiconductor layer 13 remaining in the plurality of openings 11 have a substantially flat top surface as shown in FIG. 3F. This makes it possible to form "parfait" type diodes with substantially flat boundaries between regions of different conductivity type.

대안적으로, 도 3g에 도시된 바와 같이, 선택적 등방성 에칭이 사용되어 층(13)을 오목화할 수 있다. 이 경우에, 복수의 개구부(11) 내에 잔류하는 제 1 반도체 층(13)의 부분들은 도 3g에 도시된 바와 같이 중앙에 홈을 갖는 환형(즉, 중공 링) 형상을 갖는다.Alternatively, as shown in FIG. 3G, selective isotropic etching may be used to recess the layer 13. In this case, portions of the first semiconductor layer 13 remaining in the plurality of openings 11 have an annular (ie hollow ring) shape with a groove in the center as shown in FIG. 3G.

도 3c에 도시된 바와 같이, 그후 제 2 반도체 층(21)이 절연층(9) 위 및 절연층(9) 내의 복수의 개구부(11)의 상부 부분(19)에 형성된다. 제 2 반도체 층(21)은 제 1 반도체 층(13)의 재료로서 동일 또는 다른 반도체 재료를 포함할 수 있다. 예를 들어, 층(21)은 또한 폴리실리콘을 포함할 수 있다. 본 명세서에 그 전문이 참조로 통합되어 있는, 발명의 명칭이 "다양한 반도체 조성을 포함하는 접합 다이오드"인 헤르너 및 워커(Walker)에게 허여된 미국 특허 제 7,224,013호에 개시된 바와 같은 층(13)의 조성에 비해 다른 반도체 조성을 갖는 층(21)을 증착하는 것이 바람직할 수 있다. 예를 들어, 층(13)은 비교적 낮은 비율의 게르마늄을 갖는 실리콘-게르마늄 합금 또는 실리콘을 포함할 수 있으며, 층(21)은 층(13)에 비해 비교적 높은 비율의 게르마늄을 갖는 실리콘-게르마늄 합금 또는 게르마늄을 포함할 수 있으며, 그 반대도 마찬가지이다. p-n형 다이오드가 개구부(11) 내에 형성되는 경우, 이때, 층(21)은 p-형 도핑제 같은, 층(13)의 도전형으로부터 반대의 도전형의 도핑제로 고 도핑될 수 있다. 필요시, 제 2 반도체 층(21)은 제 1 층(13)과 동일한 도전형을 가지지만, 층(13)보다 낮은 도핑 농도를 갖는다.As shown in FIG. 3C, a second semiconductor layer 21 is then formed over the insulating layer 9 and in the upper portion 19 of the plurality of openings 11 in the insulating layer 9. The second semiconductor layer 21 may comprise the same or different semiconductor material as the material of the first semiconductor layer 13. For example, layer 21 may also include polysilicon. Of the layer 13 as disclosed in US Pat. No. 7,224,013 to Herner and Walker, entitled " junction diodes comprising a variety of semiconductor compositions, " incorporated herein by reference in its entirety. It may be desirable to deposit a layer 21 having a different semiconductor composition relative to the composition. For example, layer 13 may comprise a silicon-germanium alloy or silicon having a relatively low proportion of germanium, and layer 21 may have a silicon-germanium alloy having a relatively high proportion of germanium relative to layer 13. Or germanium, and vice versa. If a p-n type diode is formed in the opening 11, then the layer 21 may be highly doped with the opposite type of dopant from the conductive type of the layer 13, such as the p-type dopant. If necessary, the second semiconductor layer 21 has the same conductivity type as the first layer 13 but has a lower doping concentration than the layer 13.

p-i-n형 다이오드가 개구부(11) 내에 형성되는 경우, 이때, 제 2 반도체 층(21)은 진성 폴리실리콘 같은 진성 반도체 재료일 수 있다. 본 설명에서, 의도적으로 도핑되지 않은 반도체 재료의 영역은 진성 영역으로서 설명된다. 그러나, 본 기술 분야의 숙련자들은 진성 영역이 사실 낮은 농도의 p-형 또는 n-형 도핑제를 포함할 수 있다는 것을 이해할 것이다. 도핑제는 인접 영역으로부터 진성 영역 내로 확산될 수 있거나, 이전 증착으로부터의 오염에 기인하여 증착 단계 동안 증착 챔버 내에 존재할 수 있다. 또한, 증착된 진성 반도체 재료(실리콘 같은)는 결함을 포함할 수 있으며, 이러한 결함은 진성 반도체 재료가 미소하게 n형으로 도핑된 것 처럼 거동하게 할 수 있다는 것을 이해할 수 있을 것이다. 실리콘, 게르마늄, 실리콘-게르마늄 합금 또는 소정의 다른 반도체 재료를 설명하기 위해 용어 "진성"을 사용하는 것은 이 영역이 어떠한 도핑제도 전혀 포함하지 않는다는 것을 의미하거나, 이런 영역이 완전히 전기적으로 중성이라는 것을 의미하는 것은 아니다. 그후, 제 2 반도체 층(21)은 개구부(11)의 상부 부분(19) 내의 층(21)의 부분(23)을 남기면서 절연층(9) 위에 위치한 제 2 반도체 층(21)의 제 1 부분을 제거하도록 화학 기계 연마를 사용하여 적어도 절연층(9)의 상부면과 함께 평탄화된다. 대안적으로, 에치백도 사용될 수 있다. 진성 영역 또는 부분(23)은 약 200nm 두께같이 약 110 내지 약 330nm 사이일 수 있다. 결과적 장치가 도 3d에 도시되어 있다.When the p-i-n type diode is formed in the opening 11, the second semiconductor layer 21 may be an intrinsic semiconductor material such as intrinsic polysilicon. In this description, regions of semiconductor material that are not intentionally doped are described as intrinsic regions. However, those skilled in the art will understand that the intrinsic region may in fact contain low concentrations of p-type or n-type dopants. The dopant may diffuse from the adjacent region into the intrinsic region or may be present in the deposition chamber during the deposition step due to contamination from previous deposition. It will also be appreciated that the deposited intrinsic semiconductor material (such as silicon) may contain defects, which defects may cause the intrinsic semiconductor material to behave as if it were slightly n-doped. Use of the term "intrinsic" to describe silicon, germanium, silicon-germanium alloy, or some other semiconductor material means that this region does not contain any dopants at all, or that this region is completely electrically neutral. It is not. The second semiconductor layer 21 is then the first of the second semiconductor layer 21 located above the insulating layer 9, leaving a portion 23 of the layer 21 in the upper portion 19 of the opening 11. It is planarized with at least the top surface of the insulating layer 9 using chemical mechanical polishing to remove the portion. Alternatively, etch back may also be used. The intrinsic region or portion 23 may be between about 110 and about 330 nm, such as about 200 nm thick. The resulting device is shown in FIG. 3D.

그후, 영역(17)의 도전형에 반대 도전형의 도핑제가 제 2 반도체 층(21)의 제 2 부분(23)의 상부 섹션 내에 주입되어 p-i-n 필라형 다이오드를 형성한다. 예를 들어, p-형 도핑제가 진성 부분(23)의 상부 섹션 내에 주입되어 p-형 영역(25)을 형성한다. p-형 도핑제는 붕소 또는 BF2 이온으로서 주입되는 붕소인 것이 바람직하다. 대안적으로, 영역(25)이 영역(23) 상에 선택적으로 증착될 수 있고(영역(23)이 개구부(11)에서 오목화된 이후), 그후, 영역(23) 내로 이식되는 대신, 평탄화된다. 예를 들어, 영역(25)은 CVD에 의해 현장 p-형 도핑된 반도체 층을 증착하고, 그후, 이 층을 평탄화함으로써 형성될 수 있다. 영역(25)은 예를 들어, 약 10nm 내지 약 50nm 두께일 수 있다. 개구부(11) 내에 위치한 필라형 p-i-n 다이오드(27)는 도 3e에 도시된 바와 같이 n-형 영역(17), 진성 영역(23) 및 p-형 영역(25)을 포함한다. 일반적으로, 필라 다이오드(27)는 250nm 이하의 직경을 갖는 원형 또는 대략 원형 단면을 갖는 실질적 원통형 형상을 구비하는 것이 바람직하다. 대안적으로, 원형 또는 난형 단면 형상 대신 다각형 단면 형상을 갖는 개구부(11)를 형성함으로써 직사각형 또는 정사각형 형상 같은 다각형 단면 형상을 갖는 필라 다이오드도 형성될 수 있다.Then, a dopant of a conductivity type opposite to that of the region 17 is injected into the upper section of the second portion 23 of the second semiconductor layer 21 to form a pin pillar diode. For example, a p-type dopant is injected into the upper section of the intrinsic portion 23 to form the p-type region 25. The p-type dopant is preferably boron or boron implanted as BF 2 ions. Alternatively, region 25 may be selectively deposited on region 23 (after region 23 is recessed in opening 11), and then planarized instead of implanted into region 23. do. For example, region 25 may be formed by depositing a field p-type doped semiconductor layer by CVD and then planarizing the layer. Region 25 may be, for example, about 10 nm to about 50 nm thick. The pillar-type pin diode 27 located in the opening 11 includes an n-type region 17, an intrinsic region 23 and a p-type region 25 as shown in FIG. 3E. In general, the pillar diode 27 preferably has a substantially cylindrical shape having a circular or approximately circular cross section having a diameter of 250 nm or less. Alternatively, a pillar diode having a polygonal cross-sectional shape such as a rectangular or square shape may also be formed by forming the opening 11 having a polygonal cross-sectional shape instead of a circular or oval cross-sectional shape.

선택적으로, 본 명세서에 전문이 참조로 통합되어 있는 발명의 명칭이 "N-형 도핑제 확산을 최소화하는 증착된 반도체 구조 및 그 제조 방법"인 미국 출원 공개 2006/0087005호에 설명된 방법에 의해 후속 진성 실리콘 증착 동안 n+ 도핑제 확산이 방지된다. 이 방법에서, n-형 폴리실리콘 또는 비정질 실리콘 층 같은 n-형 반도체 층이 적어도 10 원자 퍼센트 게르마늄을 갖는 실리콘-게르마늄 덮개층에 의해 덮혀진다. 덮개층은 약 10 내지 약 20nm 두께일 수 있으며, 바람직하게는 약 50nm 두께 이하이고, n-형 도핑제를 거의 포함하지 않거나 전혀 포함하지 않는다(즉, 덮개층은 얇은 진성 실리콘-게르마늄 층인 것이 바람직하다). 10 원자 퍼센트 미만의 게르마늄을 갖는 실리콘-게르마늄 층 또는 실리콘 층 같은 다이오드의 진성 층이 덮개층 상에 증착된다. 대안적으로, 선택적 실리콘 농후 산화물(SRO) 층이 각 다이오드(27)의 진성 영역(23)과 n-형 영역(17) 사이에 형성된다. SRO 영역은 다이오드의 저부 n-형 영역(17)으로부터 비도핑 영역(23) 내로의 인 확산을 방지 또는 감소시키는 배리어를 형성한다.Optionally, by the method described in U.S. Application Publication 2006/0087005, entitled "Deposited Semiconductor Structures That Minimize N-type Dopant Diffusion and Methods for Manufacturing the Same," the disclosure of which is incorporated by reference in its entirety. N + dopant diffusion is prevented during subsequent intrinsic silicon deposition. In this method, an n-type semiconductor layer, such as an n-type polysilicon or an amorphous silicon layer, is covered by a silicon-germanium cover layer having at least 10 atomic percent germanium. The cover layer may be about 10 nm to about 20 nm thick, preferably about 50 nm thick or less, with little or no n-type dopant (ie, the cover layer is preferably a thin intrinsic silicon-germanium layer). Do). An intrinsic layer of a diode, such as a silicon-germanium layer or silicon layer, having less than 10 atomic percent germanium, is deposited on the capping layer. Alternatively, an optional silicon rich oxide (SRO) layer is formed between the intrinsic region 23 and the n-type region 17 of each diode 27. The SRO region forms a barrier that prevents or reduces the diffusion of phosphorus from the bottom n-type region 17 of the diode into the undoped region 23.

예시적 실시예에서, 다이오드(27)의 저부 영역(17)은 N+ (고 도핑 n-형)이고, 상부 영역(25)은 P+이다. 그러나, 또한, 수직 필라는 다른 구조를 포함할 수도 있다. 예를 들어, 저부 영역(17)은 P+이고, 상부 영역(25)은 N+이다. 부가적으로, 중간 영역은 의도적으로 경 도핑(lightly doped)되거나 진성이거나 의도적으로 도핑되지 않을 수 있다. 비도핑 영역은 절대로 완전히 전기적 중성일 수 없으며, 항상 결함 또는 오염물을 가지고, 이는 비도핑 영역이 미소하게 n-도핑 또는 p-도핑된 것처럼 거동하게 한다. 이런 다이오드는 p-i-n 다이오드로서 간주될 수 있다. 따라서, P+/N-/N+, P+/P-/N+, N+/N-/P+ 또는 N+/P-/P+ 다이오드가 형성될 수 있다.In an exemplary embodiment, the bottom region 17 of the diode 27 is N + (highly doped n-type) and the top region 25 is P + . However, the vertical pillars may also include other structures. For example, the bottom region 17 is P + and the top region 25 is N + . In addition, the intermediate region may be intentionally lightly doped, intrinsic or not intentionally doped. An undoped region can never be completely electrically neutral and always has defects or contaminants, which causes the undoped region to behave as if it is slightly n-doped or p-doped. Such a diode can be considered as a pin diode. Thus, P + / N - / N +, P + / P - / N +, N + / N - / P + or N + / P - / P + diode may be formed.

도 4로 돌아가서, 상부 전극(29)은 예를 들어, 바람직하게는 티타늄 질화물로 이루어진 추가층과, 바람직하게는 텅스텐으로 이루어진 전도층을 증착함으로써, 저부 전극(1)과 동일한 방식으로 형성될 수 있다. 전도층 및 추가층은 전도체 레일(1)과 수직으로 연장하는 실질적으로 평행한, 실질적 공평면적 전도체 레일(29)을 형성하기 위해 임의의 적절한 마스킹 및 에칭 기술을 사용하여 패턴화 및 에칭된다. 양호한 실시예에서, 포토레지스트가 증착되고, 포토리소그래피에 의해 패턴화되며, 전도층이 에칭되고, 그후, 표준 처리 기술을 사용하여 포토레지스트가 제거된다. 대안적으로, 선택적 절연 산화물, 질화물 또는 옥시질화물 층이 고 도핑 영역(25) 상에 형성될 수 있으며, 전도체(29)는 본 명세서에 그 전문이 참조로 통합되어 있는 2006년 5월 31일자로 출원된 발명의 명칭이 "트렌치 에칭 동안 패턴화된 형상부를 보호하기 위한 전도성 하드 마스크"인 라디간(Radigan) 등의 미국 특허 출원 제 11/444,936호에서 설명된 바와 같은 다마센 공정에 의해 형성된다. 레일(29)은 약 200nm 내지 약 400nm 두께일 수 있다.4, the upper electrode 29 can be formed in the same manner as the bottom electrode 1, for example by depositing an additional layer, preferably made of titanium nitride, and preferably a conductive layer made of tungsten. have. The conductive and additional layers are patterned and etched using any suitable masking and etching technique to form a substantially parallel, substantially coplanar conductor rail 29 extending perpendicular to the conductor rail 1. In a preferred embodiment, the photoresist is deposited, patterned by photolithography, the conductive layer is etched, and then the photoresist is removed using standard processing techniques. Alternatively, an optional insulating oxide, nitride or oxynitride layer may be formed on the high doped region 25, the conductor 29 of May 31, 2006, which is incorporated by reference in its entirety herein. The filed invention is formed by a damascene process as described in US Patent Application No. 11 / 444,936 to Radigan et al., Which is a "conductive hard mask to protect patterned features during trench etching." . Rail 29 may be about 200 nm to about 400 nm thick.

다음에, 다른 절연층(명료성을 위해 미도시)이 전도체 레일(29) 사이에, 그리고, 그 위에 증착된다. 절연 재료는 실리콘 산화물, 실리콘 질화물 또는 실리콘 옥시질화물 같은 임의의 공지된 전기 절연 재료일 수 있다. 양호한 실시예에서, 실리콘 산화물이 이 절연 재료로서 사용된다. 이 절연층은 CMP 또는 에치백에 의해 전도체 레일(29)의 상부면과 함께 평탄화될 수 있다. 결과적 장치의 삼차원 도면이 도 4에 도시되어 있다.Next, another insulating layer (not shown for clarity) is deposited between and on the conductor rails 29. The insulating material may be any known electrical insulating material such as silicon oxide, silicon nitride or silicon oxynitride. In a preferred embodiment, silicon oxide is used as this insulating material. This insulating layer can be planarized with the top surface of the conductor rail 29 by CMP or etch back. A three-dimensional view of the resulting device is shown in FIG. 4.

다이오드 장치 같은 필라 장치는 1회 프로그램가능(OTP) 또는 재기록가능 비휘발성 메모리 장치를 포함할 수 있다. 예를 들어, 각 다이오드 필라(27)는 메모리 셀의 스티어링 소자(steering element)로서 작용할 수 있으며, 저항 스위칭 재료(즉, 데이터를 저장하는)로서 작용하는 다른 재료 또는 층(31)은 도 4에 도시된 바와 같이 전극(1, 29) 사이에서 다이오드(27)와 직렬로 제공된다. 구체적으로, 도 4는 안티퓨즈(즉, 안티퓨즈 유전체), 퓨즈, 폴리실리콘 메모리 효과 재료, 금속 산화물(니켈 산화물, 페로브스카이트 재료 등 같은), 카본 나노튜브, 상 변화 재료, 절환형 복합 금속 산화물, 전도성 브리지 소자 또는 절환식 폴리머 같은 저항 스위칭 재료(31)와 직렬로 필라 다이오드(27)를 포함하는 하나의 비휘발성 메모리 셀을 도시한다. 얇은 실리콘 산화물 안티퓨즈 유전체 층 같은 저항 스위칭 재료(31)가 다이오드 필라(27) 위에 증착되고, 후속하여, 안티퓨즈 유전체 층 상에 상부 전극(29)이 증착될 수 있다. 또한, 안티퓨즈 유전체(31)는 1 내지 10nm 두께 실리콘 산화물 층을 형성하도록 다이오드(27)의 상부면을 산화시킴으로써 형성될 수 있다. 대안적으로, 저항 스위칭 재료(31)는 TiN 층 같은 다른 전도층과 배리어(5) 사이 같이 다이오드 필라(27) 아래에 위치될 수 있다. 본 실시예에서, 저항 스위칭 재료(31)의 저항은 전극(1, 29) 사이에 제공된 순방향 및/또는 역방향 바이어스에 응답하여 증가 또는 감소된다.Pillar devices, such as diode devices, may include one-time programmable (OTP) or rewritable nonvolatile memory devices. For example, each diode pillar 27 may act as a steering element of a memory cell, and another material or layer 31 serving as a resistive switching material (ie, storing data) is shown in FIG. 4. As shown, it is provided in series with the diode 27 between the electrodes 1, 29. Specifically, FIG. 4 shows antifuse (ie, antifuse dielectrics), fuses, polysilicon memory effect materials, metal oxides (such as nickel oxide, perovskite materials, etc.), carbon nanotubes, phase change materials, switchable composites. One nonvolatile memory cell including pillar diode 27 in series with a resistive switching material 31, such as a metal oxide, a conductive bridge element or a switchable polymer, is shown. A resistive switching material 31, such as a thin silicon oxide antifuse dielectric layer, may be deposited over the diode pillar 27, followed by the top electrode 29 on the antifuse dielectric layer. The antifuse dielectric 31 may also be formed by oxidizing the top surface of the diode 27 to form a 1-10 nm thick silicon oxide layer. Alternatively, the resistive switching material 31 may be located underneath the diode pillar 27 such as between the barrier 5 and another conductive layer, such as a TiN layer. In this embodiment, the resistance of the resistance switching material 31 is increased or decreased in response to the forward and / or reverse bias provided between the electrodes 1, 29.

다른 실시예에서, 필라 다이오드(27) 자체는 데이터 저장 장치로서 사용될 수 있다. 본 실시예에서, 필라 다이오드의 저항은 양자 모두가 그 전문이 본 명세서에 참조로 통합되어 있는 2004년 9월 29일자로 출원된 미국 특허 출원 제 10/955,549호(미국 출원 공개 2005/0052951 A1호에 대응) 및 2007년 3월 30일자로 출원된 미국 특허 출원 제 11/693,845호(미국 출원 공개 2007/0164309 A1호에 대응)에 설명된 바와 같이 전극(1, 29) 사이에 제공된 순방향 및/또는 역방향 바이어스의 인가에 의해 변한다. 본 실시예에서, 저항 스위칭 재료(31)는 필요시 생략될 수 있다. 비휘발성 메모리 장치가 설명되었지만, 다른 휘발성 또는 비휘발성 메모리 장치, 논리 장치, 디스플레이 장치, 발광 장치, 검출기 등 같은 다른 장치도 상술한 방법에 의해 형성될 수 있다. 또한, 필라형 장치를 다이오드인 것으로 설명하였지만, 트랜지스터 같은 다른 유사한 필라형 장치도 형성될 수 있다.In other embodiments, pillar diode 27 itself may be used as a data storage device. In this embodiment, the resistance of the pillar diode is described in US patent application Ser. No. 10 / 955,549, filed Sep. 29, 2004, both of which are incorporated by reference in their entirety (US Patent Application Publication 2005/0052951 A1). And the forward direction provided between the electrodes 1, 29 as described in US Patent Application No. 11 / 693,845 filed March 30, 2007 (corresponding to US Application Publication 2007/0164309 A1). Or by application of reverse bias. In this embodiment, the resistance switching material 31 can be omitted if necessary. Although nonvolatile memory devices have been described, other devices, such as other volatile or nonvolatile memory devices, logic devices, display devices, light emitting devices, detectors, and the like, can also be formed by the methods described above. Also, while the pillar-type device has been described as being a diode, other similar pillar-type devices such as transistors can also be formed.

제 1 메모리 레벨의 형성이 설명되었다. 추가적 메모리 레벨이 이 제 1 메모리 레벨 위에 형성되어 모놀리식 삼차원 메모리 어레이를 형성할 수 있다. 일부 실시예에서, 전도체는 메모리 레벨들 사이에서 공유될 수 있으며, 즉, 상부 전도체(29)는 다음 메모리 레벨의 저부 전도체로서 기능할 수 있다. 다른 실시예에서, 레벨간 유전체(미도시)가 제 1 메모리 레벨 위에 형성되고, 그 표면이 평탄화되고, 어떠한 공유 전도체도 없이 제 2 메모리 레벨의 구성이 이 평탄화된 레벨간 유전체 상에서 시작될 수 있다.The formation of the first memory level has been described. Additional memory levels can be formed above this first memory level to form a monolithic three dimensional memory array. In some embodiments, the conductors may be shared between memory levels, ie, the top conductor 29 may function as the bottom conductor of the next memory level. In another embodiment, an interlevel dielectric (not shown) is formed over the first memory level, the surface is planarized, and the configuration of the second memory level can be started on this planarized interlevel dielectric without any shared conductors.

모놀리식 삼차원 메모리 어레이는 어떠한 기판의 개입도 없이 웨이퍼 같은 단일 기판 위에 다수의 메모리 레벨이 형성되어 있는 것이다. 하나의 메모리 레벨을 형성하는 층은 기존 레벨 또는 레벨들의 층들 위에 직접적으로 증착 또는 성장된다. 대조적으로, 적층형 메모리는 리디(Leedy)의 미국 특허 제 5,915,167호 "삼차원 구조 메모리"에서와 같이 별개의 기판들 상에 메모리 레벨들을 형성하고, 이 메모리 레벨들을 서로 상에 접착시킴으로써 구성된다. 기판들은 접합 이전에 메모리 레벨들로부터 박화 또는 제거될 수 있지만, 메모리 레벨들이 별개의 기판들 위에 최초 형성되기 때문에, 이런 메모리들은 진정한 모놀리식 삼차원 메모리 어레이가 아니다.Monolithic three-dimensional memory arrays are formed with multiple levels of memory on a single substrate, such as a wafer, without any board intervention. The layer forming one memory level is deposited or grown directly on top of an existing level or layers of levels. In contrast, stacked memories are constructed by forming memory levels on separate substrates and adhering these memory levels onto each other, such as in Leedy's US Pat. No. 5,915,167 "three-dimensional structure memory". The substrates may be thinned or removed from the memory levels prior to bonding, but since the memory levels are initially formed on separate substrates, these memories are not truly monolithic three dimensional memory arrays.

기판 위에 형성된 모놀리식 삼차원 메모리 어레이는 적어도, 기판 위에 제 1 높이로 형성된 제 1 메모리 레벨과, 제 1 높이와는 다른 제 2 높이에서 형성된 제 2 메모리 레벨을 포함한다. 3개, 4개, 8개 또는 사실상 임의의 수의 메모리 레벨들이 이런 다중레벨 어레이에서 기판 위에 형성될 수 있다.The monolithic three dimensional memory array formed on the substrate includes at least a first memory level formed at a first height on the substrate and a second memory level formed at a second height different from the first height. Three, four, eight or virtually any number of memory levels can be formed over the substrate in such a multilevel array.

본 발명의 제 4 실시예에서, 대안적 에칭 및 도핑 단계가 다이오드(27) 같은 필라형 장치를 형성하기 위해 사용된다. 본 실시예에서, 다양한 도전형의 폴리실리콘의 에칭 선택성이 종료 지점 검출을 제공하기 위해 리세스 에칭 단계에 사용된다. 구체적으로, 인 도핑 폴리실리콘은 비도핑 실리콘보다 신속한 에칭율을 갖는다(서로 다르게 도핑된 폴리실리콘이 서로 다른 에칭율을 갖는다는 것을 나타내는 데이터는 http://www.clarycon.com/Resources/Slide3t.jpg 및 http:www.clarycon.com/Resources/Slide5i.jpg를 참조하라). 인 도핑, 붕소 도핑 및 비도핑 폴리실리콘에 대한 상술한 웹사이트로부터의 에칭율이 도 5a에 도시되어 있다.In a fourth embodiment of the present invention, alternative etching and doping steps are used to form pillared devices, such as diodes 27. In this embodiment, the etch selectivity of various types of polysilicon is used in the recess etch step to provide end point detection. Specifically, phosphorus-doped polysilicon has a faster etch rate than undoped silicon (data indicating that differently doped polysilicones have different etch rates is available at http://www.clarycon.com/Resources/Slide3t. jpg and http: www.clarycon.com/Resources/Slide5i.jpg). Etch rates from the aforementioned websites for phosphorus doped, boron doped and undoped polysilicon are shown in FIG. 5A.

고에칭율 n-형 도핑 층의 깊이는 주입 투여량 및 에너지에 따라 맞춰질 수 있다. 일 광학적 에칭 종료 지점 검출 방법은 에칭 반응의 특정 반응물 또는 생성물에 대한 특성인 파장의 강도의 변화를 감시하는 것을 포함한다. 에칭 종료 지점이 달성되었을 때, 플라즈마 내에는 더 낮은 밀도의 에칭 반응 생성물이 존재할 것이고, 그래서, 종료 지점이 트리거될 수 있고, 에칭을 정지시킬 수 있다. 다른 에칭 종료 지점 검출은 RGA(잔류 가스 분석)라 지칭되는, 건식 에칭 반응으로부터의 배기 스트림내의 특정 종(species)에 대한 감시를 위한 질량 분광계(mass spectrometer)를 사용한다. 질량 분광계는 에칭 반응 챔버의 배기 도관 내에 또는 그 부근에 위치될 수 있다. 이 경우, RGA는 배기 스트림 내의 인 함유 종을 감시하며, 종료 지점 신호를 제공하거나 이 신호의 강하에 대한 트리거를 제공한다.The depth of the high etch rate n-type doped layer can be tailored according to the dosage and energy injected. One optical etch end point detection method includes monitoring a change in intensity of a wavelength that is characteristic for a particular reactant or product of the etching reaction. When the etch end point has been achieved, there will be a lower density of etch reaction product in the plasma, so that the end point can be triggered and stop the etch. Another etch end point detection uses a mass spectrometer for monitoring specific species in the exhaust stream from the dry etch reaction, called RGA (Residual Gas Analysis). The mass spectrometer may be located in or near the exhaust conduit of the etch reaction chamber. In this case, the RGA monitors phosphorus-containing species in the exhaust stream and provides an end point signal or a trigger for the drop of this signal.

제 4 실시예의 방법에서, 제 1 폴리실리콘 층(13)은 도 5b에 도시된 바와 같이 비도핑 증착된다(즉, 진성). 층(13)은 그후 도 5c에 도시된 바와 같이 주입 영역(101)을 형성하기 위해 절연층(9)의 상부면과 함께 층(13)이 평탄화되기 전에 또는 그 후에 미리 결정된 깊이로 인이 주입된다. 주입 깊이는 인 주입 영역(101)의 저부(103)가 도 3b에 도시된 영역(17)의 상부면에 또는 그 주변에 위치되도록 선택된다. 제 1 반도체 층(13)의 진성 부분(105)은 복수의 개구부(11)의 하부 부분에 남아있는다.In the method of the fourth embodiment, the first polysilicon layer 13 is undoped deposited (ie intrinsic) as shown in FIG. 5B. The layer 13 is then implanted with phosphorus to a predetermined depth before or after the layer 13 is planarized with the top surface of the insulating layer 9 to form the implant region 101 as shown in FIG. 5C. do. The implant depth is selected such that the bottom 103 of the phosphorus implant region 101 is located at or near the top surface of the region 17 shown in FIG. 3B. The intrinsic portion 105 of the first semiconductor layer 13 remains in the lower portion of the plurality of openings 11.

그후, 제 1 폴리실리콘 층(13)은 개구부(11) 내의 층(13)을 오목화하도록 이방성 플라즈마 에칭을 사용(예를 들어, SF6, CF4, HBr/Cl2 또는 HBr/O2 플라즈마를 사용)하는 방식 등으로 선택적으로 에칭된다. 제 1 폴리실리콘 층(13)의 인 도핑 영역(101)은 도 5d에 도시된 바와 같이, 제 1 폴리실리콘 층의 진성 부분(105)이 도달될 때까지 에칭된다. 달리 말해서, 광학적으로 또는 RGA에 의해 검출된 바와 같이 에칭 단계 동안 인 주입 영역(101)의 저부(103)가 도달되고(따라서, 에칭 단계 동안 제 1 폴리실리콘 층(13)의 진성 부분(105)이 도달됨)나면, 에칭이 정지된다. 특히, 인 도핑 영역(101)의 저부(103)가 도달되었을 때, 광학적 종료 지점 검출에서 인 특성 파장의 강도가 감소하거나, RGA에 의해 검출된 인 함유 종의 양이 감소한다. 개구부(11) 내의 층(13)의 잔여 진성 부분(105)은 그후 도 5e에 도시된 바와 같이 n-형 부분(17)을 형성하기 위해 부분(105) 내로 인 또는 비소를 주입하는 방식 등으로 n-형 도핑제로 재도핑된다. 진성 반도체 층(21) 같은 제 2 반도체 층이 그후 도 3c에 도시된 바와 같이 부분(17) 상에 증착되고, 공정은 제 3 실시예에서와 같이 이어진다. p-형 저부 영역을 갖는 다이오드(27)를 형성하기 위해, 부분(105)은 리세스 에칭 이후 붕소 또는 BF2로 주입된다. 또한, 종료 지점 검출을 위해 인 주입 영역을 사용하는 대신, 붕소 또는 BF2 주입 영역이 사용되고, 특성 붕소 파장 또는 RGA 신호가 대신 감시된다.The first polysilicon layer 13 then uses an anisotropic plasma etch to recess the layer 13 in the opening 11 (eg, SF 6 , CF 4 , HBr / Cl 2 or HBr / O 2 plasma Is selectively etched). The phosphorus doped region 101 of the first polysilicon layer 13 is etched until the intrinsic portion 105 of the first polysilicon layer is reached, as shown in FIG. 5D. In other words, the bottom 103 of the phosphorus implant region 101 is reached during the etching step, optically or as detected by the RGA (thus, the intrinsic portion 105 of the first polysilicon layer 13 during the etching step). Is reached), the etching is stopped. In particular, when the bottom 103 of the phosphorus doped region 101 is reached, the intensity of the phosphorus characteristic wavelength in optical end point detection is reduced, or the amount of phosphorus containing species detected by the RGA is reduced. The remaining intrinsic portion 105 of the layer 13 in the opening 11 is then infused with phosphorus or arsenic into the portion 105 to form the n-type portion 17 as shown in FIG. 5E, and the like. re-doped with n-type dopant. A second semiconductor layer, such as intrinsic semiconductor layer 21, is then deposited on portion 17 as shown in FIG. 3C, and the process continues as in the third embodiment. To form a diode 27 having a p-type bottom region, the portion 105 is implanted with boron or BF 2 after the recess etch. In addition, instead of using the phosphorus implant region for end point detection, a boron or BF 2 implant region is used and the characteristic boron wavelength or RGA signal is monitored instead.

또한, 절연층(9)의 상부면과 함께 층(13)이 평탄화될 때를 결정하기 위해 광학적 종료 지점 검출이 사용될 수 있다. 층(13)이 평탄화되고 나면, 절연층(9)의 상부면이 노출된다. 따라서, 표면의 광학적 신호는 폴리실리콘 신호로부터 폴리실리콘과 절연체(실리콘 산화물 같은) 양자 모두가 존재하는 신호 특성으로 변한다.In addition, optical end point detection can be used to determine when layer 13 is planarized along with the top surface of insulating layer 9. After layer 13 is planarized, the top surface of insulating layer 9 is exposed. Thus, the optical signal on the surface changes from a polysilicon signal to a signal characteristic in which both polysilicon and insulator (such as silicon oxide) are present.

본 발명의 제 5 실시예에서, 필라형 장치를 형성하기 위해 희생층이 사용된다. 도 6a 내지 도 6g는 제 5 실시예의 방법의 단계를 예시한다.In a fifth embodiment of the invention, a sacrificial layer is used to form the pillared device. 6A-6G illustrate the steps of the method of the fifth embodiment.

먼저, 복수의 하부 전극(1)이 이전 실시예에 관하여 상술한 바와 같이 기판 위에 형성된다. 예를 들어, 제 1 및 제 2 실시예의 배리어(5)를 갖는 텅스텐 전극(1)이 제공될 수 있다(전극(1) 및 배리어(5)는 명료성을 위해 도 6a로부터 생략되어 있으며, 도 6g에 도시된 최종 장치에 도시되어 있다). 그후, 제 1 폭을 갖는 복수의 개구부(11)를 포함하는 절연층(9)이 전극(1) 및 배리어(5) 위에 제공된다(명료성을 위해 하나의 개구부(11)가 도 6a에 도시됨). 광학적 하드마스크 층(33)이 또한 절연층(9) 위에 형성된다. 그후, 제 1 도전형의 제 1 반도체 영역(n-형 폴리실리콘 영역 같은)(17)이 하부 전극 상에 형성된다. 예를 들어, 제 3 또는 제 4 실시예의 방법이 영역(17)을 형성하기 위해 사용될 수 있다. 그후, 희생 재료(35)가 복수의 제 1 개구부(11) 내에 형성된다. 희생 재료는 제 1 방법을 통한 이중 다마센에서 사용되는 임의의 적절한 용해가능한 유기 재료일 수 있다. 예를 들어, 브류어 사이언스, 인크(Brewer Science, Inc.)에 의해 제공된 Wet Gap Fill(WGF) 200 재료가 희생 재료(35)로서 사용될 수 있다. 이 공정의 이 스테이지의 장치가 도 6a에 도시되어 있다.First, a plurality of lower electrodes 1 are formed on the substrate as described above with respect to the previous embodiment. For example, a tungsten electrode 1 with a barrier 5 of the first and second embodiments may be provided (electrode 1 and barrier 5 are omitted from FIG. 6A for clarity and FIG. 6G Shown in the final device shown in FIG. Then, an insulating layer 9 comprising a plurality of openings 11 having a first width is provided over the electrode 1 and the barrier 5 (one opening 11 is shown in FIG. 6A for clarity). ). An optical hardmask layer 33 is also formed over the insulating layer 9. Thereafter, a first semiconductor region (such as an n-type polysilicon region) 17 of the first conductivity type is formed on the lower electrode. For example, the method of the third or fourth embodiment can be used to form the region 17. A sacrificial material 35 is then formed in the plurality of first openings 11. The sacrificial material can be any suitable dissolvable organic material used in double damascene through the first method. For example, a Wet Gap Fill (WGF) 200 material provided by Brewer Science, Inc. can be used as the sacrificial material 35. The apparatus of this stage of this process is shown in FIG. 6A.

그후, 도 6b에 도시된 바와 같이, BARC 층(37m) 같은 선택적 반사방지 층(37)이 절연층(9) 위에, 그리고, 선택적 하드마스크(33) 위에 형성된다. 그후, 포토레지스트 층(39)이 BARC 층(37) 위에서 노광 및 패턴화된다. 이 공정의 이 스테이지의 장치가 도 6b에 도시되어 있다.Then, as shown in FIG. 6B, an optional antireflective layer 37, such as BARC layer 37m, is formed over insulating layer 9 and over optional hardmask 33. As shown in FIG. Thereafter, photoresist layer 39 is exposed and patterned over BARC layer 37. The apparatus of this stage of this process is shown in FIG. 6B.

도 6c에 도시된 바와 같이, 그후, 패턴화된 포토레지스트는 개구부(11) 내의 희생 재료(35)를 노출하기 위해 절연층(9) 내에 복수의 제 2 개구부(41)(명료성을 위해 하나의 개구부(41)가 도 6c에 도시됨)를 에칭하기 위해 마스크로서 사용된다. 제 2 개구부(41)는 제 1 개구부(11) 보다 넓다. 희생 재료(35)의 일부는 제 2 개구부의 형성 동안 에칭될 수 있다. 제 2 개구부(41)는 트렌치형 개구부를 포함하고, 희생 재료는 트렌치의 저부의 일부에서 노출된다.As shown in FIG. 6C, the patterned photoresist is then subjected to a plurality of second openings 41 (one for clarity) in the insulating layer 9 to expose the sacrificial material 35 in the openings 11. Opening 41 is used as a mask to etch). The second opening 41 is wider than the first opening 11. A portion of the sacrificial material 35 may be etched during formation of the second opening. The second opening 41 includes a trench opening, and the sacrificial material is exposed at a portion of the bottom of the trench.

도 6d에 도시된 바와 같이, 희생 재료는 제 2 개구부(41)를 통해 제 1 개구부(11)로부터 선택적으로 제거된다. 임의의 적절한 액체 에칭 재료 또는 현상제가 개구부(11)로부터 재료(35)를 제거하기 위해 사용되어 개구부(11) 내의 n-형 폴리실리콘 영역(17)을 노출시킨다.As shown in FIG. 6D, the sacrificial material is selectively removed from the first opening 11 through the second opening 41. Any suitable liquid etch material or developer is used to remove material 35 from opening 11 to expose n-type polysilicon region 17 in opening 11.

그후, 도 6e에 도시된 바와 같이, 제 2 도전형 제 2 반도체 영역이 제 1 개구부(11) 내에 형성된다. 예를 들어, 진성 폴리실리콘 층(21)은 절연층(9) 위에, 그리고, 개구부(11, 41) 내에 형성될 수 있다.Thereafter, as shown in FIG. 6E, a second conductivity type second semiconductor region is formed in the first opening 11. For example, intrinsic polysilicon layer 21 may be formed over insulating layer 9 and in openings 11 and 41.

그후, 폴리실리콘 층(21)은 제 3 실시예에 설명된 방법을 사용하여 평탄화 및 오목화된다. 바람직하게는, 폴리실리콘 층(21)의 잔여 부분(23)은 그 상부면이 개구부(11)의 상부면과 평준화되도록 오목화된다(즉, 부분(23)의 상부는 트렌치(41)의 저부와 평준화된다). P-형 영역(25)이 그후 제 3 실시예에서 상술한 바와 같이 진성 영역(23) 내에 형성된다. 이 스테이지의 장치는 도 6f에 도시되어 있다. 영역(17, 23, 25)은 제 1 개구부(11) 내의 필라형 다이오드(27)를 형성한다.Thereafter, the polysilicon layer 21 is planarized and concave using the method described in the third embodiment. Preferably, the remaining portion 23 of the polysilicon layer 21 is concave so that its top surface is leveled with the top surface of the opening 11 (ie, the top of the portion 23 is the bottom of the trench 41). And leveling). P-type region 25 is then formed in intrinsic region 23 as described above in the third embodiment. The device of this stage is shown in FIG. 6F. Regions 17, 23, 25 form pillar-shaped diodes 27 in first opening 11.

그후, 도 6g에 도시된 바와 같이, 상부 전극이 다마센 프로세스에 의해 절연층(9) 내의 트렌치(41) 내에 형성되고, 그래서, 상부 전극이 다이오드(27)의 p-형 반도체 영역(25)과 접촉하게 된다. 상부 전극은 TiN 접착층(43)과 텅스텐 전도체(29)를 포함한다. 그후, 상부 전극은 절연층(9)의 상부면과 함께 CMP 또는 에치백에 의해 평탄화된다. 필요시, 하부 TiN 접착층(45)은 또한 하부 전극(1) 아래에도 형성될 수 있다. 트렌치는 약 200nm 내지 약 400nm의 깊이일 수 있으며, 다이오드(27)는 약 250nm 높이 같은 약 200nm 내지 약 400nm 높이일 수 있다.Then, as shown in FIG. 6G, an upper electrode is formed in the trench 41 in the insulating layer 9 by a damascene process, so that the upper electrode is formed of the p-type semiconductor region 25 of the diode 27. Contact with The upper electrode includes a TiN adhesive layer 43 and a tungsten conductor 29. Thereafter, the upper electrode is planarized by CMP or etch back together with the upper surface of the insulating layer 9. If desired, the lower TiN adhesive layer 45 may also be formed under the lower electrode 1. The trench may be about 200 nm to about 400 nm deep and the diode 27 may be about 200 nm to about 400 nm high, such as about 250 nm high.

필라형 장치는 제 1 내지 제 5 실시예 중 임의의 하나 이상에 관하여 상술된 임의의 하나 이상의 단계를 사용하여 형성될 수 있다. 사용되는 공정 단계에 따라서, 완성된 장치는 도 7a 및 도 7b에 도시된 이하의 형상부 중 하나 이상을 가질 수 있다.The pillared device may be formed using any one or more of the steps described above with respect to any one or more of the first through fifth embodiments. Depending on the process steps used, the finished device may have one or more of the following features shown in FIGS. 7A and 7B.

예를 들어, 도 7a에 도시된 바와 같이, 다이오드(27)의 n-형 영역(17)은 제 1 수직 접합선(47)을 포함하고, 다이오드(27)의 p-형 영역(25)(그리고, 진성 영역(23))은 제 2 수직 접합선(49)을 포함할 수 있다. 접합선(47, 49)은 폴리실리콘 층(13, 21)의 증착이 별개의 증착 단계 동안 개구부(11)를 완전히 충전하지 않으면 형성될 수 있다. 제 1 수직 접합선(47) 및 제 2 수직 접합선(49)은 서로 접촉하지 않는다. 접합선들은 서로 접촉하지 않으며, 그 이유는 폴리실리콘 층(13, 21)이 도 3a 내지 도 3e에 도시된 바와 같이 별개의 단계에서 증착되기 때문이다. 구체적으로, 특정 이론에 제한되지 않고, 층(21)의 저부 부분이 완전히 개구부(11)를 충전할 수 있기 때문에 영역(17)에 접촉하는 층(21)의 저부 부분은 접합선을 형성하지 않는 것으로 믿어진다. 그러나, 폴리실리콘 층(13, 21)의 증착 공정에 따라 접합선이 생략될 수 있다.For example, as shown in FIG. 7A, the n-type region 17 of the diode 27 includes a first vertical junction 47, and the p-type region 25 (and of the diode 27). Intrinsic region 23 may include a second vertical seam 49. Junction lines 47 and 49 may be formed if the deposition of polysilicon layers 13 and 21 does not completely fill the opening 11 during a separate deposition step. The first vertical seam 47 and the second vertical seam 49 do not contact each other. The bond lines do not contact each other because the polysilicon layers 13 and 21 are deposited in separate steps as shown in FIGS. 3A-3E. Specifically, without being limited to a particular theory, since the bottom portion of the layer 21 can completely fill the opening 11, the bottom portion of the layer 21 in contact with the region 17 does not form a seam. It is believed. However, the seam may be omitted depending on the deposition process of the polysilicon layers 13 and 21.

또한, 역시 도 7a에 도시된 바와 같이, 제 1 도전형의 영역(n-형 영역(17) 같은)의 측벽(51)은 다이오드의 제 2 도전형의 영역(p-형 영역(25) 및/또는 진성 영역(23) 같은)의 측벽(53)과는 다른 테이퍼 각도를 가질 수 있다. 서로 다르게 테이퍼진 측벽(51, 53)이 만나는 다이오드(27)의 측벽에 불연속부(55)가 위치된다. 특히, 제 1 도전형의 영역(17)은 제 2 도전형의 영역(25)보다 좁은 테이퍼 각도를 가지며, 불연속부(55)는 진성 반도체 영역(23)과 n-형 도전형의 영역(17) 사이의 다이오드의 측벽 내의 단차부이다. 특정 이론에 제한되지 않고, 도 3b에 도시된 층(13)의 리세스 에치백이 도 3a에 도시된 절연층(9) 내의 개구부(11)를 에칭하는 단계보다 더 등방성이기 때문에 서로 다른 테이퍼 및 불연속부가 형성될 수 있는 것으로 믿어진다. 따라서, 층(13)의 에치백 동안, 개구부(11)의 상부 부분(19) 또한 에칭되고, 개구부(11)의 하부 부분에 비해 넓어진다. 따라서, 개구부(11)의 하부 및 상부 부분을 각각 충전하는 층(13, 21)은 개구부의 각 부분의 서로 다른 테이퍼를 취한다. 서로 다른 테이퍼 및 불연속부는 층(13)의 리세스 에칭 단계가 개구부의 상부 부분(19)을 확장시키지 않고 수행되는 경우 회피될 수 있다.Also, as also shown in FIG. 7A, the sidewalls 51 of the first conductivity type region (such as the n-type region 17) are the second conductivity type region (p-type region 25) of the diode and And / or have a different taper angle than the sidewalls 53 (such as intrinsic region 23). The discontinuities 55 are located on the sidewalls of the diode 27 where the tapered sidewalls 51 and 53 meet differently. In particular, the first conductivity type region 17 has a narrower taper angle than the second conductivity type region 25, and the discontinuities 55 are the intrinsic semiconductor region 23 and the n-type conductivity region 17. ) Is the step in the sidewall of the diode. Without being limited to a particular theory, different taper and discontinuities are possible because the recess etch back of the layer 13 shown in FIG. 3B is more isotropic than the step of etching the opening 11 in the insulating layer 9 shown in FIG. 3A. It is believed that additions can be made. Thus, during the etch back of the layer 13, the upper portion 19 of the opening 11 is also etched and wider than the lower portion of the opening 11. Thus, the layers 13 and 21 filling the lower and upper portions of the opening 11 respectively take different taper of each portion of the opening. Different taper and discontinuities can be avoided if the recess etch step of the layer 13 is performed without expanding the upper portion 19 of the opening.

배리어(5)가 도 2b에 도시된 바와 같이 절연층(9) 내의 개구부(11)를 통해 전극(1)을 질화함으로써 형성되는 경우, 그후, 필라형 다이오드(27)의 적어도 하나의 측벽에 인접하게 위치한 절연층(9)의 부분이 질화된다. 예를 들어, 도 2b 및 도 7a에 도시된 바와 같이, 층(9)이 실리콘 산화물인 경우, 이때, 실리콘 옥시질화물 같은 질화된 산화물 또는 질소 함유 실리콘 산화물 영역(14)이 다이오드(27) 주변의 개구부(11)의 측벽(12) 상에 형성된다. 또한, 다이오드의 p-형 영역(25)에 인접한 절연층(9)의 상부 부분은 붕소 구배를 포함하는 경우, 이때, 이는 도 3e 및 도 7a에 도시된 바와 같이, 영역(25)을 형성하기 위해 영역(23)의 상부 부분 내로 주입되는 것에 추가하여 절연층(9) 내로 붕소가 주입된다는 것을 나타낸다.When the barrier 5 is formed by nitriding the electrode 1 through the opening 11 in the insulating layer 9 as shown in FIG. 2B, it is then adjacent to at least one sidewall of the pillar-shaped diode 27. A portion of the insulating layer 9 which is positioned so as to be nitrided. For example, as shown in FIGS. 2B and 7A, where layer 9 is silicon oxide, then nitrided oxide or nitrogen containing silicon oxide regions 14, such as silicon oxynitride, may be formed around diodes 27. It is formed on the side wall 12 of the opening 11. In addition, if the upper portion of the insulating layer 9 adjacent to the p-type region 25 of the diode comprises a boron gradient, this is to form the region 25, as shown in FIGS. 3E and 7A. In addition to being implanted into the upper portion of the region 23, boron is implanted into the insulating layer 9.

도 7b는 배리어(5, 6) 주변의 도 7a의 삽입 부분(inset portion)을 도시한다. 필라형 다이오드가 도 2a, 도 2b 및 도 7b에 도시된 바와 같이 텅스텐 전극과 부분적으로 오정렬되면, 이때, 텅스텐 질화물 배리어(5)는 텅스텐 전극(1)의 상부면에 위치되고, 텅스텐 질화물 배리어(6)는 도 7b에 도시된 바와 같이 텅스텐 전극(1)의 측벽의 적어도 일부에 위치된다. 또한, 배리어(5)가 도 1c 및 도 1d에 도시된 바와 같이 절연층(9)을 형성하기 이전에 텅스텐 전극(1)을 질화함으로써 형성되는 경우, 이때, 1-10nm 두께 질소 농후 영역(7) 같은 얇은 질소 농후 영역이 하부 절연층 또는 재료(3)의 상부에 형성된다. 예를 들어, 층(3)이 실리콘 산화물 같은 산화물을 포함하는 경우, 이때, 그 상부 부분(7)은 실리콘 옥시질화물 또는 질소 함유 실리콘 산화물을 형성하도록 질화된다.FIG. 7B shows the inset portion of FIG. 7A around the barriers 5, 6. If the pillar-type diode is partially misaligned with the tungsten electrode as shown in Figs. 2A, 2B and 7B, then the tungsten nitride barrier 5 is located on the top surface of the tungsten electrode 1 and the tungsten nitride barrier ( 6) is located at least a part of the side wall of the tungsten electrode 1 as shown in FIG. 7B. Further, when the barrier 5 is formed by nitriding the tungsten electrode 1 prior to forming the insulating layer 9 as shown in Figs. 1C and 1D, at this time, a 1-10 nm thick nitrogen rich region 7 A thin nitrogen rich region such as) is formed on top of the lower insulating layer or material 3. For example, if layer 3 comprises an oxide such as silicon oxide, then its upper portion 7 is nitrided to form silicon oxynitride or nitrogen containing silicon oxide.

본 발명의 다른 실시예는 종래 기술에 사용되는 차감식 방법의 제한을 극복하도록 절연층에서 이전에 형성된 개구부 내로 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 필라를 선택적으로 증착함으로써 필라 장치를 제조하는 방법을 제공한다. 선택적 증착 방법은 절연층에서 개구부에 노출된 티타늄 질화물, 텅스텐 또는 기타 전도체 같은 전기 전도성 재료를 제공하는 것을 포함한다. 실리콘 시드 층이 그후 티타늄 질화물 상에 증착된다. 그후, 어떠한 게르마늄 또는 게르마늄 농후 실리콘 게르마늄도 절연층의 상부면에 증착되지 않는 상태로 게르마늄 또는 게르마늄 농후 실리콘 게르마늄(즉, 50 원자 퍼센트 이상의 Ge를 함유하는 SiGe)이 개구부에서 실리콘 시드 층 상에 선택적으로 증착된다. 이는 차감식 방법에서 사용되는 산화물 CMP 또는 에치백 단계를 제거한다. 바람직하게는 실리콘 시드 층 및 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 필라는 440℃ 미만의 온도 같은 낮은 온도에서 화학 증기 증착에 의해 증착된다.Another embodiment of the present invention provides a method of manufacturing a pillar device by selectively depositing germanium or germanium rich silicon germanium pillar into an opening previously formed in an insulating layer to overcome the limitation of the subtractive method used in the prior art. Selective deposition methods include providing electrically conductive materials such as titanium nitride, tungsten or other conductors exposed in the openings in the insulating layer. A silicon seed layer is then deposited on the titanium nitride. Thereafter, germanium or germanium rich silicon germanium (i.e., SiGe containing at least 50 atomic percent Ge) is selectively formed on the silicon seed layer at the opening, with no germanium or germanium rich silicon germanium deposited on the top surface of the insulating layer. Is deposited. This eliminates the oxide CMP or etch back step used in the subtractive method. Preferably the silicon seed layer and the germanium or germanium rich silicon germanium pillar are deposited by chemical vapor deposition at low temperatures such as below 440 ° C.

티타늄 질화물 같은 전기 전도성 재료는 임의의 적절한 방법에 의해 개구부에 제공될 수 있다. 예를 들어, 일 실시예에서, 티타늄 질화물 층이 기판 위에 형성되고, 그후, 패턴으로 포토리소그래피 패턴화된다. 대안적으로, 티타늄 텅스텐 또는 텅스텐 질화물 같은 다른 재료가 티타늄 질화물 대신 사용될 수 있다. 패턴은 레일형 전극 같은 전극을 포함할 수 있다. 그후, 티타늄 질화물 전극 같은 티타늄 질화물 패턴 상에 절연층이 형성된다. 그후, 티타늄 질화물 패턴을 노출하도록 에칭에 의해 절연층에 개구부가 형성된다. 대안적 실시예에서, 전도성 질화물 패턴은 절연층에서 개구부에 선택적으로 형성된다. 예를 들어, 티타늄 질화물 또는 텅스텐 질화물 패턴은 개구부의 저부에 노출된 티타늄 또는 텅스텐 층을 질화시킴으로써 절연층에서 개구부에 선택적으로 형성될 수 있다.Electrically conductive materials such as titanium nitride can be provided in the openings by any suitable method. For example, in one embodiment, a titanium nitride layer is formed over the substrate and then photolithographically patterned in a pattern. Alternatively, other materials such as titanium tungsten or tungsten nitride may be used in place of titanium nitride. The pattern may comprise electrodes, such as rail-shaped electrodes. Thereafter, an insulating layer is formed on the titanium nitride pattern, such as a titanium nitride electrode. An opening is then formed in the insulating layer by etching to expose the titanium nitride pattern. In alternative embodiments, the conductive nitride pattern is selectively formed in openings in the insulating layer. For example, a titanium nitride or tungsten nitride pattern may be selectively formed in the opening in the insulating layer by nitriding the titanium or tungsten layer exposed at the bottom of the opening.

필라 장치는 다이오드, 트랜지스터 등 같은 임의의 적절한 반도체 장치의 일부를 포함할 수 있다. 바람직하게는 필라 장치는 p-i-n 다이오드 같은 다이오드를 포함한다. 본 실시예에서, 개구부 내로 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료를 선택적으로 증착하는 단계는 p-i-n 다이오드를 형성하기 위해 개구부 내로 제 1 도전형(n-형 같은)의 반도체 재료를 선택적으로 증착하고, 후속하여, 진성 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료를 선택적으로 증착하고, 후속하여, 제 2 도전형(p-형 같은)의 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료를 선택적으로 증착하는 것을 포함한다. 따라서, p-i-n 다이오드의 모든 3개 영역이 개구부 내로 선택적으로 증착된다. 대안적으로, 덜 양호한 실시예에서, 제 2 도전형의 반도체 재료를 선택적으로 증착하는 대신, p-i-n 다이오드를 형성하도록 진성 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료의 상부 부분 내로 p-형 도핑제 같은 제 2 도전형의 도핑제를 주입함으로써 다이오드가 완성될 수 있다. 물론, p-형 및 n-형 영역의 위치는 필요시 반전될 수 있다. p-n 형 다이오드를 형성하기 위해, 제 1 도전형(n-형 같은)의 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료가 개구부 내로 선택적으로 증착되고, 후속하여, 제 2 도전형(p-형 같은)의 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료가 제 1 도전형의 반도체 재료 위에 선택적으로 증착되어 다이오드를 형성한다.The pillar device may comprise part of any suitable semiconductor device, such as a diode, a transistor, or the like. Preferably the pillar device comprises a diode such as a p-i-n diode. In this embodiment, the step of selectively depositing germanium or germanium rich silicon germanium semiconductor material into the opening selectively deposits a first conductive type (such as n-type) semiconductor material into the opening to form a pin diode, and subsequently Thereby selectively depositing an intrinsic germanium or germanium rich silicon germanium semiconductor material and subsequently depositing a second conductivity type (such as p-type) germanium or germanium rich silicon germanium semiconductor material. Thus, all three regions of the p-i-n diode are selectively deposited into the openings. Alternatively, in a less preferred embodiment, instead of selectively depositing a second conductivity type semiconductor material, a second, such as p-type dopant, into the upper portion of the intrinsic germanium or germanium rich silicon germanium semiconductor material to form a pin diode. The diode can be completed by injecting a conductive dopant. Of course, the positions of the p-type and n-type regions can be reversed if necessary. To form a pn type diode, a first conductivity type (such as n-type) germanium or germanium rich silicon germanium semiconductor material is selectively deposited into the opening, followed by a second conductivity type (such as p-type) germanium Or a germanium rich silicon germanium semiconductor material is selectively deposited on the semiconductor material of the first conductivity type to form a diode.

도 8a 내지 도 8d는 선택적 증착을 사용하여 필라 장치를 형성하는 양호한 방법을 도시한다.8A-8D illustrate a preferred method of forming pillar devices using selective deposition.

도 8a를 참조하면, 장치는 기판(100) 위에 형성된다. 기판(100)은 단결정 실리콘, 실리콘-게르마늄 또는 실리콘-게르마늄-카본 같은 IV-IV 화합물, III-V 화합물, II-VI 화합물, 이런 기판 상의 에피텍셜 층 또는 유리, 플라스틱, 금속 또는 세라믹 기판 같은 임의의 다른 반도체 또는 비 반도체 재료 같은 본 기술 분야에 공지된 임의의 반도체 기판일 수 있다. 기판은 메모리 장치를 위한 구동 회로 같은 그 위에 제조된 집적 회로를 포함할 수 있다. 절연층(102)은 기판(100) 위에 형성되는 것이 바람직하다. 절연층(102)은 실리콘 산화물, 실리콘 질화물, 고 유전 상수 필름, Si-C-O-H 필름 또는 임의의 다른 적절한 절연 재료일 수 있다.Referring to FIG. 8A, an apparatus is formed over a substrate 100. Substrate 100 may be an IV-IV compound, such as monocrystalline silicon, silicon-germanium, or silicon-germanium-carbon, an III-V compound, an II-VI compound, an epitaxial layer on such a substrate, or any such as a glass, plastic, metal, or ceramic substrate. May be any semiconductor substrate known in the art, such as other semiconductor or non-semiconductor materials. The substrate may include integrated circuits fabricated thereon, such as drive circuits for memory devices. The insulating layer 102 is preferably formed on the substrate 100. Insulating layer 102 may be silicon oxide, silicon nitride, a high dielectric constant film, a Si—C—O—H film, or any other suitable insulating material.

제 1 전기 전도층(200)은 기판(100) 및 절연층(102) 위에 형성된다. 전도층(200)은 텅스텐 및/또는 알루미늄, 탄탈륨, 티타늄, 구리, 코발트 또는 그 합금을 포함하는 기타 재료 같은 본 기술 분야에 공지된 임의의 전도성 재료를 포함할 수 있다. 절연층(102)과 전도층 사이에 접착층이 포함되어 절연층(102)에 대한 전도층의 접착을 도울 수 있다.The first electrically conductive layer 200 is formed over the substrate 100 and the insulating layer 102. Conductive layer 200 may comprise any conductive material known in the art, such as tungsten and / or other materials including aluminum, tantalum, titanium, copper, cobalt or alloys thereof. An adhesive layer may be included between the insulating layer 102 and the conductive layer to help adhesion of the conductive layer to the insulating layer 102.

TiN 층 같은 배리어 층(202)이 제 1 전도층(200)의 상부에 증착된다. 제 1 전도층(200)의 상부면이 텅스텐인 경우, 이때, 텅스텐의 상부면을 질화시킴으로써 TiN 대신 전도층(200)의 상부에 텅스텐 질화물이 형성될 수 있다. 예를 들어, 하기의 전도층 조합이 사용될 수 있다: Ti(저부)/Al/TiN(상부) 또는 Ti/TiN/Al/TiN 또는 Ti/Al/TiW 또는 이들 층들의 임의의 조합. 저부 Ti 또는 Ti/TiN 층은 접착층으로서 작용하고, Al 층은 전도층(200)으로서 작용할 수 있으며, 최상부의 TiN 또는 TiW 층은 배리어 층(202) 및 전극(204)의 패터닝을 위한 반사방지 코팅으로서, 절연층(108)의 후속 CMP를 위한 선택적 연마 정지 재료로서(층(108)이 두 개의 단계로 증착되는 경우), 그리고, 후술될 바와 같이 선택적 실리콘 시드 증착 기판으로서 기능할 수 있다.A barrier layer 202, such as a TiN layer, is deposited on top of the first conductive layer 200. When the top surface of the first conductive layer 200 is tungsten, tungsten nitride may be formed on the top of the conductive layer 200 instead of TiN by nitriding the top surface of the tungsten. For example, the following conductive layer combinations may be used: Ti (bottom) / Al / TiN (top) or Ti / TiN / Al / TiN or Ti / Al / TiW or any combination of these layers. The bottom Ti or Ti / TiN layer acts as an adhesive layer, the Al layer may act as the conductive layer 200, and the top TiN or TiW layer may be an antireflective coating for patterning the barrier layer 202 and the electrode 204. As an optional polishing stop material for subsequent CMP of insulating layer 108 (when layer 108 is deposited in two steps), and as an optional silicon seed deposition substrate, as described below.

최종적으로, 전도층(200) 및 배리어층(202)은 임의의 적절한 마스킹 및 에칭 공정을 사용하여 패턴화된다. 일 실시예에서, 포토레지스트층이 배리어층(202) 위에 증착되고, 포토리소그래피에 의해 패턴화되고, 층(200, 202)이 마스크로서 포토레지스트 층을 사용하여 에칭된다. 그후, 포토레지스트층은 표준 처리 기술을 사용하여 제거된다. 결과적 구조체가 도 8a에 도시되어 있다. 전도층(200) 및 배리어층(202)은 메모리 장치의 레일형 저부 전극(204)으로 패턴화된다. 대안적으로, 전극(204)은 대신 다마센 방법에 의해 형성될 수 있으며, 여기서, 적어도 전도층(200)이 증착 및 후속 평탄화에 의해 절연층에서 홈 내에 형성된다.Finally, conductive layer 200 and barrier layer 202 are patterned using any suitable masking and etching process. In one embodiment, a photoresist layer is deposited over the barrier layer 202, patterned by photolithography, and the layers 200, 202 are etched using the photoresist layer as a mask. The photoresist layer is then removed using standard processing techniques. The resulting structure is shown in FIG. 8A. Conductive layer 200 and barrier layer 202 are patterned with rail-shaped bottom electrode 204 of the memory device. Alternatively, the electrode 204 may instead be formed by a damascene method, wherein at least the conductive layer 200 is formed in the groove in the insulating layer by deposition and subsequent planarization.

다음에, 도 8b를 참조하면, 절연층(108)은 전극들(204) 사이에, 그리고, 그 위에 증착된다. 절연층(108)은 실리콘 산화물, 실리콘 질화물 또는 실리콘 옥시질화물과 같은 임의의 전기 절연 재료일 수 있다. 절연층(108)은 하나의 단계로 증착되고, 그후, 평탄한 표면을 얻도록 소정량의 시간 동안 CMP에 의해 평탄화된다. 대안적으로, 절연층(108)은 두 개의 별개의 서브층으로서 증착될 수 있으며, 여기서, 제 1 서브층은 전극(204) 사이에 형성되고, 제 2 서브층은 전극(204) 위 및 제 1 서브층 위에 증착된다. 제 1 CMP 단계는 연마 정지부로서 배리어 층(202)을 사용하여 제 1 서브층을 평탄화하기 위해 사용될 수 있다. 제 2 CMP 단계는 평탄한 표면을 얻기 위해 소정량의 시간 동안 제 2 서브층을 평탄화하기 위해 사용될 수 있다.Next, referring to FIG. 8B, an insulating layer 108 is deposited between and on the electrodes 204. Insulating layer 108 may be any electrically insulating material, such as silicon oxide, silicon nitride, or silicon oxynitride. The insulating layer 108 is deposited in one step and then planarized by CMP for a predetermined amount of time to obtain a flat surface. Alternatively, insulating layer 108 may be deposited as two separate sublayers, where a first sublayer is formed between electrodes 204, and a second sublayer is formed over electrode 204 and the first sublayer. 1 is deposited over the sublayer. The first CMP step may be used to planarize the first sublayer using the barrier layer 202 as a polishing stop. The second CMP step may be used to planarize the second sublayer for a predetermined amount of time to obtain a flat surface.

그후, 절연층(108)은 전극(204)의 배리어(202)의 상부면으로 연장하여 이를 노출하는 개구부(110)를 형성하기 위해 포토리소그래피 패턴화된다. 개구부(110)는 아래의 전극(204)과 대략 동일한 피치 및 대략 동일한 폭을 가져서 도 8c에 도시된 각 반도체 필라(300)가 각각의 전극(204)의 상부에 형성되도록 하여야 한다. 약간의 오정렬은 허용된다. 결과적 구조가 도 8b에 도시되어 있다.The insulating layer 108 is then photolithographically patterned to form openings 110 that extend to and expose the top surface of the barrier 202 of the electrode 204. The opening 110 should have approximately the same pitch and approximately the same width as the electrode 204 below so that each semiconductor pillar 300 shown in FIG. 8C is formed on top of each electrode 204. Some misalignment is allowed. The resulting structure is shown in Figure 8b.

도 8c를 참조하면, 수직 반도체 필라(300)는 TiN 배리어(202) 위의 개구부(110) 내에 선택적으로 형성된다. 필라의 반도체 재료는 게르마늄 또는 게르마늄 농후 실리콘 게르마늄일 수 있다. 간결성을 위해, 이 설명은 게르마늄으로서 반도체 재료를 설명하지만, 숙련된 실시자는 임의의 적절한 재료를 대신 선택할 수 있다는 것을 이해할 수 있을 것이다.Referring to FIG. 8C, vertical semiconductor pillars 300 are selectively formed in openings 110 over TiN barrier 202. The semiconductor material of the pillar may be germanium or germanium rich silicon germanium. For brevity, this description describes the semiconductor material as germanium, but a skilled practitioner will understand that any suitable material may be selected instead.

게르마늄 필라(300)는 도 8c에 도시된 바와 같이 TiN 배리어 위에 위치한 얇은 Si 시드 층 상에 선택적으로 저압 화학 증기 증착(LPCVD)에 의해 선택적으로 증착될 수 있다. 예를 들어, 본 명세서에 참조로 통합되어 있는 2005년 6월 22일자로 출원된 미국 출원 제 11/159,031호(미국 출원 공개 2006/0292301 A1호로 공개됨)에 개시된 방법이 Ge 필라를 증착하기 위해 사용될 수 있다. 바람직하게는 전체 필라(300)는 선택적으로 증착된다. 그러나, 덜 양호한 실시예에서, 시드 층/TiN 배리어 상에 증착된 필라(300)의 약 최초 20nm만이 다이오드의 측벽 단락을 방지하기 위해 이산화실리콘에 대한 높은 선택성을 가져야만하며, 필라의 나머지는 비선택적으로 증착될 수 있다.Germanium pillar 300 may be selectively deposited by low pressure chemical vapor deposition (LPCVD) on a thin Si seed layer located over the TiN barrier as shown in FIG. 8C. For example, the method disclosed in U.S. Application No. 11 / 159,031 filed on Jun. 22, 2005, published as U.S. Application Publication 2006/0292301 A1, incorporated herein by reference, may be used to deposit Ge pillars. Can be. Preferably the entire pillar 300 is selectively deposited. In a less preferred embodiment, however, only about the first 20 nm of pillar 300 deposited on the seed layer / TiN barrier should have high selectivity for silicon dioxide to prevent sidewall shorting of the diode, with the rest of the pillar being non- May be optionally deposited.

예를 들어, 도 9a에 도시된 바와 같이, 얇은 Si 시드 층이 1 Torr의 압력 및 380℃에서 60분 동안 SiH4의 500 sccm 유동에 의해 TiN 상에 증착된다. 그후, 실란 유동이 중지되고, 100 sccm의 GeH4가 동일한 온도 및 압력에서 유동되어 Ge를 증착한다. Ge는 예를 들어, 340℃ 같은 380℃ 미만의 온도에서 증착될 수 있다. 도 9a의 SEM 이미지는 10분 증착 이후, 약 40nm의 게르마늄이 TiN 층상에 위치한 Si 시드 층 상에 선택적으로 증착되었다는 것을 보여준다. 도 9b에 도시된 바와 같이, TiN 층이 생략될 때 SiO2 표면 상에는 어떠한 게르마늄 증착도 관찰되지 않는다. 양 단계 모두가 380℃ 이하의 온도에서 수행되는 상태로 2 단계 증착을 사용함으로써, Ge는 TiN 상에 선택적으로 증착될 수 있고, 인접한 SiO2 표면 상에는 증착되지 않는다. 평탄한 Ge 필름의 2 단계 증착의 예가 본 명세서에 참조로 통합되어 있는 에스. 비. 헤르너(S. B. Herner)의 "전자화학 및 고상 학문(Electrochmical and Solid-State Letters)(9(5) G161-G163)(2006)"에 설명되어 있다. 바람직하게는, 실리콘 시드 층이 440℃ 미만의 온도에서 증착되고, 게르마늄 필라는 400℃ 미만의 온도에서 증착된다.For example, as shown in FIG. 9A, a thin Si seed layer is deposited on TiN by a 500 sccm flow of SiH 4 for 60 minutes at a pressure of 1 Torr and 380 ° C. Thereafter, the silane flow is stopped and 100 sccm of GeH 4 is flowed at the same temperature and pressure to deposit Ge. Ge may be deposited at a temperature below 380 ° C., for example 340 ° C. The SEM image of FIG. 9A shows that after 10 minutes deposition, about 40 nm of germanium was selectively deposited on the Si seed layer located on the TiN layer. As shown in FIG. 9B, no germanium deposition is observed on the SiO 2 surface when the TiN layer is omitted. By using two-step deposition with both steps performed at temperatures below 380 ° C., Ge can be selectively deposited on TiN and not on adjacent SiO 2 surfaces. An example of two-step deposition of planar Ge films is incorporated herein by reference. ratio. SB Herner's "Electrochmical and Solid-State Letters (9 (5) G161-G163) (2006)". Preferably, the silicon seed layer is deposited at a temperature below 440 ° C. and the germanium pillar is deposited at a temperature below 400 ° C.

양호한 실시예에서, 필라는 반도체 접합 다이오드를 포함한다. 용어 접합 다이오드는 본 명세서에서 일 전극에서 p-형이고, 다른 전극에서 n-형인 반도체 재료로 이루어진, 두 개의 단자 전극을 갖는 논-오믹(non-ohmic) 전도 특성을 가지는 반도체 장치를 지칭하기 위해 사용된다. 예는 진성(비도핑) 반도체 재료가 p-형 반도체 재료와 n-형 반도체 재료 사이에 개재되어 있는 p-i-n 다이오드 및 제너(Zener) 다이오드 같은, 접촉하는 n-형 반도체 재료 및 p-형 반도체 재료를 갖는 p-n 다이오드 및 n-p 다이오드를 포함한다.In a preferred embodiment, the pillar comprises a semiconductor junction diode. The term junction diode is used herein to refer to a semiconductor device having non-ohmic conducting properties with two terminal electrodes, which is made of a semiconductor material that is p-type at one electrode and n-type at another electrode. Used. Examples include contacting n-type semiconductor materials and p-type semiconductor materials, such as pin diodes and Zener diodes, wherein the intrinsic (non-doped) semiconductor material is interposed between the p-type semiconductor material and the n-type semiconductor material. And pn diodes and np diodes.

다이오드(300)의 저부 고 도핑 영역(112)은 선택적 증착 및 도핑에 의해 형성될 수 있다. 게르마늄은 증착되고 그후 도핑될 수 있지만, 게르마늄의 선택적 CVD 동안 n-형 도핑제 원자, 예를 들어, 인을 제공하는 도핑제 함유 가스(즉, 게르마늄 가스에 추가된 인 가스의 형태)를 유동시킴으로써 현장 도핑되는 것이 바람직하다. 고 도핑 영역(112)은 바람직하게는 약 10 내지 약 80nm 두께일 수 있다.Bottom high doped region 112 of diode 300 may be formed by selective deposition and doping. Germanium may be deposited and then doped, but by flowing a dopant containing gas (ie, in the form of phosphorus gas added to germanium gas) that provides n-type dopant atoms, such as phosphorus, during selective CVD of germanium It is preferred to be doped in situ. Highly doped region 112 may preferably be about 10 to about 80 nm thick.

그후, 진성 다이오드 영역(114)은 선택적 CVD 방법에 의해 형성될 수 있다. 진성 영역(114) 증착은 별개의 CVD 단계 동안 수행될 수 있거나, 영역(112)의 증착과 동일한 CVD 단계 동안 인과 같은 도핑제 가스의 흐름을 중단시킴으로써 수행될 수 있다. 진성 영역(114)은 약 110 내지 약 330nm, 바람직하게는 약 200nm 두께일 수 있다. 그후, 선택적 CMP 프로세스가 수행되어 절연층(108)의 상부의 임의의 가교된 진성 게르마늄을 제거하고 후속 리소그래피 단계를 위한 준비를 위해 표면을 평탄화한다. 다음으로, p-형 상부 영역(116)이 선택적인 CVD 방법에 의해 형성된다. p-형 상부 영역(116) 증착은 영역(114) 증착 단계로부터 별개의 CVD 단계 동안 수행될 수 있으며, 영역(114) 증착 단계와 동일한 CVD 단계 동안 붕소 트리클로라이드 같은 도핑제 가스의 유동을 턴 온 시킴으로써 수행될 수 있다. p-형 영역(116)은 약 10 내지 약 80nm 두께일 수 있다. 선택적 CMP 프로세스는 그후 절연층(108)의 상부 상에 임의의 가교된 p-형 게르마늄을 제거하고 표면을 평탄화하여 후속 리소그래피 단계를 위한 준비를 위해 수행될 수 있다. 대안적으로, p-형 영역(116)은 진성 영역(114)의 상부 영역 내로의 이온 주입에 의해 형성될 수 있다. p-형 도핑제는 붕소 또는 BF2인 것이 바람직하다. p-형 영역(116)의 형성은 필라형 다이오드(300)의 형성을 완료시킨다. 결과적 구조가 도 8c에 도시되어 있다.Intrinsic diode region 114 may then be formed by a selective CVD method. Intrinsic region 114 deposition may be performed during a separate CVD step, or may be performed by stopping the flow of dopant gas, such as phosphorus, during the same CVD step as the deposition of region 112. The intrinsic region 114 may be about 110 to about 330 nm, preferably about 200 nm thick. A selective CMP process is then performed to remove any crosslinked intrinsic germanium on top of insulating layer 108 and to planarize the surface for preparation for subsequent lithography steps. Next, p-type upper region 116 is formed by a selective CVD method. The p-type top region 116 deposition may be performed during a separate CVD step from the region 114 deposition step, turning on the flow of dopant gas such as boron trichloride during the same CVD step as the region 114 deposition step. Can be carried out. P-type region 116 may be about 10 to about 80 nm thick. An optional CMP process may then be performed on the top of insulating layer 108 to remove any crosslinked p-type germanium and planarize the surface to prepare for subsequent lithography steps. Alternatively, p-type region 116 may be formed by ion implantation into the upper region of intrinsic region 114. Preferably, the p-type dopant is boron or BF 2 . Formation of p-type region 116 completes formation of pillar-type diode 300. The resulting structure is shown in FIG. 8C.

예시적 실시예에서, 저부 영역(112)은 N+(고 도핑 n-형)이고, 상부 영역(116)은 P+이다. 그러나, 수직 필라는 또한 다른 구조를 포함할 수 있다. 예를 들어, 저부 영역(112)은 N+ 상부 영역(116)을 갖는 P+일 수 있다. 부가적으로, 중간 영역은 의도적으로 경 도핑되거나 진성일 수 있거나 의도적으로 도핑되지 않을 수 있다. 비도핑 영역은 절대 완전히 전기적 중성이 아니며, 항상 결함 또는 오염물을 가지고, 이는 비도핑 영역이 약간 n-도핑 또는 p-도핑된 것 처럼 거동하게 한다. 이런 다이오드는 p-i-n 다이오드로 간주될 수 있다. 따라서, P+/N-/N+, P+/P-/N+, N+/N-/P+ 또는 N+/P_/P+ 다이오드가 형성될 수 있다.In an exemplary embodiment, bottom region 112 is N + (highly doped n-type) and top region 116 is P + . However, vertical pillars may also include other structures. For example, bottom region 112 may be P + with N + top region 116. In addition, the intermediate region may be intentionally light doped or intrinsic or may not be intentionally doped. The undoped region is never completely electrically neutral and always has defects or contaminants, which causes the undoped region to behave as though it is slightly n-doped or p-doped. Such a diode can be considered a pin diode. Thus, P + / N - / N +, P + / P - a / P + or N + / P _ / P + diode may be formed - / N +, N + / N.

필라(300)의 피치 및 폭은 개구부(110)에 의해 형성되고, 필요에 따라 변할 수 있다. 일 양호한 실시예에서, 필라의 피치(하나의 필라의 중심으로부터 다음 필라의 중심까지의 거리)는 약 300nm이며, 필라의 폭은 약 100과 약 150nm 사이에서 변한다. 다른 양호한 실시예에서, 필라의 피치는 약 260nm이고, 필라의 폭은 약 90과 약 130nm 사이에서 변한다. 일반적으로, 필라(300)는 250nm 이하의 직경을 갖는 원형 또는 대략 원형 단면을 갖는 실질적 원통형 형상을 구비하는 것이 바람직하다.The pitch and width of the pillar 300 are formed by the opening 110 and may vary as needed. In one preferred embodiment, the pitch of the pillars (distance from the center of one pillar to the center of the next pillar) is about 300 nm, and the width of the pillars varies between about 100 and about 150 nm. In another preferred embodiment, the pitch of the pillars is about 260 nm and the width of the pillars varies between about 90 and about 130 nm. In general, the pillar 300 preferably has a substantially cylindrical shape having a circular or approximately circular cross section having a diameter of 250 nm or less.

도 8d를 참조하면, 상부 전극(400)은 예를 들어, Ti(저부)/Al/TiN(상부) 또는 Ti/TiN/Al/TiN 또는 Ti/Al/TiW 또는 이들 층들의 임의의 조합으로서 증착함으로써 저부 전극(204)과 동일한 방식으로 형성될 수 있다. 상부 상의 TiN 또는 TiW 층은 전도체의 패터닝을 위한 반사방지 코팅으로서, 그리고, 후술될 바와 같이 절연층(500)의 후속 CMP를 위한 연마 정지 재료로서 기능할 수 있다. 상술된 전도층은 임의의 적절한 마스킹 및 에칭 기술을 사용하여 패턴화 및 에칭되어 전도체 레일(204)에 수직으로 연장하는 실질적으로 평탄한, 실질적 공평면적 전도체 레일(400)을 형성한다. 양호한 실시예에서, 포토 레지스트가 증착되고, 포토리소그래피에 의해 패턴화되며, 층이 에칭되고, 그후, 포토레지스트가 표준 처리 기술을 사용하여 제거된다. 대안적으로, 선택적 절연 산화물, 질화물 또는 옥시질화물 층이 고 도핑 영역(116) 상에 형성되고, 전도체(400)가 본 명세서에 그 전문이 참조로 통합되어 있는 2006년 5월 31일자로 출원된 라디간 등의 미국 특허 출원 제 11/444,936호 "트렌치 에칭 동안 패턴화된 형상부를 보호하기 위한 전도성 하드 마스크"에 설명된 다마센 프로세스에 의해 형성된다.Referring to FIG. 8D, the top electrode 400 is deposited, for example, as Ti (bottom) / Al / TiN (top) or Ti / TiN / Al / TiN or Ti / Al / TiW or any combination of these layers. This can be formed in the same manner as the bottom electrode 204. The TiN or TiW layer on the top can function as an antireflective coating for the patterning of the conductors and as a polishing stop material for subsequent CMP of the insulating layer 500 as will be discussed below. The conductive layer described above is patterned and etched using any suitable masking and etching technique to form a substantially flat, substantially coplanar conductor rail 400 extending perpendicular to the conductor rail 204. In a preferred embodiment, the photoresist is deposited, patterned by photolithography, the layer is etched, and then the photoresist is removed using standard processing techniques. Alternatively, an optional insulating oxide, nitride or oxynitride layer is formed on high doped region 116 and filed May 31, 2006, where conductor 400 is incorporated herein by reference in its entirety. It is formed by the damascene process described in US Pat. Appl. 11 / 444,936 to " Conductive Hard Mask to Protect Patterned Features During Trench Etching "

다음에, 다른 절연층(500)이 전도체 레일(400) 위에, 그리고, 그 사이에 증착된다. 층(500) 재료는 실리콘 산화물, 실리콘 질화물 또는 실리콘 옥시질화물과 같은 임의의 공지된 전기 절연 재료일 수 있다. 양호한 실시예에서, 실리콘 산화물이 이 절연 재료로서 사용된다. 이 절연층은 CMP 또는 에치백에 의해 전도체 레일(400)의 상부면과 함께 평탄화될 수 있다. 결과적 장치의 3차원도가 도 8e에 도시되어 있다.Next, another insulating layer 500 is deposited over and between the conductor rails 400. The layer 500 material may be any known electrically insulating material such as silicon oxide, silicon nitride or silicon oxynitride. In a preferred embodiment, silicon oxide is used as this insulating material. This insulating layer may be planarized with the top surface of the conductor rail 400 by CMP or etch back. A three dimensional view of the resulting device is shown in FIG. 8E.

상술한 설명에서, 배리어 층(202)은 절연층(108)이 증착되기 전에 형성된다. 대안적으로, 제조 단계의 순서가 변경될 수 있다. 예를 들어, 추후 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 증착을 용이하게 하기 위해 개구부에 텅스텐 질화물 패턴을 선택적으로 형성하기 이전에, 개구부를 갖는 절연층(108)이 먼저 전도체(204) 상에 형성될 수 있다.In the above description, the barrier layer 202 is formed before the insulating layer 108 is deposited. Alternatively, the order of the manufacturing steps can be changed. For example, an insulating layer 108 having an opening may first be formed on the conductor 204 prior to selectively forming a tungsten nitride pattern in the opening to facilitate later germanium or germanium rich silicon germanium deposition. .

다이오드 장치 같은 필라 장치는 일회 프로그램가능(OTP) 또는 재기록가능 비휘발성 메모리 장치를 포함할 수 있다. 예를 들어, 각 다이오드 필라(300)는 메모리 셀의 스티어링 소자로서 작용할 수 있으며, 저항 스위칭 재료로서 작용하는(즉, 데이터를 저장하는) 다른 재료 또는 층(118)이 도 8e에 도시된 바와 같이 전극(204, 400) 사이에 다이오드(300)와 직렬로 제공된다. 특히, 도 8e는, 안티퓨즈(즉, 안티퓨즈 유전체), 퓨즈, 폴리실리콘 메모리 효과 재료, 금속 산화물(니켈 산화물, 페로브스카이트 재료 등 같은), 카본 나노튜브, 상 변화 재료, 절환형 복합 금속 산화물, 전도성 브리지 소자 또는 절환식 폴리머 같은 저항 스위칭 재료(118)와 직렬로 필라 다이오드(300)를 포함하는 하나의 비휘발성 메모리 셀을 도시한다. 얇은 실리콘 산화물 안티퓨즈 유전체 층 같은 저항 스위칭 재료(118)가 다이오드 필라(300) 위에 증착되고, 후속하여, 안티퓨즈 유전체 층 상에 상부 전극(400)이 증착될 수 있다. 대안적으로, 저항 스위칭 재료(118)는 전도층(200, 202) 사이 같이 다이오드 필라(300) 아래에 위치될 수 있다. 본 실시예에서, 저항 스위칭 재료(118)의 저항은 전극(204, 400) 사이에 제공된 순방향 및/또는 역방향 바이어스에 응답하여 증가 또는 감소된다.Pillar devices, such as diode devices, may include one-time programmable (OTP) or rewritable nonvolatile memory devices. For example, each diode pillar 300 may act as a steering element of a memory cell, and another material or layer 118 that acts as a resistive switching material (ie, stores data), as shown in FIG. 8E. Between the electrodes 204, 400 is provided in series with the diode 300. In particular, FIG. 8E illustrates antifuse (ie, antifuse dielectrics), fuses, polysilicon memory effect materials, metal oxides (such as nickel oxide, perovskite materials, etc.), carbon nanotubes, phase change materials, switchable composites. One non-volatile memory cell including pillar diode 300 in series with a resistive switching material 118, such as a metal oxide, a conductive bridge element or a switchable polymer, is shown. A resistive switching material 118, such as a thin silicon oxide antifuse dielectric layer, may be deposited over the diode pillar 300, followed by the top electrode 400 on the antifuse dielectric layer. Alternatively, the resistive switching material 118 may be located under the diode pillar 300, such as between the conductive layers 200, 202. In this embodiment, the resistance of the resistive switching material 118 is increased or decreased in response to the forward and / or reverse bias provided between the electrodes 204, 400.

다른 실시예에서, 필라 다이오드(300) 자체는 데이터 저장 장치로서 사용될 수 있다. 본 실시예에서, 필라 다이오드(300)의 저항은 양자 모두가 그 전문이 본 명세서에 참조로 통합되어 있는 2004년 9월 29일자로 출원된 미국 특허 출원 제 10/955,549호(미국 출원 공개 2005/0052951 A1호에 대응) 및 2007년 3월 30일자로 출원된 미국 특허 출원 제 11/693,845호(미국 출원 공개 2007/0164309 A1호에 대응)에 설명된 바와 같이 전극(204, 400) 사이에 제공된 순방향 및/또는 역방향 바이어스의 인가에 의해 변한다. 본 실시예에서, 저항 스위칭 재료(118)는 필요시 생략될 수 있다. In other embodiments, pillar diode 300 itself may be used as a data storage device. In this embodiment, the resistance of pillar diode 300 is disclosed in US patent application Ser. No. 10 / 955,549, filed Sep. 29, 2004, the entirety of which is incorporated herein by reference. 0052951 A1) and US patent application Ser. No. 11 / 693,845 filed March 30, 2007 (corresponding to US application publication 2007/0164309 A1) provided between electrodes 204 and 400. Change by application of forward and / or reverse bias. In the present embodiment, the resistive switching material 118 can be omitted if necessary.

제 1 메모리 레벨의 형성이 설명되었다. 추가적 메모리 레벨이 이 제 1 메모리 레벨 위에 형성되어 모놀리식 삼차원 메모리 어레이를 형성할 수 있다. 일부 실시예에서, 전도체는 메모리 레벨들 사이에서 공유될 수 있으며, 즉, 상부 전도체(400)는 다음 메모리 레벨의 저부 전도체로서 기능할 수 있다. 다른 실시예에서, 레벨간 유전체(미도시)가 제 1 메모리 레벨 위에 형성되고, 그 표면이 평탄화되고, 어떠한 공유 전도체도 없이 제 2 메모리 레벨의 구성이 이 평탄화된 레벨간 유전체 상에서 시작될 수 있다.The formation of the first memory level has been described. Additional memory levels can be formed above this first memory level to form a monolithic three dimensional memory array. In some embodiments, the conductor may be shared between memory levels, ie, the top conductor 400 may function as the bottom conductor of the next memory level. In another embodiment, an interlevel dielectric (not shown) is formed over the first memory level, the surface is planarized, and the configuration of the second memory level can be started on this planarized interlevel dielectric without any shared conductors.

모놀리식 삼차원 메모리 어레이는 어떠한 기판의 개입도 없이 웨이퍼 같은 단일 기판 위에 다수의 메모리 레벨이 형성되어 있는 것이다. 하나의 메모리 레벨을 형성하는 층은 기존 레벨 또는 레벨들의 층들 위에 직접적으로 증착 또는 성장된다. 대조적으로, 적층형 메모리는 리디의 미국 특허 제 5,915,167호 "삼차원 구조 메모리"에서와 같이 별개의 기판들 상에 메모리 레벨들을 형성하고, 이 메모리 레벨들을 서로 상에 접착시킴으로써 구성된다. 기판들은 접합 이전에 메모리 레벨들로부터 박화 또는 제거될 수 있지만, 메모리 레벨들이 별개의 기판들 위에 최초 형성되기 때문에, 이런 메모리들은 진정한 모놀리식 삼차원 메모리 어레이가 아니다. 리디에서 설명된 공정에 대조적으로, 본 발명의 실시예에서 다이오드는 두 개의 인접 층 사이의 전도성 와이어 또는 전극을 공유한다. 이 구조에서, "저부" 다이오드는 "상부" 층 내의 다이오드와 반대 방향을 "지향"할 것이다(즉, 각 다이오드의 동일 도전형의 층은 다이오드 사이에 위치한 동일 와이어 또는 전극과 전기적으로 접촉한다). 이 구조에서, 두 개의 다이오드는 그들 사이의 와이어를 공유할 수 있지만, 여전히 판독 또는 기록 교란 문제를 갖지 않는다.Monolithic three-dimensional memory arrays are formed with multiple levels of memory on a single substrate, such as a wafer, without any board intervention. The layer forming one memory level is deposited or grown directly on top of an existing level or layers of levels. In contrast, a stacked memory is constructed by forming memory levels on separate substrates and adhering these memory levels onto each other, as in Ridy's US Pat. No. 5,915,167 "three-dimensional structure memory". The substrates may be thinned or removed from the memory levels prior to bonding, but since the memory levels are initially formed on separate substrates, these memories are not truly monolithic three dimensional memory arrays. In contrast to the process described in Leady, in an embodiment of the invention the diode shares a conductive wire or electrode between two adjacent layers. In this structure, the "bottom" diode will "orient" in the opposite direction to the diode in the "top" layer (ie, the same conducting layer of each diode is in electrical contact with the same wire or electrode located between the diodes). . In this structure, two diodes can share the wire between them, but still have no read or write disturb problem.

기판 위에 형성된 모놀리식 삼차원 메모리 어레이는 적어도, 기판 위에 제 1 높이로 형성된 제 1 메모리 레벨과, 제 1 높이와는 다른 제 2 높이에서 형성된 제 2 메모리 레벨을 포함한다. 3개, 4개, 8개 또는 사실상 임의의 수의 메모리 레벨들이 이런 다중레벨 어레이에서 기판 위에 형성될 수 있다.The monolithic three dimensional memory array formed on the substrate includes at least a first memory level formed at a first height on the substrate and a second memory level formed at a second height different from the first height. Three, four, eight or virtually any number of memory levels can be formed over the substrate in such a multilevel array.

요약하면, 절연층에 에칭된 개구부 내로 Ge 또는 Ge 농후 SiGe의 선택적 증착에 의해 게르마늄 필라 장치를 제조하는 방법이 설명되었다. 반도체 필라로 개구부를 충전함으로써, 종래의 차감식 방법의 몇 가지 난점들이 극복되며, 4개 층 장치에서 8개 처리 단계가 제거될 수 있다. 예를 들어, 필라들 사이의 고 형상비 산화물 간극 충전이 생략될 수 있으며, 이는 양호한 균일성으로 간단한 피복(blanket) 산화물 필름의 증착을 가능하게 한다. 절연층에서 깊은 개구부에 높이가 8 미크론까지인 더 높은 게르마늄 필라가 제조될 수 있다. 높은 다이오드는 수직 장치의 역방향 누설을 감소시킨다. 또한, 다양한 층들의 정렬이 더 용이해진다. 모든 층들은 중간 개방 프레임 에칭 없이 주 정렬 마크에 정렬될 수 있다.In summary, a method of fabricating a germanium pillar device by selective deposition of Ge or Ge rich SiGe into openings etched in an insulating layer has been described. By filling the openings with semiconductor pillars, some difficulties of the conventional subtraction method are overcome and eight processing steps can be eliminated in a four layer device. For example, high aspect ratio oxide gap filling between pillars may be omitted, which allows for the deposition of simple blanket oxide films with good uniformity. Higher germanium pillars up to 8 microns in height can be fabricated in the deep openings in the insulating layer. Higher diodes reduce the reverse leakage of vertical devices. In addition, the alignment of the various layers becomes easier. All layers can be aligned to the main alignment mark without intermediate open frame etching.

본 발명의 교시에 기초하여, 본 기술 분야에 대한 통상적 지식을 가진 자는 본 발명을 쉽게 실시할 수 있을 것으로 예상된다. 본 명세서에 제공된 다양한 실시예의 설명은 통상적 지식을 가진 자가 본 발명을 실시할 수 있게 하도록 본 발명의 폭넓은 고찰 및 세부사항을 제공하는 것으로 믿어진다. 비록, 특정 지원 회로 및 제조 단계가 상세히 설명되지 않았지만, 이런 회로 및 프로토콜은 잘 알려져 있는 것이며, 본 발명의 실시에 관한 이런 단계의 특정 변경에 의해 어떠한 특정 장점도 제공되지 않는다. 또한, 본 기술 분야에 대한 통상적 지식을 가진 자는 본 내용의 교시를 바탕으로, 과도한 실험 없이 본 발명을 수행할 수 있을 것으로 믿어진다.Based on the teachings of the present invention, one of ordinary skill in the art is expected to readily practice the present invention. It is believed that the description of the various embodiments provided herein provides a broader discussion and detail of the invention to enable those skilled in the art to practice the invention. Although specific support circuits and fabrication steps have not been described in detail, such circuits and protocols are well known, and no particular advantage is provided by specific modifications of these steps with respect to the practice of the present invention. Also, it is believed that one of ordinary skill in the art will be able to practice the invention without undue experimentation, based on the teachings herein.

상술한 상세한 설명은 본 발명의 다수의 가능한 구현들 중 단지 몇몇을 설명하였다. 이 때문에, 본 상세한 설명은 예시적인 것이며, 제한적인 것이 아니다. 본 명세서에 설명된 실시예의 변경 및 변용이 본 명세서에 제공된 설명에 기초하여 본 발명의 범주 및 개념으로부터 벗어나지 않고 이루어질 수 있다. 모든 균등물을 포함하는 하기의 청구범위만이 본 발명의 범주를 정의하는 것이다.The foregoing detailed description has described only a few of the many possible implementations of the invention. For this reason, this detailed description is to be illustrative, and not restrictive. Modifications and variations of the embodiments described herein can be made without departing from the scope and concept of the invention based on the description provided herein. Only the following claims, including all equivalents, are intended to define the scope of the invention.

Claims (66)

반도체 장치를 제조하는 방법에 있어서,
복수의 개구부를 포함하는, 기판 위에 위치한 절연층을 제공하는 단계와,
상기 절연층과 상기 절연층 위에서 복수의 개구부에 제 1 반도체 층을 형성하는 단계와,
상기 제 1 반도체 층의 제 1 부분을 제거하는 단계로서,
상기 절연층에서 복수의 개구부의 하부에 상기 제 1 반도체 층의 제 1 도전형 제 2 부분이 잔류하고,
상기 절연층에서 복수의 개구부의 상부가 충전되지 않고 남아 있게 되는
상기 제 1 반도체 층의 제 1 부분을 제거하는 단계와,
상기 절연층과 상기 절연층 위에서 복수의 개구부의 상부에 제 2 반도체 층을 형성하는 단계와,
상기 절연층 위에 위치한 상기 제 2 반도체 층의 제 1 부분을 제거하는 단계를
포함하고,
상기 제 2 반도체 층의 제 2 도전형 제 2 부분은 상기 절연층에서 복수의 개구부의 상부에 잔류하여 상기 복수의 개구부에 복수의 필라형 다이오드를 형성하는, 반도체 장치의 제조 방법.
In the method of manufacturing a semiconductor device,
Providing an insulating layer overlying the substrate, the insulating layer comprising a plurality of openings;
Forming a first semiconductor layer in the plurality of openings on the insulating layer and the insulating layer,
Removing the first portion of the first semiconductor layer,
A first conductive second portion of the first semiconductor layer remains under the plurality of openings in the insulating layer,
The upper part of the plurality of openings in the insulating layer is left uncharged
Removing the first portion of the first semiconductor layer;
Forming a second semiconductor layer over the insulating layer and the plurality of openings on the insulating layer;
Removing the first portion of the second semiconductor layer located above the insulating layer
Including,
The second conductive type second portion of the second semiconductor layer remains on top of the plurality of openings in the insulating layer to form a plurality of pillar-type diodes in the plurality of openings.
제 1항에 있어서, 상기 제 1 및 제 2 반도체 층은 다결정 실리콘, 게르마늄 또는 실리콘-게르마늄이나, 후속 단계에서 결정화되는 비정질 실리콘, 게르마늄 또는 실리콘-게르마늄을 포함하는, 반도체 장치의 제조 방법.The method of claim 1, wherein the first and second semiconductor layers comprise polycrystalline silicon, germanium, or silicon-germanium, but amorphous silicon, germanium, or silicon-germanium that is crystallized in a subsequent step. 제 2항에 있어서, 상기 제 1 및 제 2 반도체 층은 폴리실리콘 층을 포함하고, 상기 제 1 반도체 층은 현장 n-형 도핑된 폴리실리콘 층을 포함하며, 상기 절연층에서 상기 개구부는 45nm 이하의 하프 피치를 갖고, 상기 절연층 위에 포지티브 포토레지스트를 형성하는 단계와, 감쇠 위상 시프트 마스크를 사용하여 방사선에 포토레지스트를 노광하는 단계와, 노광된 포토레지스트를 패터닝하는 단계와, 마스크로서 패터닝된 포토레지스트를 사용하여 절연층에 상기 개구부를 에칭하는 단계에 의해 개구부가 형성되는, 반도체 장치의 제조 방법.3. The semiconductor device of claim 2 wherein the first and second semiconductor layers comprise a polysilicon layer, the first semiconductor layer comprises an in situ n-type doped polysilicon layer, wherein the openings in the insulating layer are no greater than 45 nm. Forming a positive photoresist on the insulating layer, exposing the photoresist to radiation using an attenuating phase shift mask, patterning the exposed photoresist, and patterning as a mask The opening is formed by etching the opening in the insulating layer using a photoresist. 제 3항에 있어서, 상기 방사선은 193nm의 파장을 갖는 방사선을 포함하는, 반도체 장치의 제조 방법.The method of claim 3, wherein the radiation comprises radiation having a wavelength of 193 nm. 제 1항에 있어서, 상기 제 1 반도체 층의 제 1 부분을 제거하는 단계는 상기 절연층의 상부면과 함께 상기 제 1 반도체 층을 평탄화하는 단계와, 후속하여, 상기 절연층에서 복수의 개구부의 상부에 잔류하는 상기 제 1 반도체 층을 선택적으로 에칭하는 단계를 포함하는, 반도체 장치의 제조 방법.The method of claim 1, wherein removing the first portion of the first semiconductor layer comprises planarizing the first semiconductor layer along with an upper surface of the insulating layer, followed by a plurality of openings in the insulating layer. Selectively etching the first semiconductor layer remaining thereon. 제 5항에 있어서, 제 1 반도체 층을 형성하는 단계는, 진성 반도체 층을 형성하는 단계와, 제 1 반도체 층을 평탄화하는 단계 이전 또는 이후에, 제 1 반도체 층의 진성 부분이 복수의 개구부의 하부에 잔류하도록 제 1 반도체 층 내로 미리 결정된 깊이로 제 1 도전형의 도핑제를 주입하는 단계를 포함하고,
상기 제 1 반도체 층을 선택적으로 에칭하는 단계는, 상기 제 1 반도체 층의 상기 진성 부분이 도달될 때까지 상기 제 1 반도체 층의 도핑 부분을 에칭하는 단계를 포함하는, 반도체 장치의 제조 방법.
6. The method of claim 5, wherein forming the first semiconductor layer comprises forming an intrinsic semiconductor layer and prior to or after planarizing the first semiconductor layer, wherein the intrinsic portion of the first semiconductor layer is formed of a plurality of openings. Injecting a dopant of a first conductivity type into the first semiconductor layer at a predetermined depth to remain at the bottom,
Selectively etching the first semiconductor layer comprises etching a doped portion of the first semiconductor layer until the intrinsic portion of the first semiconductor layer is reached.
제 6항에 있어서, 상기 선택적 에칭 단계 동안 상기 제 1 반도체 층의 진성 부분이 도달될 때를 검출하는 단계와,
상기 선택적 에칭 단계 이후에 상기 제 1 도전형의 도핑제로 상기 제 1 반도체 층의 상기 진성 부분을 도핑하는 단계를
더 포함하는, 반도체 장치의 제조 방법.
7. The method of claim 6, further comprising: detecting when an intrinsic portion of the first semiconductor layer is reached during the selective etching step;
Doping the intrinsic portion of the first semiconductor layer with the dopant of the first conductivity type after the selective etching step.
Furthermore, the manufacturing method of a semiconductor device.
제 1항에 있어서, 상기 제 2 반도체 층을 형성하는 단계는,
상기 절연층의 위와 복수의 개구부의 상부에 진성 반도체 재료를 포함하는 상기 제 2 반도체 층을 형성하는 단계와,
화학 기계 연마 또는 에치백을 사용하여 적어도 상기 절연층의 상부면과 함께 상기 제 2 반도체 층을 평탄화하는 단계와,
p-i-n 필라형 다이오드를 형성하도록 상기 제 2 반도체 층의 상기 제 2 부분의 상부 섹션 내로 상기 제 2 도전형의 도핑제를 주입하는 단계를
포함하는, 반도체 장치의 제조 방법.
The method of claim 1, wherein forming the second semiconductor layer comprises:
Forming the second semiconductor layer comprising an intrinsic semiconductor material over the insulating layer and over the plurality of openings;
Planarizing the second semiconductor layer with at least the top surface of the insulating layer using chemical mechanical polishing or etch back;
injecting a dopant of the second conductivity type into the upper section of the second portion of the second semiconductor layer to form a pin pillar diode.
The manufacturing method of a semiconductor device containing.
제 8항에 있어서, 상기 각 다이오드의 진성 영역과 n-형 영역 사이에 실리콘 농후 산화물 층 또는 실리콘-게르마늄 덮개층을 형성하는 단계를 더 포함하는, 반도체 장치의 제조 방법.9. The method of claim 8, further comprising forming a silicon rich oxide layer or a silicon-germanium cover layer between the intrinsic and n-type regions of each diode. 제 1항에 있어서, 상기 제 1 반도체 층의 제 1 부분을 제거하는 단계는,
광학적 종료 지점 검출과 함께 화학 기계 연마 또는 에치백을 사용하여 상기 절연층의 상부면과 함께 상기 제 1 반도체 층을 평탄화하는 단계와,
상기 평탄화 단계 이후, 상기 복수의 개구부에 잔류하는 상기 제 1 반도체 층의 상기 제 2 부분이 실질적으로 평탄한 상부면을 갖도록, 상기 절연층에서 복수의 개구부에서 상기 제 1 반도체 층을 오목화하기 위해 평탄한 식각 전면(level etch front)으로 절연층에서 복수의 개구부의 상부에 잔류하는 제 1 반도체 층을 선택적으로 이방성 에칭하는 단계를
포함하는, 반도체 장치의 제조 방법.
The method of claim 1, wherein removing the first portion of the first semiconductor layer comprises:
Planarizing the first semiconductor layer with the top surface of the insulating layer using chemical mechanical polishing or etch back with optical end point detection;
After the planarization step, the flattening layer is planarized to concave the first semiconductor layer in the plurality of openings in the insulating layer such that the second portion of the first semiconductor layer remaining in the plurality of openings has a substantially flat top surface. Selectively anisotropically etching the first semiconductor layer remaining on top of the plurality of openings in the insulating layer with a level etch front.
The manufacturing method of a semiconductor device containing.
제 1항에 있어서, 상기 제 1 반도체 층의 제 1 부분을 제거하는 단계는,
광학적 종료 지점 검출과 함께 화학 기계 연마 또는 에치백을 사용하여 상기 절연층의 상부 부분과 함께 상기 제 1 반도체 층을 평탄화하는 단계와,
상기 평탄화 단계 이후, 복수의 개구부에 잔류하는 제 1 반도체 층의 제 2 부분이 중앙부에 홈을 갖는 환형 형상을 갖도록 상기 절연층에서 복수의 개구부에서 제 1 반도체 층을 오목화하도록 상기 절연층에서 상기 복수의 개구부의 상부에 잔류하는 제 1 반도체 층을 선택적으로 등방성 에칭하는 단계를
포함하는, 반도체 장치의 제조 방법.
The method of claim 1, wherein removing the first portion of the first semiconductor layer comprises:
Planarizing the first semiconductor layer with the upper portion of the insulating layer using chemical mechanical polishing or etch back with optical end point detection;
After the planarizing step, the insulating layer may be recessed to recess the first semiconductor layer at the plurality of openings in the insulating layer such that the second portion of the first semiconductor layer remaining in the plurality of openings has an annular shape having a groove in the center portion. Selectively isotropically etching the first semiconductor layer remaining on top of the plurality of openings.
The manufacturing method of a semiconductor device containing.
제 1항에 있어서,
상기 다이오드의 n-형 영역은 제 1 수직 접합선을 포함하고,
상기 다이오드의 p-형 영역은 제 2 수직 접합선을 포함하며,
제 1 및 제 2 수직 접합선은 서로 접촉하지 않는, 반도체 장치의 제조 방법.
The method of claim 1,
The n-type region of the diode comprises a first vertical junction,
The p-type region of the diode comprises a second vertical junction,
The first and second vertical seam lines do not contact each other.
제 1항에 있어서, 상기 다이오드 위 및 아래에 안티퓨즈 유전체를 형성하는 단계를 더 포함하는, 반도체 장치의 제조 방법.The method of claim 1, further comprising forming an antifuse dielectric over and below the diode. 제 1항에 있어서,
절연층 아래에 텅스텐 전극을 형성하는 단계와,
상기 절연층에서 상기 복수의 개구부에 노출된 텅스텐 질화물 배리어를 형성하도록 상기 텅스텐 전극을 질화하는 단계를
더 포함하는, 반도체 장치의 제조 방법.
The method of claim 1,
Forming a tungsten electrode under the insulating layer,
Nitriding the tungsten electrode to form a tungsten nitride barrier exposed in the plurality of openings in the insulating layer;
Furthermore, the manufacturing method of a semiconductor device.
반도체 장치를 제조하는 방법에 있어서,
복수의 텅스텐 전극을 형성하는 단계와,
상기 복수의 텅스텐 전극 상에 텅스텐 질화물 배리어를 형성하도록 상기 텅스텐 전극을 질화하는 단계와,
상기 절연층에서 상기 복수의 개구부에 상기 텅스텐 질화물 배리어가 노출되도록 복수의 개구부를 포함하는 절연층을 형성하는 단계와,
상기 절연층에서 상기 복수의 개구부에서 텅스텐 질화물 배리어 상에 복수의 반도체 장치를 형성하는 단계를
포함하는, 반도체 장치의 제조 방법.
In the method of manufacturing a semiconductor device,
Forming a plurality of tungsten electrodes,
Nitriding the tungsten electrode to form a tungsten nitride barrier on the plurality of tungsten electrodes;
Forming an insulating layer including a plurality of openings in the insulating layer to expose the tungsten nitride barrier to the plurality of openings;
Forming a plurality of semiconductor devices on a tungsten nitride barrier in the plurality of openings in the insulating layer
The manufacturing method of a semiconductor device containing.
제 15항에 있어서, 상기 복수의 반도체 장치는 복수의 필라형 다이오드를 포함하는, 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device according to claim 15, wherein the plurality of semiconductor devices include a plurality of pillar-type diodes. 제 16항에 있어서, 상기 복수의 필라형 다이오드를 형성하는 단계는,
상기 절연층과 절연층 위에서 복수의 개구부에 제 1 도전형의 제 1 반도체 층을 형성하는 단계와,
상기 제 1 반도체 층의 제 2 부분이 상기 절연층에서 복수의 개구부의 하부에 잔류하고, 절연체 층에서 복수의 개구부의 상부가 충전되지 않고 남아있도록 상기 제 1 반도체 층의 제 1 부분을 제거하는 단계와,
상기 절연층에서 복수의 개구부의 상부에 제 2 도전형 제 2 반도체 층을 형성하는 단계를
포함하는, 반도체 장치의 제조 방법.
The method of claim 16, wherein the forming of the plurality of pillar-type diodes comprises:
Forming a first semiconductor layer of a first conductivity type in the openings on the insulating layer and the insulating layer,
Removing the first portion of the first semiconductor layer so that a second portion of the first semiconductor layer remains below the plurality of openings in the insulating layer and the tops of the plurality of openings in the insulator layer remain uncharged. Wow,
Forming a second conductivity-type second semiconductor layer over the plurality of openings in the insulating layer
The manufacturing method of a semiconductor device containing.
제 15항에 있어서,
상기 절연층을 형성하는 단계는 복수의 텅스텐 전극 상에 상기 절연층을 형성하는 단계와, 후속하여, 복수의 텅스텐 전극의 상부면을 노출하도록 상기 절연층에 복수의 개구부를 형성하는 단계를 포함하고,
복수의 텅스텐 전극의 상부면이 상기 절연층에서 복수의 개구부를 통해 질화되도록 질화 단계는 상기 절연층에 복수의 개구부를 형성하는 단계 이후에 이루어지는, 반도체 장치의 제조 방법.
16. The method of claim 15,
The forming of the insulating layer includes forming the insulating layer on a plurality of tungsten electrodes, and subsequently forming a plurality of openings in the insulating layer to expose top surfaces of the plurality of tungsten electrodes. ,
The nitriding step is performed after forming the plurality of openings in the insulating layer such that the top surfaces of the plurality of tungsten electrodes are nitrided through the plurality of openings in the insulating layer.
제 18항에 있어서,
상기 절연층에서 복수의 개구부는 복수의 텅스텐 전극과 부분적으로 오정렬되고,
복수의 개구부를 형성하는 단계는 적어도 상기 텅스텐 전극의 측벽의 부분을 노출하며,
질화 단계는 복수의 텅스텐 전극의 측벽의 노출 부분에, 그리고, 상부면에 텅스텐 질화물 배리어를 형성하는, 반도체 장치의 제조 방법.
19. The method of claim 18,
The plurality of openings in the insulating layer are partially misaligned with the plurality of tungsten electrodes,
Forming a plurality of openings exposing at least a portion of a sidewall of the tungsten electrode,
The nitriding step forms a tungsten nitride barrier on exposed portions of sidewalls of the plurality of tungsten electrodes and on an upper surface thereof.
제 15항에 있어서,
질화 단계는 상기 질화물 층을 형성하는 단계 이전에 이루어지고,
상기 절연층을 형성하는 단계는 상기 텅스텐 질화물 배리어 상에 상기 절연층을 형성하는 단계와, 후속하여, 상기 텅스텐 질화물 배리어의 상부면을 노출하도록 상기 절연층에 복수의 개구부를 형성하는 단계를 포함하는, 반도체 장치의 제조 방법.
16. The method of claim 15,
The nitriding step takes place prior to forming the nitride layer,
The step of forming the insulating layer includes forming the insulating layer on the tungsten nitride barrier, and subsequently forming a plurality of openings in the insulating layer to expose the top surface of the tungsten nitride barrier. And manufacturing method of semiconductor device.
제 20항에 있어서, 상기 절연층에 복수의 개구부를 형성하는 단계 이후에, 상기 텅스텐 질화물 배리어를 강화시키고 상기 절연층에서 복수의 개구부의 적어도 하나의 측벽을 질화하도록 제 2 질화 단계를 수행하는 단계를 더 포함하는, 반도체 장치의 제조 방법.21. The method of claim 20, wherein after forming the plurality of openings in the insulating layer, performing a second nitriding step to strengthen the tungsten nitride barrier and to nitride at least one sidewall of the plurality of openings in the insulating layer. The manufacturing method of a semiconductor device further including. 제 20항에 있어서, 하부 절연층은 인접한 텅스텐 전극을 서로 분리시키고, 상기 질화 단계는 하부 절연층의 상부면을 질화하는, 반도체 장치의 제조 방법.21. The method of claim 20, wherein the lower insulating layer separates adjacent tungsten electrodes from each other and the nitriding step nitrides the top surface of the lower insulating layer. 제 15항에 있어서, 상기 질화 단계는 플라즈마 질화 단계를 포함하는, 반도체 장치의 제조 방법.The method of claim 15, wherein the nitriding step includes a plasma nitriding step. 반도체 장치를 제조하는 방법에 있어서,
복수의 텅스텐 전극을 형성하는 단계와,
상기 텅스텐 전극의 노출된 상부면에 복수의 전도성 배리어를 선택적으로 형성하는 단계와,
복수의 전도성 배리어가 상기 절연층에서 복수의 개구부에서 노출되도록 복수의 개구부를 포함하는 절연층을 형성하는 단계와,
상기 복수의 개구부에서 상기 전도성 배리어 상에 복수의 반도체 장치를 형성하는 단계를
포함하는, 반도체 장치의 제조 방법.
In the method of manufacturing a semiconductor device,
Forming a plurality of tungsten electrodes,
Selectively forming a plurality of conductive barriers on the exposed top surface of the tungsten electrode;
Forming an insulating layer comprising a plurality of openings such that a plurality of conductive barriers are exposed in the plurality of openings in the insulating layer;
Forming a plurality of semiconductor devices on the conductive barrier in the plurality of openings
The manufacturing method of a semiconductor device containing.
제 24항에 있어서, 상기 복수의 반도체 장치는 복수의 필라형 다이오드를 포함하는, 반도체 장치의 제조 방법.25. The method of claim 24, wherein the plurality of semiconductor devices comprise a plurality of pillar-type diodes. 제 25항에 있어서,
상기 복수의 필라형 다이오드를 형성하는 단계는,
상기 절연층과 상기 절연층 위에서 복수의 개구부에 제 1 도전형의 제 1 반도체 층을 형성하는 단계와,
상기 제 1 반도체 층의 제 2 부분이 상기 절연층에서 복수의 개구부의 하부에 잔류하고, 상기 절연체 층에서 상기 복수의 개구부의 상부가 충전되지 않고 남아있도록 상기 제 1 반도체 층의 제 1 부분을 제거하는 단계와,
상기 절연층에서 상기 복수의 개구부의 상부에 제 2 도전형 제 2 반도체 층을 형성하는 단계를
포함하는, 반도체 장치의 제조 방법.
The method of claim 25,
Forming the plurality of pillar-type diodes,
Forming a first semiconductor layer of a first conductivity type in the openings on the insulating layer and the insulating layer,
The first portion of the first semiconductor layer is removed such that a second portion of the first semiconductor layer remains below the plurality of openings in the insulating layer and the tops of the plurality of openings in the insulator layer remain uncharged. To do that,
Forming a second conductivity type second semiconductor layer on the plurality of openings in the insulating layer;
The manufacturing method of a semiconductor device containing.
제 24항에 있어서, 복수의 전도성 배리어를 형성하는 단계는 상기 복수의 텅스텐 전극 상에 배리어 금속 또는 금속 합금의 선택적 원자 층 증착을 포함하는, 반도체 장치의 제조 방법.25. The method of claim 24, wherein forming a plurality of conductive barriers comprises selective atomic layer deposition of a barrier metal or metal alloy on the plurality of tungsten electrodes. 제 27항에 있어서, 상기 배리어 금속 또는 금속 합금은 탄탈륨, 니오븀 또는 그 합금을 포함하는, 반도체 장치의 제조 방법.28. The method of claim 27, wherein the barrier metal or metal alloy comprises tantalum, niobium, or an alloy thereof. 제 24항에 있어서, 상기 복수의 전도성 배리어를 형성하는 단계는 상기 복수의 텅스텐 전극 상에 배리어 금속 또는 금속 합금을 선택적으로 도금하는 단계를 포함하는, 반도체 장치의 제조 방법.25. The method of claim 24, wherein forming the plurality of conductive barriers comprises selectively plating a barrier metal or metal alloy on the plurality of tungsten electrodes. 제 24항에 있어서,
절연층을 형성하는 단계는 상기 복수의 텅스텐 전극 상에 상기 절연층을 형성하는 단계와, 후속하여, 상기 복수의 텅스텐 전극의 상부면을 노출하도록 상기 절연층에 복수의 개구부를 형성하는 단계를 포함하고,
복수의 전도성 배리어가 상기 절연층에서 상기 복수의 개구부를 통해 상기 복수의 텅스텐 전극의 상부면에 선택적으로 형성되도록 복수의 전도성 배리어를 선택적으로 형성하는 단계는 상기 절연층에 복수의 개구부를 형성하는 단계 이후에 이루어지는, 반도체 장치의 제조 방법.
25. The method of claim 24,
Forming the insulating layer includes forming the insulating layer on the plurality of tungsten electrodes, and subsequently forming a plurality of openings in the insulating layer to expose top surfaces of the plurality of tungsten electrodes. and,
Selectively forming a plurality of conductive barriers so that a plurality of conductive barriers are selectively formed on the top surfaces of the plurality of tungsten electrodes through the plurality of openings in the insulating layer, forming a plurality of openings in the insulating layer The manufacturing method of a semiconductor device made after this.
제 30항에 있어서,
상기 절연층에서 복수의 개구부는 복수의 텅스텐 전극과 부분적으로 오정렬되고,
상기 복수의 개구부를 형성하는 단계는 적어도 텅스텐 전극의 측벽의 부분들을 노출하며,
상기 복수의 전도성 배리어를 선택적으로 형성하는 단계는 상기 복수의 텅스텐 전극의 측벽의 노출된 부분에, 그리고, 상부면에 전도성 배리어를 형성하는, 반도체 장치의 제조 방법.
The method of claim 30,
The plurality of openings in the insulating layer are partially misaligned with the plurality of tungsten electrodes,
Forming the plurality of openings exposes at least portions of the sidewalls of the tungsten electrode,
Selectively forming the plurality of conductive barriers forms a conductive barrier on exposed portions of sidewalls of the plurality of tungsten electrodes and on a top surface thereof.
제 24항에 있어서,
상기 복수의 전도성 배리어를 선택적으로 형성하는 단계는 상기 절연층을 형성하는 단계 이전에 이루어지고,
상기 절연층을 형성하는 단계는 상기 복수의 전도성 배리어 상에 절연층을 형성하는 단계와, 후속하여, 상기 복수의 전도성 배리어의 상부면을 노출하도록 절연층에 상기 복수의 개구부를 형성하는 단계를 포함하는, 반도체 장치의 제조 방법.
25. The method of claim 24,
Selectively forming the plurality of conductive barriers is performed prior to forming the insulating layer,
The forming of the insulating layer includes forming an insulating layer on the plurality of conductive barriers, and subsequently forming the plurality of openings in the insulating layer to expose top surfaces of the plurality of conductive barriers. The manufacturing method of a semiconductor device.
반도체 장치를 제조하는 방법에 있어서,
기판 위에 복수의 하부 전극을 형성하는 단계와,
상기 하부 전극이 제 1 개구부에 노출되도록 제 1 폭을 갖는 복수의 제 1 개구부를 포함하는 절연층을 형성하는 단계와,
상기 제 1 개구부에 제 1 도전형의 제 1 반도체 영역을 형성하는 단계와,
제 1 반도체 영역 위의 복수의 제 1 개구부에 희생 재료를 형성하는 단계와,
상기 희생 재료를 노출하도록 상기 절연 층에, 제 1 폭 보다 큰 제 2 폭을 갖는 복수의 제 2 개구부를 형성하는 단계와,
상기 제 2 개구부를 통해 상기 제 1 개구부로부터 상기 희생 재료를 제거하는 단계와,
제 1 개구부에 제 2 도전형 제 2 반도체 영역을 형성하는 단계와,
상부 전극이 상기 제 2 반도체 영역과 접촉하도록 상기 절연층에서 상기 제 2 개구부에 상부 전극을 형성하는 단계를
포함하고,
상기 제 1 및 제 2 반도체 영역은 상기 제 1 개구부에 필라형 다이오드를 형성하는, 반도체 장치의 제조 방법.
In the method of manufacturing a semiconductor device,
Forming a plurality of lower electrodes on the substrate,
Forming an insulating layer including a plurality of first openings having a first width such that the lower electrode is exposed to the first opening;
Forming a first semiconductor region of a first conductivity type in the first opening;
Forming a sacrificial material in the plurality of first openings over the first semiconductor region;
Forming a plurality of second openings in the insulating layer having a second width greater than a first width to expose the sacrificial material;
Removing the sacrificial material from the first opening through the second opening;
Forming a second conductivity-type second semiconductor region in the first opening;
Forming an upper electrode in the second opening in the insulating layer such that the upper electrode contacts the second semiconductor region.
Including,
And the first and second semiconductor regions form pillar-type diodes in the first openings.
제 33항에 있어서, p-i-n 필라형 다이오드를 형성하도록 제 1 및 제 2 반도체 영역 사이에 진성 제 3 반도체 영역을 형성하는 단계를 더 포함하는, 반도체 장치의 제조 방법.34. The method of claim 33, further comprising forming an intrinsic third semiconductor region between the first and second semiconductor regions to form a p-i-n pillar diode. 제 34항에 있어서,
상기 제 1 반도체 영역을 형성하는 단계는 상기 절연층과 절연층 위에서 복수의 제 1 개구부에 제 1 반도체 층을 형성하는 단계와, 후속하여, 상기 제 1 반도체 영역이 복수의 제 1 개구부의 하부에 잔류하고 상기 복수의 제 1 개구부의 상부가 충전되지 않고 남아 있도록 상기 제 1 반도체 층의 일부를 제거하는 단계를 포함하며,
제 2 반도체 영역을 형성하는 단계는 상기 절연층과 절연층 위에서 복수의 제 1 개구부의 상부에 제 2 반도체 층을 형성하는 단계와, 후속하여, 제 2 반도체 영역이 상기 절연층에서 복수의 제 1 개구부의 상부에 잔류하도록 상기 절연층 위에 위치한 제 2 반도체 층의 일부를 제거하는 단계를 포함하는, 반도체 장치의 제조 방법.
The method of claim 34,
The forming of the first semiconductor region may include forming a first semiconductor layer in the plurality of first openings on the insulating layer and the insulating layer, and subsequently, forming the first semiconductor region below the plurality of first openings. Removing a portion of the first semiconductor layer so that it remains and the tops of the plurality of first openings remain uncharged,
The forming of the second semiconductor region may include forming a second semiconductor layer over the insulating layer and the plurality of first openings over the insulating layer, and subsequently, forming a second semiconductor region in the insulating layer. Removing a portion of the second semiconductor layer located above the insulating layer so as to remain on top of the opening.
기판과, 기판 위에 위치한 제 1 도전형의 영역과, 제 1 도전형의 영역 위에 위치한 제 2 도전형의 영역을 포함하는 필라형 반도체 다이오드에 있어서,
a) 상기 다이오드의 제 1 도전형의 영역은 제 1 수직 접합선을 포함하고, 상기 다이오드의 제 2 도전형의 영역은 제 2 수직 접합선을 포함하며, 상기 제 1 및 제 2 접합선은 서로 접촉하지 않거나, 또는
b) 상기 제 1 도전형의 영역의 측벽은 상기 제 2 도전형의 영역의 측벽과는 다른 테이퍼 각도를 갖고, 상기 다이오드의 측벽에 불연속부가 위치하는, 필라형 반도체 다이오드.
A pillar-type semiconductor diode comprising a substrate, a region of a first conductivity type located above the substrate, and a region of a second conductivity type located above the region of the first conductivity type,
a) the region of the first conductivity type of the diode comprises a first vertical junction, the region of the second conductivity type of the diode comprises a second vertical junction, and the first and second junctions do not contact each other or , or
b) sidewalls of the region of the first conductivity type have a taper angle different from that of the region of the second conductivity type, and discontinuities are located on the sidewall of the diode.
제 36항에 있어서, 상기 다이오드의 제 1 도전형의 영역은 제 1 수직 접합선을 포함하고, 상기 다이오드의 제 2 도전형의 영역은 제 2 수직 접합선을 포함하고, 제 1 및 제 2 접합선은 서로 접촉하지 않는, 필라형 반도체 다이오드.37. The method of claim 36, wherein the region of the first conductivity type of the diode comprises a first vertical junction, the region of the second conductivity type of the diode comprises a second vertical junction, and the first and second junctions Pillar semiconductor diode, not in contact. 제 37항에 있어서, 제 1 도전형의 영역과 제 2 도전형의 영역 사이에 위치한 진성 반도체 영역을 더 포함하는, 필라형 반도체 다이오드.38. The pillar-type semiconductor diode of claim 37, further comprising an intrinsic semiconductor region located between the region of the first conductivity type and the region of the second conductivity type. 제 36항에 있어서, 제 1 도전형의 영역의 측벽은 제 2 도전형의 영역의 측벽과는 다른 테이퍼 각도를 가지고, 상기 다이오드의 측벽에 불연속부가 위치하는, 필라형 반도체 다이오드.37. The pillar-type semiconductor diode of claim 36, wherein the sidewalls of the region of the first conductivity type have a different taper angle than the sidewalls of the region of the second conductivity type, wherein discontinuities are located on the sidewalls of the diode. 제 39항에 있어서,
제 1 도전형의 영역은 제 2 도전형의 영역보다 좁은 테이퍼 각도를 갖고,
제 1 및 제 2 도전형 영역 사이에 진성 반도체 영역이 위치하며,
불연속부는 진성 반도체 영역과 제 1 도전형의 영역 사이의 상기 다이오드의 측벽에 단차부를 포함하는, 필라형 반도체 다이오드.
40. The method of claim 39,
The region of the first conductivity type has a taper angle narrower than that of the second conductivity type,
An intrinsic semiconductor region is located between the first and second conductivity-type regions,
A discontinuous portion includes a stepped portion on a sidewall of the diode between an intrinsic semiconductor region and a region of a first conductivity type.
제 36항에 있어서,
a) 다이오드의 제 1 도전형의 영역은 제 1 수직 접합선을 포함하고, 상기 다이오드의 제 2 도전형의 영역은 제 2 수직 접합선을 포함하며, 상기 제 1 및 제 2 접합선은 서로 접촉하지 않고,
b) 제 1 도전형의 영역의 측벽은 제 2 도전형의 영역의 측벽과는 다른 테이퍼 각도를 갖고, 상기 다이오드의 측벽에 불연속부가 위치하는, 필라형 반도체 다이오드.
37. The method of claim 36,
a) the region of the first conductivity type of the diode comprises a first vertical junction, the region of the second conductivity type of the diode comprises a second vertical junction, the first and second junctions do not contact each other,
b) sidewalls of the region of the first conductivity type have a taper angle different from sidewalls of the region of the second conductivity type, wherein discontinuities are located on the sidewalls of the diode.
반도체 장치에 있어서,
기판과,
텅스텐 전극과,
상기 텅스텐 전극 상의 텅스텐 질화물 배리어와,
상기 텅스텐 질화물 배리어 상에 위치한 필라형 다이오드와,
상기 필라형 다이오드 상에 위치한 상부 전극을
포함하는, 반도체 장치.
In a semiconductor device,
Substrate,
Tungsten electrode,
A tungsten nitride barrier on the tungsten electrode,
A pillar diode located on the tungsten nitride barrier;
The upper electrode on the pillar-type diode
It includes a semiconductor device.
제 42항에 있어서, 상기 필라형 다이오드는 p-i-n 다이오드를 포함하는, 반도체 장치.43. The semiconductor device of claim 42, wherein the pillar diode comprises a p-i-n diode. 제 43항에 있어서, 상기 필라형 다이오드는 상기 텅스텐 전극과 부분적으로 오정렬되며, 상기 텅스텐 질화물 배리어는 상기 텅스텐 전극의 측벽의 적어도 일부에, 그리고 텅스텐 전극의 상부면에 위치하는, 반도체 장치.44. The semiconductor device of claim 43, wherein the pillared diode is partially misaligned with the tungsten electrode, and the tungsten nitride barrier is located on at least a portion of the sidewall of the tungsten electrode and on the top surface of the tungsten electrode. 제 43항에 있어서, 상기 다이오드 주변에 위치한 제 1 산화물 절연층을 더 포함하고, 필라형 다이오드의 적어도 하나의 측벽에 인접하게 위치한 제 1 산화물 절연층의 일부는 질화되는, 반도체 장치.44. The semiconductor device of claim 43, further comprising a first oxide insulating layer positioned around the diode, wherein a portion of the first oxide insulating layer positioned adjacent to at least one sidewall of the pillar-type diode is nitrided. 제 43항에 있어서, 텅스텐 전극에 인접하게 위치한 제 2 산화물 절연층을 더 포함하고, 상기 제 2 산화물 절연층의 상부 부분은 질화되는, 반도체 장치.44. The semiconductor device of claim 43, further comprising a second oxide insulating layer positioned adjacent to a tungsten electrode, wherein an upper portion of the second oxide insulating layer is nitrided. 필라 다이오드를 제조하는 방법으로서,
기판 위에 티타늄 질화물 패턴을 형성하는 단계와,
상기 티타늄 질화물 패턴 상에 절연층을 형성하는 단계와,
상기 티타늄 질화물 패턴을 노출하도록 절연층에 개구부를 형성하는 단계와,
상기 티타늄 질화물 패턴 상의 개구부에 실리콘 시드 층을 형성하는 단계와,
개구부에서 상기 실리콘 시드 층 상에 제 1 도전형의 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료를 선택적으로 증착하는 단계와,
제 1 도전형의 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료 상에 진성 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료를 선택적으로 증착하는 단계와,
p-i-n 다이오드를 형성하도록 진성 제 1 도전형의 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료의 상부 부분 내로 제 2 도전형의 도핑제를 주입하는 단계를
포함하는, 필라 다이오드의 제조 방법.
As a method of manufacturing a pillar diode,
Forming a titanium nitride pattern on the substrate,
Forming an insulating layer on the titanium nitride pattern;
Forming openings in the insulating layer to expose the titanium nitride pattern;
Forming a silicon seed layer in the opening on the titanium nitride pattern;
Selectively depositing a first conductivity type germanium or germanium rich silicon germanium semiconductor material on the silicon seed layer in an opening,
Selectively depositing an intrinsic germanium or germanium rich silicon germanium semiconductor material on a germanium or germanium rich silicon germanium semiconductor material of a first conductivity type;
injecting a dopant of a second conductivity type into an upper portion of an intrinsic first conductivity type germanium or germanium rich silicon germanium semiconductor material to form a pin diode.
Including, the manufacturing method of a pillar diode.
제 47항에 있어서, 상기 반도체 재료는 게르마늄인, 필라 다이오드의 제조 방법.48. The method of claim 47, wherein the semiconductor material is germanium. 제 47항에 있어서, 상기 반도체 재료는 게르마늄 농후 실리콘 게르마늄인, 필라 다이오드의 제조 방법.48. The method of claim 47 wherein the semiconductor material is germanium rich silicon germanium. 제 47항에 있어서, 상기 다이오드 상에 또는 상기 다이오드 아래에 안티퓨즈 유전체 층을 형성하는 단계를 더 포함하는, 필라 다이오드의 제조 방법.48. The method of claim 47, further comprising forming an antifuse dielectric layer on or under the diode. 필라 장치를 제조하는 방법에 있어서,
개구부를 갖는 절연층을 제공하는 단계와,
상기 필라 장치를 형성하도록 개구부 내로 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료를 선택적으로 증착하는 단계를
포함하는, 필라 장치의 제조 방법.
In the method of manufacturing the pillar device,
Providing an insulating layer having an opening,
Selectively depositing germanium or germanium rich silicon germanium semiconductor material into openings to form the pillar device.
A method for producing a pillar device, comprising.
제 51항에 있어서, 상기 반도체 재료는 게르마늄인, 필라 장치의 제조 방법.52. The method of claim 51, wherein the semiconductor material is germanium. 제 51항에 있어서, 상기 반도체 재료는 게르마늄 농후 실리콘 게르마늄인, 필라 장치의 제조 방법.52. The method of claim 51, wherein the semiconductor material is germanium rich silicon germanium. 제 51항에 있어서, 상기 절연층에서 상기 개구부에 티타늄 질화물, 티타늄 텅스텐 또는 텅스텐 질화물이 노출되는, 필라 장치의 제조 방법.53. The method of claim 51, wherein titanium nitride, titanium tungsten or tungsten nitride is exposed in the opening in the insulating layer. 제 54항에 있어서, 티타늄 질화물, 티타늄 텅스텐 또는 텅스텐 질화물 상에 실리콘 시드 층을 증착하는 단계를 더 포함하는, 필라 장치의 제조 방법.55. The method of claim 54, further comprising depositing a silicon seed layer on titanium nitride, titanium tungsten or tungsten nitride. 제 55항에 있어서, 상기 실리콘 시드 층은 440℃ 미만의 온도에서 화학 증기 증착에 의해 증착되는, 필라 장치의 제조 방법.56. The method of claim 55, wherein the silicon seed layer is deposited by chemical vapor deposition at a temperature below 440 ° C. 제 55항에 있어서, 상기 반도체 재료는 시드 층 상에 선택적으로 증착되는, 필라 장치의 제조 방법.56. The method of claim 55, wherein the semiconductor material is selectively deposited on a seed layer. 제 57항에 있어서, 상기 반도체 재료는 440℃ 미만의 온도에서 화학 증기 증착에 의해 선택적으로 증착되는, 필라 장치의 제조 방법.59. The method of claim 57, wherein the semiconductor material is selectively deposited by chemical vapor deposition at a temperature below 440 ° C. 제 54항에 있어서,
티타늄 질화물, 티타늄 텅스텐 또는 텅스텐 질화물 패턴을 기판 상에 형성하는 단계와,
티타늄 질화물, 티타늄 텅스텐 또는 텅스텐 질화물 패턴 상에 절연층을 형성하는 단계와,
티타늄 질화물, 티타늄 텅스텐 또는 텅스텐 질화물 패턴을 노출하도록 절연층에 개구부를 형성하는 단계를
더 포함하는, 필라 장치의 제조 방법.
The method of claim 54,
Forming a titanium nitride, titanium tungsten or tungsten nitride pattern on the substrate,
Forming an insulating layer on the titanium nitride, titanium tungsten or tungsten nitride pattern;
Forming an opening in the insulating layer to expose the titanium nitride, titanium tungsten or tungsten nitride pattern.
Furthermore, the manufacturing method of a pillar apparatus.
제 54항에 있어서,
기판 위에 상기 절연층을 형성하는 단계와,
상기 절연층에 개구부를 형성하는 단계와,
티타늄 질화물, 티타늄 텅스텐 또는 텅스텐 질화물 패턴을 개구부에 선택적으로 형성하는 단계를
더 포함하는, 필라 장치의 제조 방법.
The method of claim 54,
Forming the insulating layer on a substrate;
Forming openings in the insulating layer;
Optionally forming a titanium nitride, titanium tungsten or tungsten nitride pattern in the opening.
Furthermore, the manufacturing method of a pillar apparatus.
제 51항에 있어서, 상기 필라 장치는 다이오드를 포함하는, 필라 장치의 제조 방법.53. The method of claim 51, wherein the pillar device comprises a diode. 제 61항에 있어서, 개구부에 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료를 선택적으로 증착하는 단계는 제 1 도전형의 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료를 선택적으로 증착하는 단계를 포함하는, 필라 장치의 제조 방법.62. The fabrication of a pillar device of claim 61 wherein selectively depositing germanium or germanium rich silicon germanium semiconductor material in the opening comprises selectively depositing germanium or germanium rich silicon germanium semiconductor material of a first conductivity type. Way. 제 62항에 있어서,
상기 제 1 도전형의 재료 상의 개구부 내로 진성 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료를 선택적으로 증착하는 단계와,
p-i-n 다이오드를 형성하도록 진성 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료의 상부 부분 내로 제 2 도전형의 도핑제를 주입하는 단계를
더 포함하는, 필라 장치의 제조 방법.
The method of claim 62,
Selectively depositing an intrinsic germanium or germanium rich silicon germanium semiconductor material into openings on the first conductivity type material;
injecting a dopant of a second conductivity type into the upper portion of the intrinsic germanium or germanium rich silicon germanium semiconductor material to form a pin diode.
Furthermore, the manufacturing method of a pillar apparatus.
제 62항에 있어서,
상기 제 1 도전형의 반도체 재료 상에 상기 개구부 내로 진성 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료를 선택적으로 증착하는 단계와,
p-i-n 다이오드를 형성하도록 상기 진성 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 재료 상의 상기 개구부 내로 제 2 도전형의 게르마늄 또는 게르마늄 농후 실리콘 게르마늄 반도체 물질을 선택적으로 증착하는 단계를
더 포함하는, 필라 장치의 제조 방법.
The method of claim 62,
Selectively depositing an intrinsic germanium or germanium rich silicon germanium semiconductor material on the first conductivity type semiconductor material into the opening;
selectively depositing a second conductivity type germanium or germanium rich silicon germanium semiconductor material into the opening on the intrinsic germanium or germanium rich silicon germanium semiconductor material to form a pin diode
Furthermore, the manufacturing method of a pillar apparatus.
제 61항에 있어서, 상기 다이오드 상에 또는 다이오드 아래에 안티퓨즈 유전체 층을 형성하는 단계를 더 포함하는, 필라 장치의 제조 방법.62. The method of claim 61, further comprising forming an antifuse dielectric layer on or under the diode. 제 61항에 있어서, 상기 필라 장치는 비휘발성 메모리 장치인, 필라 장치의 제조 방법.62. The method of claim 61, wherein the pillar device is a nonvolatile memory device.
KR1020107017757A 2008-01-15 2009-01-14 Pillar devices and methods of making thereof KR101573270B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/007,780 2008-01-15
US12/007,781 2008-01-15
US12/007,781 US7906392B2 (en) 2008-01-15 2008-01-15 Pillar devices and methods of making thereof
US12/007,780 US7745312B2 (en) 2008-01-15 2008-01-15 Selective germanium deposition for pillar devices

Publications (2)

Publication Number Publication Date
KR20100129272A true KR20100129272A (en) 2010-12-08
KR101573270B1 KR101573270B1 (en) 2015-12-01

Family

ID=40470135

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107017757A KR101573270B1 (en) 2008-01-15 2009-01-14 Pillar devices and methods of making thereof

Country Status (4)

Country Link
KR (1) KR101573270B1 (en)
CN (1) CN101978497A (en)
TW (1) TWI449131B (en)
WO (1) WO2009091786A1 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8097498B2 (en) * 2010-01-25 2012-01-17 Sandisk 3D Llc Damascene method of making a nonvolatile memory device
US8879299B2 (en) 2011-10-17 2014-11-04 Sandisk 3D Llc Non-volatile memory cell containing an in-cell resistor
US8710481B2 (en) 2012-01-23 2014-04-29 Sandisk 3D Llc Non-volatile memory cell containing a nano-rail electrode
JP6773884B2 (en) * 2017-02-28 2020-10-21 富士フイルム株式会社 Semiconductor device, laminate and method of manufacturing semiconductor device and method of manufacturing laminate
US10199434B1 (en) 2018-02-05 2019-02-05 Sandisk Technologies Llc Three-dimensional cross rail phase change memory device and method of manufacturing the same
US10381366B1 (en) 2018-02-17 2019-08-13 Sandisk Technologies Llc Air gap three-dimensional cross rail memory device and method of making thereof
US10468596B2 (en) 2018-02-21 2019-11-05 Sandisk Technologies Llc Damascene process for forming three-dimensional cross rail phase change memory devices
US10580976B2 (en) 2018-03-19 2020-03-03 Sandisk Technologies Llc Three-dimensional phase change memory device having a laterally constricted element and method of making the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0467671A (en) * 1990-07-09 1992-03-03 Matsushita Electron Corp Manufacture of semiconductor device
JPH06334139A (en) * 1993-05-18 1994-12-02 Sony Corp Read-only memory and its manufacture
US5831276A (en) * 1995-06-07 1998-11-03 Micron Technology, Inc. Three-dimensional container diode for use with multi-state material in a non-volatile memory cell
US6750091B1 (en) * 1996-03-01 2004-06-15 Micron Technology Diode formation method
TW377496B (en) * 1997-01-15 1999-12-21 United Microelectronics Corp Method of manufacturing read-only memory structure
TW312851B (en) * 1997-02-03 1997-08-11 United Microelectronics Corp Manufacturing method of read only memory by silicon on insulator process
JP4235440B2 (en) * 2002-12-13 2009-03-11 キヤノン株式会社 Semiconductor device array and manufacturing method thereof
US7800932B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Memory cell comprising switchable semiconductor memory element with trimmable resistance
US6890819B2 (en) * 2003-09-18 2005-05-10 Macronix International Co., Ltd. Methods for forming PN junction, one-time programmable read-only memory and fabricating processes thereof
US7410838B2 (en) * 2004-04-29 2008-08-12 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication methods for memory cells
US7405465B2 (en) * 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
KR100689831B1 (en) * 2005-06-20 2007-03-08 삼성전자주식회사 Phase change memory cells having a cell diode and a bottom electrode self-aligned with each other and methods of fabricating the same
KR100665227B1 (en) * 2005-10-18 2007-01-09 삼성전자주식회사 Phase change memory device and fabricating method for the same
KR100766504B1 (en) * 2006-09-29 2007-10-15 삼성전자주식회사 Semiconductor device and method of fabricating the same

Also Published As

Publication number Publication date
CN101978497A (en) 2011-02-16
TW200947621A (en) 2009-11-16
KR101573270B1 (en) 2015-12-01
TWI449131B (en) 2014-08-11
WO2009091786A1 (en) 2009-07-23

Similar Documents

Publication Publication Date Title
US7906392B2 (en) Pillar devices and methods of making thereof
US7745312B2 (en) Selective germanium deposition for pillar devices
US7579232B1 (en) Method of making a nonvolatile memory device including forming a pillar shaped semiconductor device and a shadow mask
US10770459B2 (en) CMOS devices containing asymmetric contact via structures
US9583615B2 (en) Vertical transistor and local interconnect structure
US10468413B2 (en) Method for forming hydrogen-passivated semiconductor channels in a three-dimensional memory device
US9502471B1 (en) Multi tier three-dimensional memory devices including vertically shared bit lines
US7575984B2 (en) Conductive hard mask to protect patterned features during trench etch
JP5139269B2 (en) High density non-volatile memory arrays fabricated at low temperature including semiconductor diodes.
KR101573270B1 (en) Pillar devices and methods of making thereof
US8193074B2 (en) Integration of damascene type diodes and conductive wires for memory device
JP2006511965A (en) Improved method for fabricating high density non-volatile memory
JP2012533885A (en) How to make damascene diodes using sacrificial materials
US20070102724A1 (en) Vertical diode doped with antimony to avoid or limit dopant diffusion
US8008213B2 (en) Self-assembly process for memory array
US20100283053A1 (en) Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
US7811916B2 (en) Method for isotropic doping of a non-planar surface exposed in a void

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191008

Year of fee payment: 5