KR20090103890A - 플라즈마 장치 및 시스템 - Google Patents

플라즈마 장치 및 시스템

Info

Publication number
KR20090103890A
KR20090103890A KR1020097013208A KR20097013208A KR20090103890A KR 20090103890 A KR20090103890 A KR 20090103890A KR 1020097013208 A KR1020097013208 A KR 1020097013208A KR 20097013208 A KR20097013208 A KR 20097013208A KR 20090103890 A KR20090103890 A KR 20090103890A
Authority
KR
South Korea
Prior art keywords
plasma
flow channel
head
plasma flow
cathode
Prior art date
Application number
KR1020097013208A
Other languages
English (en)
Other versions
KR101438463B1 (ko
Inventor
블라디미르 이. 벨라쉬첸코
올레그 피. 소로넨코
안드레이 브이. 스미르노브
Original Assignee
블라디미르 이. 벨라쉬첸코
올레그 피. 소로넨코
안드레이 브이. 스미르노브
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 블라디미르 이. 벨라쉬첸코, 올레그 피. 소로넨코, 안드레이 브이. 스미르노브 filed Critical 블라디미르 이. 벨라쉬첸코
Publication of KR20090103890A publication Critical patent/KR20090103890A/ko
Application granted granted Critical
Publication of KR101438463B1 publication Critical patent/KR101438463B1/ko

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/44Plasma torches using an arc using more than one torch
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • H05H1/3452Supplementary electrodes between cathode and anode, e.g. cascade
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • H05H1/3478Geometrical details
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • H05H1/3484Convergent-divergent nozzles

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Geometry (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Arc Welding Control (AREA)

Abstract

2중 플라즈마 장치는 애노드 플라즈마 헤드 및 캐소드 플라즈마 헤드를 포함한다. 각각의 플라즈마 헤드는, 전극, 플라즈마 흐름 채널, 및 전극의 적어도 일부분과 플라즈마 흐름 채널 사이에 배치된 1차 가스 유입구를 각각 포함한다. 애노드 플라즈마 헤드 및 캐소드 플라즈마 헤드는, 서로에 대해 각도를 이루며 지향된다. 하나 이상의 상기 플라즈마 흐름 채널은 전반적으로 원통형의 3개의 부분을 포함하며, 이러한 전반적으로 원통형의 3개의 부분은 사이드 아크의 발생을 감소시킨다.

Description

플라즈마 장치 및 시스템{PLASMA APPARATUS AND SYSTEM}
본 출원은 2006년 11월 28일자로 출원된 미국 특허 출원 번호 11/564,080호를 우선권으로 주장하며, 상기 특허 출원에 개시된 내용은 본 명세서에 원용되어 있다.
본 발명은 전반적으로 플라즈마 토치 및 플라즈마 시스템에 관한 것으로, 보다 구체적으로는 플라즈마 처리 및 재료의 분무를 위한 2중 플라즈마 토치(twin plasma torch)에 관한 것이다.
재료의 플라즈마 처리 및 플라즈마 분무를 위한 플라즈마 열 시스템(plasma thermal system)의 효율 및 안정성은 다양한 파라미터에 의해 영향을 받을 것이다. 예컨대 플라즈마 제트(plasma jet)를 적절하게 구성하여 플라즈마 제트의 동작 파라미터를 유지하는 것은, 전극에 지속적인 부착(consistent attachment)을 갖는 안정한 아크를 형성하는 성능에 의해 영향을 받게 될 것이다. 마찬가지로, 아크의 안정성은 전극의 부식 및/또는 플라즈마 제트 외형(plasma jet profiling) 또는 위치에 의해 영향을 받게 될 것이다. 플라즈마 제트의 외형 및 위치의 변화는 플라즈마 토치에 의해 발생된 플라즈마 제트의 특성의 변화를 초래할 것이다. 또한, 플라즈마 처리된 재료의 품질 또는 플라즈마 시스템에 의해 발생된 코팅은 이러한 플라즈마 외형, 위치 및 특성의 변화에 의해 영향을 받게 될 것이다.
종래의 2중 플라즈마 장치(100)에서는, 도 1에 도시된 바와 같이, 일반적으로 캐소드 헤드(10)와 애노드 헤드(20)가 서로 대략 90°의 각도로 배치되어 있다. 일반적으로 이들 헤드 사이에 위치된 공급 튜브(112)는 플라즈마에 의해 처리될 재료를 공급할 것이다. 이들 구성요소는 일반적으로 아크의 커플링이 발생할 한정된 처리 영역(110)을 제공하도록 배열된다. 이들 구성요소가 서로에 대해 비교적 근접하여 있고 또한 이들에 의해 둘러싸여지는 공간이 작기 때문에, 아크가 특히 높은 전압 및/또는 낮은 플라즈마 가스 유량에서 안정화되지 않는 경향이 있다. "사이드 아크(side arcing)"로도 지칭되는 이러한 아크 비안정성은, 아크가 자신을 더 낮은 저항 경로에 우선적으로 부착할 때에 발생한다. 이러한 사이드 아크를 방지하기 위한 시도는 슈라우드 가스(shroud gas)를 이용하는 것이 포함되어 있지만, 이 방안은 통상적으로 설계를 더욱 복잡하게 할 뿐만 아니라 플라즈마의 온도 및 엔탈피를 낮추게 한다. 플라즈마의 온도 및 엔탈피가 낮아지면, 공정 효율이 낮아지게 되는 결과를 초래한다.
도 1은 각을 이루고 있는 종래의 2중 플라즈마 장치의 실시예에 대한 상세 모식도이다.
도 2는 2중 플라즈마 장치를 예시하는 모식도이다.
도 3a 및 도 3b는 본 발명의 개시에 따른 캐소드 플라즈마 헤드 및 애노드 플라즈마 헤드의 실시예를 모식적으로 예시하는 도면이다.
도 4는 본 발명의 특징에 따라 상이한 직경을 갖는 3개의 원통부를 포함하는 플라즈마 채널의 실시예의 상세도이다.
도 5는 형성 모듈의 상위 및 하위 부분을 갖는 본 발명에 따른 형성 모듈의 실시예의 상세 모식도이다.
도 6은 플라즈마 채널에 2차 플라즈마 가스를 전달하도록 구성된 실시예를 예시하는 도면이다.
도 7a 및 도 7b는 본 발명에 따른 2차 플라즈마 가스의 주입을 위한 구성의 축방향과 방사상 방향의 횡단도 및 단면도이다.
도 8a 및 도 8b는 재료의 축 방향의 주입을 위해 구성된 하나의 2중 플라즈마 토치를 예시하는 도면이다.
도 9a 내지 도 9c는 재료의 방사상 방향의 주입을 위해 구성된 하나의 2중 플라즈마 토치를 예시하는 도면이다.
도 10은 2개의 2중 플라즈마 토치를 포함하는 플라즈마 토치 조립체의 모식도이다.
도 11a 및 도 11b는 재료의 축 방향의 주입을 위해 구성된 2개의 2중 플라즈마 토치를 포함하는 플라즈마 토치 조립체의 상면 및 저면을 예시하는 도면이다.
도 12a 및 도 12b는 50°의 각도로 위치된 토치의 아크 전압에 대한 플라즈마 가스 유량 및 전류의 영향을 예시하는 도면이다.
본 발명에 따른 2중 플라즈마 장치는 애노드 플라즈마 헤드 및 캐소드 플라즈마 헤드를 포함하며, 상기 애노드 플라즈마 헤드 및 상기 캐소드 플라즈마 헤드는, 전극, 플라즈마 흐름 채널, 및 상기 전극의 적어도 일부분과 상기 플라즈마 흐름 채널 사이에 배치된 1차 가스 유입구를 각각 포함하고, 서로에 대해 각도를 이루며 지향된다. 하나 이상의 상기 플라즈마 흐름 채널은, 상기 전극에 인접하여 있고 직경이 D1인 전반적으로 원통형의 제1 부분과, 상기 제1 부분에 인접하여 있고 직경이 D2인 전반적으로 원통형의 제2 부분과, 상기 제2 부분에 인접하여 있고 직경이 D3인 전반적으로 원통형의 제3 부분을 포함하며, 여기서 D1<D2<D3의 관계가 존재한다.
본 발명의 요지에 대한 특징 및 장점은 첨부 도면과 함께 고려되어야 하는 이하의 실시예에 대한 설명으로부터 명확하게 될 것이다.
전반적인 측면에서, 본 발명은 여러 실시예에서 비교적 넓은 동작 범위의 플라즈마 파라미터, 더욱 안정하거나 및/또는 균일한 플라즈마 제트, 및 더 긴 전극 수명 중의 하나 이상을 나타낼 2중 플라즈마 토치 시스템과, 이러한 2중 플라즈마 토치 시스템의 모듈 및 구성요소 등을 제공할 것이다. 또한, 본 발명은 플라즈마 처리되거나 플라즈마 제트 내로 플라즈마 분무될 재료의 주입을 제어할 장치를 제공할 것이다. 2중 플라즈마 장치는 상대적으로 높은 효율 때문에 재료의 플라즈마 처리, 분말 구상화(powder spheroidization), 폐기물 처리, 플라즈마 분무 등에서 다양한 응용을 찾을 수 있을 것이다.
본 발명에 따른 2중 플라즈마 장치는, 재료의 플라즈마 처리에 대하여 실질적으로 더 높은 효율을 제공할 것이다. 부분적으로, 더 높은 효율은, 상대적으로 낮고 레이놀드 수(Reynold number)에 관련되는 플라즈마 유량 및 속도에 의해 실현될 것이며, 이때의 레이놀드 수는 대략 700∼1000 또는 그 미만일 것이다. 이러한 플라즈마 유량 및 속도에 따라, 플라즈마 스트림 내의 재료의 드웰 타임(dwell time)은 플라즈마 에너지의 효율적인 이용 및 재료의 바람직한 변형이 가능하게 되도록 하기에 충분한 정도가 되어, 그 동안 플라즈마 처리가 높은 효율 및 생성율(production rate)로 발생할 것이다. 또한, 본 발명에 따른 2중 플라즈마 장치는, 통상적으로 높은 전압 및/또는 낮은 레이놀드 수에 관련되는 사이드 아크의 발생을 감소시키거나 제거할 것이다.
도 2를 참조하면, 2중 플라즈마 장치(100)는 DC 전원의 양극 단자와 음극 단자에 대응하여 연결된 애노드 플라즈마 헤드(20)와 캐소드 플라즈마 헤드(10) 사이에서 아크(7)를 발생할 것이다. 도 2에 도시된 바와 같이, 플라즈마 헤드(10, 20)의 축은 서로에 대해 α의 각도로 배치되어, 축의 수렴에 의해 플라즈마 헤드(10, 20)의 커플링 영역이 제공된다.
먼저 도 3을 참조하면, 본 발명은 전반적으로 도 3a에 도시된 캐소드 플라즈마 헤드 및 도 3b에 도시된 애노드 플라즈마 헤드를 포함하는 2중 플라즈마 장치를 제공할 것이다. 도시된 바와 같이, 애노드 플라즈마 헤드 및 캐소드 플라즈마 헤드는 전반적으로 유사한 설계로 될 수 있다. 애노드 플라즈마 헤드와 캐소드 플라즈마 헤드 간의 주요한 차이점은 전극의 설계에 있다. 예컨대, 특정의 실시예에서, 애노드 플라즈마 헤드는 비교적 높은 도전율을 갖는 재료로 구성될 애노드(45a)를 포함할 것이다. 일례의 애노드는 구리 또는 구리 합금을 포함할 것이지만, 다른 적합한 재료 및 구성도 가능하다. 캐소드 플라즈마 헤드는 캐소드 홀더(45b)에 삽입되는 인서트(insert)(43)를 포함할 것이다. 캐소드 홀더(45b)는 도전율이 높은 재료로 구성될 것이다. 애노드와 유사하게, 캐소드 홀더(45b) 또한 구리 또는 구리 합금 등으로 구성될 것이다. 인서트(43)의 재료는 특정한 플라즈마 가스와 관련하여 사용될 때에 인서트의 수명을 연장시킬 수 있는 재료로 선택될 것이다. 예컨대, 플라즈마 가스로서 수소 또는 헬륨의 추가에 상관없이 질소 또는 아르곤이 사용될 때에 사용에 적합한 재료는 란타네이티드 텅스텐(lanthaneited tungsten) 또는 토리레이티드 텅스텐(torirate tungsten)이다. 마찬가지로, 플라즈마 가스로서 공기를 사용하는 실시예에서의 재료로는 하프늄 또는 지르코늄이 적합할 것이다. 다른 실시예에서, 애노드는 캐소드와 유사한 설계로 이루어질 것이며, 아크의 안정성을 증가시키고 애노드의 수명을 연장시킬 텅스턴이나 하프늄 또는 기타 인서트를 포함할 것이다.
플라즈마 헤드는 일반적으로 전극 모듈(99) 및 플라즈마 형성 조립체(97)에 의해 형성될 것이다. 전극 모듈(99)은 전극 하우징(23), 유입구 결합부(inlet fitting)(27)를 갖는 1차 플라즈마 가스 공급 채널(25), 플라즈마 가스의 소용돌이 성분을 형성하는 스월 너트(swirl nut)(47), 및 수냉 전극(45a 또는 45b) 등의 주요 요소를 포함할 것이다. 본 발명의 전극 모듈과 관련하여 다양한 추가 및/또는 대체 구성요소가 고려되어 이용될 수 있다는 장점이 있다.
플라즈마 형성 조립체(97)는 하우징(11), 상류부(39) 및 배출부(37)를 갖는 형성 모듈(30), 냉각수 유입구(15)에 연결된 냉각수 채널(13), 절연 링(35) 등의 주요 요소를 포함할 것이다. 형성 모듈(30)은 일반적으로 플라즈마 채널(32)을 형성할 것이다.
예시된 예의 플라즈마 헤드에서, 1차 플라즈마 가스가 절연체(51)에 위치된 채널(25)에 유입구 결합부(27)를 통해 공급된다. 그 후, 플라즈마 가스는 스월 너트(47)에 구성된 슬롯 또는 홀의 세트를 통해 추가로 지향되며, 캐소드(43)가 탑재되어 있는 캐소드 홀더(45b) 또는 애노드(45a)와 형성 모듈(30)의 상류부(39) 사이의 슬롯(44)을 통해 플라즈마 채널(32) 내로 지향된다. 이와 달리 또는 이에 추가하여, 1차 플라즈마 가스를 플라즈마 채널(32)에 제공하기 위해 다양한 다른 구성이 이용될 수도 있다.
본 발명에 따른 플라즈마 채널(32)은 특유의 방식으로 구성을 용이하게 할 것이며, 예컨대 약 800 내지 1000 범위의 레이놀드 수를 나타내고, 더욱 바람직하게는 700 미만의 범위의 레이놀드 수를 나타내는 비교적 낮은 1차 플라즈마 가스 유량에서의 사이드 아크의 경향을 감소시키거나 제거하는 제어된 아크를 유지할 것이다.
플라즈마 채널(32)은 도 4에 더욱 상세하게 예시된 바와 같이, 전반적으로 원통형의 3개의 부분을 포함할 수 있다. 플라즈마 채널(32)의 상류부(38)는 예컨대 캐소드 인서트(43) 및 애노드(45b)와 같은 전극에 인접하여 배치될 것이며, 직경 D1 및 길이 L1을 가질 것이다. 플라즈마 채널(32)의 중간부(40)는 D2>D1의 직경과 L2의 길이를 가질 것이다. 플라즈마 채널(32)의 배출부(42)는 D3>D2의 직경 및 L3의 길이를 가질 것이다.
원통형의 상류부(38)는 도 2에 도시된 커플링 영역(12)에 대해 플라즈마 제트의 신뢰적인 팽창 또는 전파를 제공하는 최적 속도의 플라즈마 제트를 생성할 것이다. 직경 D1은 캐소드의 직경 D0보다 클 것이다. 일반적으로, 직경 D1의 최적값은 플라즈마 가스 유량 및 아크 전류에 좌우된다. 예컨대, 일실시예에서, 플라즈마 가스 유량이 약 0.3∼0.6 gram/sec이고, 아크 전류가 약 200∼400 A의 범위에 있는 경우, 플라즈마 가스로서 질소가 사용되면, 직경 D1은 일반적으로 약 4.5∼5.5 ㎜의 범위를 가질 것이다. 제1 부분의 직경 D1은 일반적으로 더 높은 플라즈마 가스 유량 및/또는 더 높은 아크 전류를 이용하는 실시예에서는 증가될 것이다.
제1 부분의 길이(L1)는 일반적으로 적합한 플라즈마 제트가 형성될 수 있도록 하기에 충분한 길이로 선택될 것이다. 그러나, L1>2D1에서는 제1 부분 내의 사이드 아크의 상승 가능성이 있다. 실험적으로는, L1/D1 비율의 적합한 값은 다음과 같이 기술될 것이다:
0.5 < L1/D1 < 2 (1)
L1과 D1 간의 더욱 바람직한 비율은 다음과 같다:
0.5 < L1/D1 < 1.5 (1a)
플라즈마 채널(32)의 제2 부분(40) 및 제3 부분(42)은 채널 내의 플라즈마 가스 이온화의 정도가 증가하도록 할 뿐만 아니라 바람직한 속도를 제공하는 플라즈마 제트의 추가의 형성을 가능하게 할 것이다. 플라즈마 채널(32)의 제2 부분(40) 및 제3 부분(42)의 직경은 일반적으로 D3>D2>D1의 관계를 특징으로 할 것이다. 직경에 대한 전술한 관계는, 플라즈마 채널(32)의 제2 부분(40) 및 제3 부분(42) 내에서의 추가의 사이드 아크를 방지하고 또한 작동 전압을 감소시키는 데 도움을 줄 것이다.
제2 부분의 추가의 특징은 다음과 같이 기술될 것이다:
4㎜ > D2-D1 > 2㎜ (2)
2 > D2/D1 > 1.2 (3)
제3 부분의 추가의 특징은 다음과 같이 기술될 것이다:
6㎜ > D3-D2 > 3.5㎜ (4)
2 > L3/(D3-D2) > 1 (5)
일부 실시예에서는, 상기 관계 및 특징에 의해 주어진 전술한 기하학적 구조에 대한 다양한 수정 및 변경에 의해 바람직한 성능이 제공될 수도 있다. 도 3 및 도 4에 예시된 실시예에서, 플라즈마 채널(32)은 전반적으로 원통형의 3개의 부분 간의 단차 모양의 외형(stepped profile)을 나타낸다. 단차 구조 외에, 원통형의 3개의 부분의 연결하는 플라즈마 채널의 기하학적 구조에 관한 다수의 상이한 옵션이 적합하게 채용될 수도 있다. 예컨대, 라운드 처리된 에지를 갖는 단차 모양뿐만 아니라 원통형 부분들 간의 원뿔형 또는 유사 전이부(transition)가 동일한 용도로 이용될 수 있다.
전술한 (1) 내지 (5)의 관계에 따른 플라즈마 채널을 갖는 2중 플라즈마 장치는 비교적 넓은 범위의 동작 파라미터에 걸쳐 사이드 아크가 감소되거나 제거된 안정한 동작을 제공할 것이다. 그러나, 일부 경우에, 플라즈마 가스 유량 및 플라즈마 속도가 추가로 감소될 때에는, "사이드 아크"가 여전히 발생할 것이다. 예컨대, 플라즈마 채널의 치수가 D1=5㎜, L1=3㎜, D2=8㎜, L2=15㎜, D3=13㎜, L3=6㎜인 2중 플라즈마 토치의 일례의 실시예는, 아크 전류가 150 내지 350 암페어이고, 1차 플라즈마 가스로서 질소를 이용하고, 0.35gram/sec보다 큰 유량으로 제공된 경우에는, "사이드 아크"를 발생하지 않고 작동할 것이다. 질소 유량을 0.35g/sec, 특히 0.3g/sec 아래로 감소시키면, "사이드 아크"를 초래할 것이다. 본 발명에 따라, 형성 전극(30)의 구성에 전기적으로 절연된 요소를 제공함으로써, 사이드 아크를 여전히 감소시키거나 방지하면서도, 플라즈마 가스 유량을 추가로 감소시킬 수 있다.
도 5를 참조하면, 형성 모듈(30)의 상류부(39)가 세라믹 절연 링(75)에 의해 형성 모듈의 하류부(37)로부터 전기적으로 절연되는 형성 모듈(30)의 실시예가 예시되어 있다. 예시된 실시예에서, 절연 링(75)과 함께 밀봉용 O-링(55)이 사용될 수 있다. 형성 모듈(30)의 상류부(39)와 하류부(37)의 전기 절연은 아크 및 플라즈마 제트의 안정성을 추가로 증가시킬 것이다. 즉, 플라즈마 가스의 유량이 매우 낮고 또한 그에 관련된 레이놀드 수의 값이 낮은 경우에도, 사이드 아크가 감소되거나 제거된 플라즈마 제트를 제공한다. 예컨대, 전술한 예시 실시예에서와 동일한 치수의 플라즈마 채널을 갖고 또한 동일한 레벨의 전류로 작동하는 플라즈마 헤드의 예시 실시예의 검사 동안, 질소 유량이 0.25g/sec로 감소된 때에, 사이드 아크가 관찰되지 않는다. 형성 모듈(30)의 구성요소에 전기 절연을 추가하는 것은, 사이드 아크를 최소화하거나 제거하면서 플라즈마 가스 유량의 추가의 감소를 가능하도록 하기 위해 요구될 것이다. 이러한 절연의 추가는 그에 대응하여 2중 플라즈마 장치의 복잡도를 증가시킬 것이다.
도 3a 및 도 3b는 플라즈마 가스 또는 플라즈마 가스의 혼합물이 가스 공급 채널(27) 및 스월 너트(47)를 통해서만 공급되는 2중 플라즈마 장치의 실시예를 예시하고 있다. 일부 경우, 전극 부근에 플라즈마 가스를 공급하는 것은, 특히 플라즈마 가스 혼합물이 공기 또는 다른 활성 가스를 포함하는 때에는, 전극의 과도한 부식을 초래할 것이다. 본 발명의 특징에 따라, 전술한 바와 같이 스월 너트(47)를 통해 예컨대 아르콘과 같은 불활성 가스를 공급하고 전극 주위를 통과시킴으로써 전극의 부식이 감소되거나 방지될 것이다. 애노드(45a) 또는 캐소드(43)와 형성 모듈(30)의 상류부(39) 사이에 있는 슬롯(44)의 하류에 활성 상태의 또는 추가의 2차 가스 또는 가스 혼합물이 별도로 공급될 것이다. 플라즈마 가스의 2차 도입을 제공하는 실시예는 캐소드 플라즈마 헤드를 나타내고 있는 도 6에 도시되어 있다. 대응하는 애노드 플라즈마 헤드에 대한 구조는 쉽게 이해될 것이다. 2차 플라즈마 가스는 분배기(41) 내부에 위치된 가스 유입구(81)를 통해 가스 채널(79)에 공급될 것이다. 채널(79)로부터, 2차 플라즈마 가스가 형성 모듈(30)의 상류부(39)에 위치된 슬롯 또는 홀(77)을 통해 플라즈마 채널(32)에 공급될 것이다. 또한, 도 7을 참조하면, 2차 플라즈마 가스 공급을 위한 한 가지 가능한 특징의 일례의 실시예가 축 방향 및 방사상 방향의 횡단면도로 도시되어 있다. 예시된 실시예에서, 2차 플라즈마 가스를 플라즈마 채널(32)에 공급하기 위해 상류부(39)에 4개의 슬롯(77)이 제공된다. 도시된 바와 같이, 슬롯(77)은 플라즈마 채널(32)에 2차 플라즈마 가스를 실질적으로 접선 방향으로 도입하도록 배열되며, 그 외의 적합한 다른 배열 또한 채용될 수 있다.
재료의 플라즈마 처리 및 플라즈마 분무(plasma spraying)를 행하는 상이한 기술 조건을 충족시키기 위해 본 발명에 따라 하나 또는 여러 개의 2중 플라즈마 장치를 구현하는 다양한 가능한 구성이 있다. 플라즈마 처리될 재료의 축 방향, 방사상 방향, 및 축 방향과 방사상 방향을 조합한 주입이 이들 구성에 이용될 수 있다. 도 8 내지 도 11은 2중 플라즈마 장치와 함께 재료의 주입을 위한 일례의 구성을 도시하고 있으며, 그 외의 다수의 다른 적합한 구성 또한 채용될 수 있다.
도 8 및 도 9는 처리될 재료의 축 방향의 공급과 방사상 방향의 공급을 각각 제공하는 하나의 2중 플라즈마 토치의 조합으로 구현된 주입 구성을 예시하고 있다. 캐소드 헤드(10)와 애노드 헤드(20) 사이의 각도 α는, 커플링 영역의 위치, 아크의 길이, 및 그 결과의 아크의 작동 전압을 결정하는 중요 파라미터 중의 하나이다. 일반적으로, 각도 α가 작아지면, 아크는 더 길어지고, 작동 전압은 높아지게 될 것이다. 세라믹 분말의 효과적인 플라즈마 구상화를 위해, 각도 α는 45° 내지 80°이내로 채용되는 것이 바람직하며, 약 50°<α<60° 사이 범위의 각도가 특히 이로울 것이다.
도 8a 및 도 8b는 각을 이루는 하나의 2중 플라즈마 토치 시스템(126)을 제공하도록 지향된 캐소드 플라즈마 헤드(10)와 애노드 플라즈마 헤드(20)를 예시한다. 플라즈마 헤드(10, 20)는 전원 공급장치(130)에 의해 전원이 공급될 것이다. 축 방향 분말 주입기(120)가 각각의 플라즈마 헤드(10, 20) 사이에 배치되며, 주입된 재료를 일반적으로 커플링 영역을 향해 지향시키도록 배향될 것이다. 축 방향 분말 주입기(120)는 주입기 홀더(124)에 의해 플라즈마 헤드(10, 20)에 대해 지지될 것이다. 각종 실시예에서, 주입기 홀더는 주입기(120)를 플라즈마 토치 시스템(126)으로부터 전기적으로 및/또는 열적으로 절연시킬 것이다.
도 9a 내지 도 9c는 재료의 방사상 방향의 공급을 제공하는 플라즈마 토치 구성을 도시하고 있다. 도시된 바와 같이, 방사상 방향 주입기(128)는 플라즈마 헤드의 한쪽 또는 양자, 예컨대 캐소드 플라즈 헤드(10)의 끝에 인접하여 배치될 것이다. 방사상 방향 주입기(128)는 재료를 플라즈마 헤드로부터 방출된 플라즈마 스트림 내로 전반적으로 방사상 방향으로 주입하도록 배향될 것이다. 방사상 주입기(128)는 도 9c에 도시된 바와 같이 재료 공급 채널(140)의 원형 횡단면을 가질 것이다. 그러나, 다른 실시예에서는, 도 9b에 도시된 바와 같이 플라즈마 헤드로부터 플라즈마 스트림의 축을 따라 장축이 지향된, 타원형 또는 유사 형상의 채널(136)에 의해, 플라즈마 에너지의 향상된 이용 및 그 결과의 더 높은 생산율이 발생될 것이다.
도 10 및 도 11은 2개의 2중 플라즈마 토치 조립체(132)의 가능한 구성을 예시하고 있다. 각각의 쌍의 캐소드 플라즈마 헤드(10a, 10b) 및 대응하는 애노드 플라즈마 헤드(20a, 20b)의 축은, 각각의 평면(134a, 134b)에 놓여질 것이다. 평면(134a, 134b)은 서로에 대해 각도 β를 형성할 것이다. 일부 실험 결과는, 약 50°내지 90°사이, 더욱 구체적으로는 약 55°<β<65° 사이의 범위의 각도 β가 세라믹 분말의 효과적인 플라즈마 구상화를 제공하는 것으로 나타내고 있다. 평면(134a, 134b) 사이의 각도 β가 약 50° 아래로 감소될 때에는 사이드 아크의 발생이 개시될 것이다. 약 80°내지 90°보다 큰 각도 β는 축 방향의 분말 주입에 대해서는 약간의 단점을 발생할 것이다.
전술한 바와 같이, 재료의 축 방향의 공급을 위한 구성이 도 8 및 도 11에 예시되어 있다. 분말 주입기(120)는 각종 처리 조건에 적합하게 되도록 주입기(120)의 위치에 대한 조정성을 제공하기 위해 주입기 홀더(124)에 설치될 것이다. 도시되어 있지는 않지만, 도 9a 내지 도 9c에 도시된 바와 같은 방사상 방향 재료 주입기가 플라즈마 헤드에 대해 조정 가능하게 탑재되어, 예컨대 주입기와 플라즈마 스트림 간의 이격을 가능하게 하고 또한 플라즈마 스트림을 따르는 주입 지점의 조정을 가능하게 한다. 축 방향 주입기(120)는 재료 공급 채널의 원형 횡단면(140)을 가질 것이다. 그러나, 방사상 방향의 주입과 마찬가지로, 예컨대 개구의 장축이 도 11b에 도시된 바와 같이 배향되는 상태로 타원형 또는 유사 형상의 주입기 채널이 채용될 수 있다. 이러한 구성은 플라즈마 에너지의 이용을 향상시킬 것이며, 그에 따라 생산율을 더 높이게 될 것이다. 다른 실시예에서, 이러한 플라즈마 에너지의 향상된 이용은, 플라즈마 처리될 재료의 축 방향과 방사상 방향의 주입을 조합하여 동시에 이용하는 것을 통해 달성될 수도 있다. 특정 어플리케이션을 위한 플라즈마 및 주입 파라미터의 조정 및 최적화가 가능한 다양한 주입 옵션을 이해할 수 있을 것이다.
본 발명에 따른 플라즈마 시스템과 관련하여 주문 개발된 전원 소스(custom developed power source)가 적합하게 채용될 수도 있지만, 플라즈마 시스템의 작동 전압은 상업적으로 이용 가능한 전원 소스의 이용 가능한 출력 파라미터를 수용하도록 제어 및 조정될 수도 있다. 예컨대, ESAB(미국 사우스 캐롤라이나주의 플로렌스에 소재)는 플라즈마 절단 및 다른 플라즈마 기술에 폭 넓게 사용되는 전원 소스 ESP-400 및 ESP-600을 제조하였다. 상업적으로 이용 가능한 이들 전원 소스는 2중 플라즈마 장치 및 시스템에도 마찬가지로 효율적으로 이용될 것이다. 그러나, 100% 듀티 사이클에서의 이러한 군의 플라즈마 전원 소스의 최대 작동 전압은 약 260 내지 290 볼트이다. 그러므로, 2중 플라즈마 장치의 설계, 플라즈마 가스 유형, 및 플라즈마 가스의 유량은 전원 소스의 ESP 타입의 이용 가능한 전압을 맞추도록 조정될 수 있다. 2중 플라즈마 장치를 다른 상업적으로 이용 가능하거나 또는 주문 제조된 전원 공급장치에 대하여 적합화시키기 위해 유사한 조정이 수행될 수 있다.
도 12a 및 도 12b는 캐소드 플라즈마 헤드와 애노드 플라즈마 헤드 사이에 50°의 각도를 갖는 2중 플라즈마 토치의 일례의 실시예를 위한 아크 전압에 대한 플라즈마 채널 치수, 플라즈마 가스 유량, 및 전류의 영향을 예시하고 있다. 질소는 엔탈피가 높고 저렴하며 이용 가능성이 크기 때문에 응용 기기를 위한 플라즈마 가스로 선호되는 경우가 있다. 그러나, 플라즈마 가스로서 질소만을 적용하는 것은 도 12a 및 도 12b의 곡선 1에 의해 나타낸 바와 같이 약 310 볼트의 높은 작동 전압을 필요로 하게 된다. 작동 전압을 예컨대 상업적으로 이용 가능한 플라즈마 전원 소스로부터 얻어지는 전압 출력 범위 내로 감소시키는 것은, 예컨대 도 12a의 곡선 2 내지 곡선 5에 의해 나타낸 최적의 유량으로 아르곤과 질소의 혼합물을 이용함으로써 달성될 것이다. 작동 전압을 감소시키는 것은 플라즈마 채널(32) 외형 및 치수의 최적화에 의해서도 달성될 수 있다. 도 12a에 제공된 데이터는 각각의 플라즈마 헤드의 플라즈마 채널(32)이 D1=4㎜, D2=7㎜ 및 D3=11㎜에 의해 규정된 외형을 갖는 2중 플라즈마 토치를 이용하여 획득된다. 곡선 1 내지 곡선 5의 각각에 관련되는 플라즈마 가스 및 유량은 각각 다음과 같다:
곡선 1 및 곡선 1a - N2, 0.35g/sec;
곡선 2 - Ar, 0.35g/sec, N2, 0.2g/sec;
곡선 3 - N2, 0.25g/sec;
곡선 4 - Ar, 0.5g/sec, N2, 0.15g/sec;
곡선 5 - Ar, 0.5g/sec, N2, 0.05g/sec.
도 12b는 직경 D1, D2 및 D3가 각각 4㎜, 7㎜ 및 11㎜에서 5㎜, 8㎜ 및 12㎜로 비교적 약간 증가하여도 작동 전압이 약 310 볼트에서 대략 270∼280 볼트로 감소될 것이라는 것을 나타내고 있다.
본 발명의 각종 특징 및 장점은 본 발명에 따른 예시 실시예의 설명에 의해 나타내어져 있다. 본 발명에서 벗어나지 않은 전술한 실시예에 대한 다수의 수정예 및 변형예가 이루어질 수 있다. 따라서, 본 발명은 전술한 실시예로 한정되지 않고, 첨부된 청구범위의 전체 범위에 의해 정해져야 한다.

Claims (14)

  1. 2중 플라즈마 장치에 있어서,
    전극, 플라즈마 흐름 채널, 및 상기 전극의 적어도 일부분과 상기 플라즈마 흐름 채널 사이에 배치된 1차 가스 유입구를 각각 포함하며, 서로에 대해 각도를 이루며 지향되어 있는 애노드 플라즈마 헤드 및 캐소드 플라즈마 헤드를 포함하며,
    하나 이상의 상기 플라즈마 흐름 채널은, 상기 전극에 인접하여 있고 직경이 D1인 전반적으로 원통형의 제1 부분과, 상기 제1 부분에 인접하여 있고 직경이 D2인 전반적으로 원통형의 제2 부분과, 상기 제2 부분에 인접하여 있고 직경이 D3인 전반적으로 원통형의 제3 부분을 포함하며, 여기서 D1<D2<D3인,
    2중 플라즈마 장치.
  2. 제1항에 있어서,
    상기 하나 이상의 상기 플라즈마 흐름 채널의 상기 제1 부분은 길이 L1을 가지며, 여기서 0.5<L1/D1<2인, 2중 플라즈마 장치.
  3. 제1항에 있어서,
    상기 하나 이상의 상기 플라즈마 흐름 채널의 상기 제1 부분은 길이 L1을 가지며, 여기서 0.5<L1/D1<1.5인, 2중 플라즈마 장치.
  4. 제1항에 있어서,
    상기 하나 이상의 상기 플라즈마 흐름 채널의 상기 제1 부분과 상기 제2 부분은, 2>D2/D1>1.2의 관계를 나타내는, 2중 플라즈마 장치.
  5. 제1항에 있어서,
    상기 하나 이상의 상기 플라즈마 흐름 채널의 상기 제3 부분은 길이 L3을 가지며, 여기서 2>L3/(D3-D2)>1인, 2중 플라즈마 장치.
  6. 제1항에 있어서,
    상기 하나 이상의 플라즈마 흐름 채널의 상기 제1 부분과 상기 제2 부분 사이의 전이부(transition)가 단차를 갖는, 2중 플라즈마 장치.
  7. 제1항에 있어서,
    상기 하나 이상의 플라즈마 흐름 채널의 상기 제2 부분과 상기 제3 부분 사이의 전이부(transition)가 단차를 갖는, 2중 플라즈마 장치.
  8. 제1항에 있어서,
    하나 이상의 상기 플라즈마 헤드는, 상기 플라즈마 흐름 채널의 적어도 상기 제1 부분을 포함하는 상류부와, 상기 플라즈마 흐름 채널의 적어도 상기 제3 부분을 포함하는 하류부를 포함하며, 상기 상류부는 상기 하류부와 전기적으로 절연되어 있는, 2중 플라즈마 장치.
  9. 제8항에 있어서,
    상기 플라즈마 헤드의 상기 상류부는 상기 플라즈마 흐름 채널의 상기 제2 부분의 적어도 일부분을 포함하며, 상기 플라즈마 헤드의 상기 하류부는 상기 플라즈마 흐름 채널의 상기 제2 부분의 적어도 또 다른 부분을 포함하는, 2중 플라즈마 장치.
  10. 제1항에 있어서,
    상기 하나 이상의 플라즈마 흐름 채널의 전반적으로 원통형의 상기 제1 부분의 하류측에 배치된 2차 가스 유입구를 더 포함하는, 2중 플라즈마 장치.
  11. 제1항에 있어서,
    상기 애노드 플라즈마 헤드와 상기 캐소드 플라즈마 헤드에 의해 생성된 플라즈마 스트림 내에 분말 재료를 도입하도록 구성된 분말 주입기를 더 포함하는, 2중 플라즈마 장치.
  12. 제1항에 있어서,
    상기 애노드 플라즈마 헤드와 상기 캐소드 플라즈마 헤드 사이의 각도는 약 45°내지 약 80°사이인, 2중 플라즈마 장치.
  13. 제12항에 있어서,
    상기 애노드 플라즈마 헤드와 상기 캐소드 플라즈마 헤드 사이의 각도는 약 50°내지 약 60°사이인, 2중 플라즈마 장치.
  14. 2중 플라즈마 장치에 있어서,
    전극, 플라즈마 흐름 채널, 및 상기 전극의 적어도 일부분과 상기 플라즈마 흐름 채널 사이에 배치된 1차 가스 유입구를 각각 포함하며, 서로에 대해 각도를 이루며 지향되어 있는 애노드 플라즈마 헤드 및 캐소드 플라즈마 헤드를 포함하며,
    하나 이상의 상기 플라즈마 흐름 채널은, 상기 전극에 인접하여 있고 직경이 D1인 전반적으로 원통형의 제1 부분과, 상기 제1 부분에 인접하여 있고 직경이 D2인 전반적으로 원통형의 제2 부분과, 상기 제2 부분에 인접하여 있고 직경이 D3인 전반적으로 원통형의 제3 부분을 포함하고, 여기서 D1<D2<D3이며,
    상기 하나 이상의 상기 플라즈마 흐름 채널의 상기 제1 부분은 길이 L1을 가지며, 여기서 0.5<L1/D1<2이고, 상기 하나 이상의 상기 플라즈마 흐름 채널의 상기 제1 부분과 상기 제2 부분은, 2>D2/D1>1.2의 관계를 나타내는,
    2중 플라즈마 장치.
KR1020097013208A 2006-11-28 2007-11-27 플라즈마 장치 및 시스템 KR101438463B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/564,080 2006-11-28
US11/564,080 US7671294B2 (en) 2006-11-28 2006-11-28 Plasma apparatus and system
PCT/US2007/085591 WO2008067285A2 (en) 2006-11-28 2007-11-27 Plasma apparatus and system

Publications (2)

Publication Number Publication Date
KR20090103890A true KR20090103890A (ko) 2009-10-01
KR101438463B1 KR101438463B1 (ko) 2014-09-12

Family

ID=39462574

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020097013208A KR101438463B1 (ko) 2006-11-28 2007-11-27 플라즈마 장치 및 시스템
KR1020147032401A KR101495199B1 (ko) 2006-11-28 2007-11-27 플라즈마 장치 및 시스템
KR1020097013206A KR20090097895A (ko) 2006-11-28 2007-11-27 플라즈마 장치 및 시스템

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020147032401A KR101495199B1 (ko) 2006-11-28 2007-11-27 플라즈마 장치 및 시스템
KR1020097013206A KR20090097895A (ko) 2006-11-28 2007-11-27 플라즈마 장치 및 시스템

Country Status (11)

Country Link
US (1) US7671294B2 (ko)
EP (2) EP2091758B1 (ko)
JP (2) JP5396609B2 (ko)
KR (3) KR101438463B1 (ko)
CN (2) CN101605625B (ko)
AU (2) AU2007325285B2 (ko)
BR (2) BRPI0719557A2 (ko)
CA (2) CA2670256C (ko)
MX (2) MX2009005566A (ko)
RU (2) RU2479438C2 (ko)
WO (2) WO2008067292A2 (ko)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009016932B4 (de) 2009-04-08 2013-06-20 Kjellberg Finsterwalde Plasma Und Maschinen Gmbh Kühlrohre und Elektrodenaufnahme für einen Lichtbogenplasmabrenner sowie Anordnungen aus denselben und Lichtbogenplasmabrenner mit denselben
US8350181B2 (en) * 2009-08-24 2013-01-08 General Electric Company Gas distribution ring assembly for plasma spray system
US8237079B2 (en) * 2009-09-01 2012-08-07 General Electric Company Adjustable plasma spray gun
US9315888B2 (en) 2009-09-01 2016-04-19 General Electric Company Nozzle insert for thermal spray gun apparatus
TW201117677A (en) * 2009-11-02 2011-05-16 Ind Tech Res Inst Plasma system including inject device
US20130263420A1 (en) * 2012-04-04 2013-10-10 Hypertherm, Inc. Optimization and Control of Material Processing Using a Thermal Processing Torch
US10455682B2 (en) 2012-04-04 2019-10-22 Hypertherm, Inc. Optimization and control of material processing using a thermal processing torch
US9782852B2 (en) 2010-07-16 2017-10-10 Hypertherm, Inc. Plasma torch with LCD display with settings adjustment and fault diagnosis
US10486260B2 (en) * 2012-04-04 2019-11-26 Hypertherm, Inc. Systems, methods, and devices for transmitting information to thermal processing systems
WO2012115533A1 (en) * 2011-02-25 2012-08-30 Nippon Steel Corporation, Plasma torch
RU2458489C1 (ru) * 2011-03-04 2012-08-10 Открытое акционерное общество "Государственный научно-исследовательский и проектный институт редкометаллической промышленности "Гиредмет"" Двухструйный дуговой плазматрон
US9672460B2 (en) 2012-04-04 2017-06-06 Hypertherm, Inc. Configuring signal devices in thermal processing systems
US11783138B2 (en) * 2012-04-04 2023-10-10 Hypertherm, Inc. Configuring signal devices in thermal processing systems
US9395715B2 (en) 2012-04-04 2016-07-19 Hypertherm, Inc. Identifying components in a material processing system
US20150332071A1 (en) 2012-04-04 2015-11-19 Hypertherm, Inc. Configuring Signal Devices in Thermal Processing Systems
US9737954B2 (en) 2012-04-04 2017-08-22 Hypertherm, Inc. Automatically sensing consumable components in thermal processing systems
CN102773597A (zh) * 2012-07-24 2012-11-14 昆山瑞凌焊接科技有限公司 双丝高效垂直气电水冷焊枪
US9272360B2 (en) 2013-03-12 2016-03-01 General Electric Company Universal plasma extension gun
US9643273B2 (en) 2013-10-14 2017-05-09 Hypertherm, Inc. Systems and methods for configuring a cutting or welding delivery device
US10456855B2 (en) 2013-11-13 2019-10-29 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US9981335B2 (en) 2013-11-13 2018-05-29 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US11278983B2 (en) 2013-11-13 2022-03-22 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US11684995B2 (en) 2013-11-13 2023-06-27 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
US11432393B2 (en) 2013-11-13 2022-08-30 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
US10100200B2 (en) 2014-01-30 2018-10-16 Monolith Materials, Inc. Use of feedstock in carbon black plasma process
US10370539B2 (en) 2014-01-30 2019-08-06 Monolith Materials, Inc. System for high temperature chemical processing
US10138378B2 (en) 2014-01-30 2018-11-27 Monolith Materials, Inc. Plasma gas throat assembly and method
US11939477B2 (en) 2014-01-30 2024-03-26 Monolith Materials, Inc. High temperature heat integration method of making carbon black
WO2015116943A2 (en) 2014-01-31 2015-08-06 Monolith Materials, Inc. Plasma torch design
US9993934B2 (en) 2014-03-07 2018-06-12 Hyperthem, Inc. Liquid pressurization pump and systems with data storage
US10786924B2 (en) 2014-03-07 2020-09-29 Hypertherm, Inc. Waterjet cutting head temperature sensor
US20150269603A1 (en) 2014-03-19 2015-09-24 Hypertherm, Inc. Methods for Developing Customer Loyalty Programs and Related Systems and Devices
EP2942144B1 (de) * 2014-05-07 2024-07-03 Kjellberg-Stiftung Plasmaschneidbrenneranordnung sowie die Verwendung von Verschleißteilen bei einer Plasmaschneidbrenneranordnung
RU2693233C2 (ru) 2014-08-12 2019-07-01 Гипертерм, Инк. Затратоэффективная головка для плазменно-дуговой горелки
MX2017009981A (es) 2015-02-03 2018-01-25 Monolith Mat Inc Sistema generador de negro de humo.
US10618026B2 (en) 2015-02-03 2020-04-14 Monolith Materials, Inc. Regenerative cooling method and apparatus
CA3032246C (en) 2015-07-29 2023-12-12 Monolith Materials, Inc. Dc plasma torch electrical power design method and apparatus
CN108141948B (zh) 2015-08-04 2021-08-10 海别得公司 用于液体冷却的等离子弧焊炬的筒
EP3332615B1 (en) 2015-08-04 2022-04-13 Hypertherm, Inc. Improved plasma arc cutting systems, consumables and operational methods
US10687411B2 (en) * 2015-08-12 2020-06-16 Thermacut, K.S. Plasma arc torch nozzle with variably-curved orifice inlet profile
MX2018003122A (es) 2015-09-14 2018-06-19 Monolith Mat Inc Negro de humo de gas natural.
US10413991B2 (en) 2015-12-29 2019-09-17 Hypertherm, Inc. Supplying pressurized gas to plasma arc torch consumables and related systems and methods
CA3060482C (en) 2016-04-29 2023-04-11 Monolith Materials, Inc. Secondary heat addition to particle production process and apparatus
MX2018013161A (es) 2016-04-29 2019-06-24 Monolith Mat Inc Metodo y aparato para inyector de antorcha.
CH712835A1 (de) * 2016-08-26 2018-02-28 Amt Ag Plasmaspritzvorrichtung.
USD824966S1 (en) 2016-10-14 2018-08-07 Oerlikon Metco (Us) Inc. Powder injector
MX2019010619A (es) 2017-03-08 2019-12-19 Monolith Mat Inc Sistemas y metodos para fabricar particulas de carbono con gas de transferencia termica.
WO2018185837A1 (ja) * 2017-04-04 2018-10-11 株式会社Fuji プラズマ発生装置
USD823906S1 (en) 2017-04-13 2018-07-24 Oerlikon Metco (Us) Inc. Powder injector
CN115637064A (zh) 2017-04-20 2023-01-24 巨石材料公司 颗粒系统和方法
EP3676220A4 (en) 2017-08-28 2021-03-31 Monolith Materials, Inc. PARTICLE GENERATION SYSTEMS AND METHODS
WO2019084200A1 (en) 2017-10-24 2019-05-02 Monolith Materials, Inc. PARTICULAR SYSTEMS AND METHODS

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3330375A1 (de) * 1983-08-23 1985-03-07 Technica Entwicklungsgesellschaft mbH & Co KG, 2418 Ratzeburg Verfahren und anordnung zum impraegnieren einer fluessigkeit mit einem gas durch injektorwirkung, insb. zum impraegnieren von giesswasser mit co(pfeil abwaerts)2(pfeil abwaerts) fuer gartenbaubetriebe
BR8403815A (pt) 1983-08-23 1985-07-09 Technica Entwicklung Processo e aparelho para impregnacao de um liquido com um gas e,mais especificamente,para impregnacao de agua de irrigacao com co2 para plantacoes comerciais horticolas,jardinagem de lazer ou similares,e conjunto para obtencao do processo
US4982067A (en) * 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
JPH03226509A (ja) * 1990-01-31 1991-10-07 Sumitomo Metal Ind Ltd プラズマ発生装置および超微粒粉末の製造方法
US5013885A (en) * 1990-02-28 1991-05-07 Esab Welding Products, Inc. Plasma arc torch having extended nozzle of substantially hourglass
WO1992012610A1 (en) * 1990-12-26 1992-07-23 Inzhenerny Tsentr ''plazmodinamika'' Device for plasma-arc processing of material
GB2271124B (en) * 1990-12-26 1995-09-27 Opa Method and apparatus for plasma treatment of a material
GB9108891D0 (en) * 1991-04-25 1991-06-12 Tetronics Research & Dev Co Li Silica production
RU2032280C1 (ru) * 1992-02-18 1995-03-27 Инженерный центр "Плазмодинамика" Способ управления плазменным потоком и плазменное устройство
JP3203754B2 (ja) * 1992-03-30 2001-08-27 住友電気工業株式会社 ダイヤモンドの製造法および製造装置
DE69326624T2 (de) * 1992-11-27 2000-03-09 Kabushiki Kaisha Komatsu Seisakusho O Plasmabrenner
US5408066A (en) * 1993-10-13 1995-04-18 Trapani; Richard D. Powder injection apparatus for a plasma spray gun
WO1996023394A1 (fr) * 1995-01-26 1996-08-01 ZAKRYTOE AKTSIONERNOE OBSCHESTVO PROIZVODSTVENNAYA FIRMA 'Az' Appareil generateur de flux plasmique
US6040548A (en) * 1996-05-31 2000-03-21 Ipec Precision, Inc. Apparatus for generating and deflecting a plasma jet
CN1138019C (zh) * 1999-06-14 2004-02-11 大连海事大学 一种金属表面强化用的常压非平衡等离子体设备与工艺
CA2405743C (en) * 2000-04-10 2009-09-15 Tetronics Limited Twin plasma torch apparatus
GB2364875A (en) * 2000-07-10 2002-02-06 Tetronics Ltd A plasma torch electrode
RU2260155C2 (ru) * 2001-02-27 2005-09-10 Яньтай Лунюань Пауэр Текнолоджи Ко., Лтд. Составной катод и устройство для плазменного поджига, в котором используется составной катод
RU2196010C2 (ru) * 2001-04-13 2003-01-10 Батрак Игорь Константинович Установка плазменного напыления
ITRM20010291A1 (it) * 2001-05-29 2002-11-29 Ct Sviluppo Materiali Spa Torcia al plasma
SE523135C2 (sv) * 2002-09-17 2004-03-30 Smatri Ab Plasmasprutningsanordning
US7573000B2 (en) * 2003-07-11 2009-08-11 Lincoln Global, Inc. Power source for plasma device
US6969819B1 (en) * 2004-05-18 2005-11-29 The Esab Group, Inc. Plasma arc torch
WO2006012165A2 (en) * 2004-06-25 2006-02-02 H.C. Starck Inc. Plasma jet generating apparatus and method of use thereof
US7750265B2 (en) 2004-11-24 2010-07-06 Vladimir Belashchenko Multi-electrode plasma system and method for thermal spraying

Also Published As

Publication number Publication date
KR20090097895A (ko) 2009-09-16
US7671294B2 (en) 2010-03-02
EP2091758A2 (en) 2009-08-26
JP2010511284A (ja) 2010-04-08
AU2007325292B2 (en) 2013-02-14
WO2008067292A3 (en) 2008-07-17
JP2010511285A (ja) 2010-04-08
RU2459010C2 (ru) 2012-08-20
KR20140140646A (ko) 2014-12-09
JP5396609B2 (ja) 2014-01-22
CN101605625B (zh) 2013-05-29
WO2008067292A2 (en) 2008-06-05
EP2097204A2 (en) 2009-09-09
CA2670257A1 (en) 2008-06-05
EP2091758A4 (en) 2014-01-29
CN101605663B (zh) 2013-05-29
KR101495199B1 (ko) 2015-02-24
EP2097204A4 (en) 2014-01-29
CN101605625A (zh) 2009-12-16
MX2009005566A (es) 2009-10-20
WO2008067285A3 (en) 2008-08-21
BRPI0719558A2 (pt) 2013-12-10
MX2009005528A (es) 2009-10-08
CA2670256C (en) 2017-01-03
WO2008067285A2 (en) 2008-06-05
BRPI0719557A2 (pt) 2014-07-08
RU2009124487A (ru) 2011-01-10
EP2097204B1 (en) 2016-09-21
CN101605663A (zh) 2009-12-16
CA2670257C (en) 2017-01-03
US20080121624A1 (en) 2008-05-29
CA2670256A1 (en) 2008-06-05
AU2007325285B2 (en) 2013-02-14
EP2091758B1 (en) 2016-11-02
AU2007325285A1 (en) 2008-06-05
JP5396608B2 (ja) 2014-01-22
KR101438463B1 (ko) 2014-09-12
RU2009124486A (ru) 2011-01-10
AU2007325292A1 (en) 2008-06-05
RU2479438C2 (ru) 2013-04-20

Similar Documents

Publication Publication Date Title
KR101438463B1 (ko) 플라즈마 장치 및 시스템
US7750265B2 (en) Multi-electrode plasma system and method for thermal spraying
EP2822724B1 (en) Method and use of a plasma torch for the coating of a substrate
AU2012371647B2 (en) Extended cascade plasma gun
EP0605010A1 (en) Vortex arc generator and method of controlling the length of the arc
US10612122B2 (en) Plasma device and method for delivery of plasma and spray material at extended locations from an anode arc root attachment
RU2092981C1 (ru) Плазмотрон для напыления порошковых материалов

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee