KR20090102165A - Method for fabricating fine pattern in semiconductor device - Google Patents

Method for fabricating fine pattern in semiconductor device

Info

Publication number
KR20090102165A
KR20090102165A KR1020080027446A KR20080027446A KR20090102165A KR 20090102165 A KR20090102165 A KR 20090102165A KR 1020080027446 A KR1020080027446 A KR 1020080027446A KR 20080027446 A KR20080027446 A KR 20080027446A KR 20090102165 A KR20090102165 A KR 20090102165A
Authority
KR
South Korea
Prior art keywords
hard mask
pattern
forming
semiconductor device
fine pattern
Prior art date
Application number
KR1020080027446A
Other languages
Korean (ko)
Inventor
김광옥
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020080027446A priority Critical patent/KR20090102165A/en
Publication of KR20090102165A publication Critical patent/KR20090102165A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PURPOSE: A method for forming a fine pattern of a semiconductor device is provided to form a fine pattern of a uniform profile by forming a material layer with a flat surface on the result after forming a second hard mask pattern. CONSTITUTION: A first hard mask(120,220) is formed in an upper part of an etched layer of a substrate. A plurality of second hard mask patterns(230A) is formed in the upper part of the first hard mask. A material layer(260) with a flat surface is formed in the upper part of the result with the second hard mask pattern. A plurality of photoresist patterns positioned between the second hard mask patterns are formed in the upper part of the material layer. The material pattern is formed by etching the material layer using the photoresist pattern as the etching barrier. The first hard mask is etched using the second hard mask pattern and at least material layer pattern. The first hard mask pattern is formed. The etched layer is formed using the first hard mask pattern as the etching barrier.

Description

반도체 소자의 미세 패턴 형성 방법{METHOD FOR FABRICATING FINE PATTERN IN SEMICONDUCTOR DEVICE}METHOD FOR FABRICATING FINE PATTERN IN SEMICONDUCTOR DEVICE}

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 보다 상세하게는 더블 패터닝 기술(Double Patterning Technology:DPT)을 이용한 반도체 소자의 미세 패턴 형성 방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for forming a fine pattern of a semiconductor device using a double patterning technology (DPT).

최근 반도체 소자의 집적도 향상에 따라, 좁은 면적에 더 많은 소자를 집적시키기 위하여 디자인 룰(design rule)이 급격하게 감소하고 있다. 따라서, 반도체 소자 제조 공정에서 사용되는 패턴의 폭 및 간격을 감소시키는 기술이 요구된다.In recent years, as the integration degree of semiconductor devices improves, design rules are rapidly decreasing to integrate more devices in a narrow area. Therefore, a technique for reducing the width and spacing of the pattern used in the semiconductor device manufacturing process is required.

그러나, 포토리소그래피 공정을 이용하여 패턴을 형성하는 경우, 해상 한계로 인하여 1회의 노광만으로는 미세한 패턴을 형성하는데 한계가 있다. 따라서, 종래기술은 해상 한계를 극복하기 위하여 2회의 노광에 의해 미세한 패턴을 형성하는 더블 패터닝 기술(Double Patterning Technology:DPT)을 제안한다.However, when a pattern is formed using a photolithography process, there is a limit to forming a fine pattern by only one exposure due to the resolution limitation. Therefore, the prior art proposes a Double Patterning Technology (DPT) which forms a fine pattern by two exposures in order to overcome the resolution limit.

더블 패터닝 기술에 의하면, 2회의 노광 및 현상에 의해 해상 한계보다 더 작은 선폭 및 간격폭을 갖는 미세한 패턴을 형성할 수 있다. According to the double patterning technique, two exposures and developments can form a fine pattern having a line width and a gap width smaller than the resolution limit.

도 1은 종래기술에 따른 더블 패터닝 기술을 이용한 반도체 소자의 미세패턴 형성 방법을 설명하기 위한 반도체 소자의 공정 단면도이다.1 is a cross-sectional view of a semiconductor device for describing a method for forming a micropattern of a semiconductor device using a double patterning technique according to the related art.

도 1a에 도시된 바와 같이, 기판의 피식각층(110) 상부에 제1하드마스크(120)를 형성하고, 제1하드마스크(120)의 상부에 제2하드마스크(130)를 형성한다. 이어서, 제2하드마스크(130)의 상부에 제1반사방지막(140)을 형성한다.As shown in FIG. 1A, a first hard mask 120 is formed on the etched layer 110 of the substrate, and a second hard mask 130 is formed on the first hard mask 120. Subsequently, the first anti-reflection film 140 is formed on the second hard mask 130.

도 1b에 도시된 바와 같이, 제1반사방지막(140)의 상부에 포토레지스트를 도포하고, 포토 마스크(미도시)를 이용한 노광 및 현상을 통해 제1포토레지스트 패턴(150)을 형성한다. 여기서, 제1포토레지스트 패턴(150)은 후속 제2하드마스크 패턴을 형성하기 위한 것이다.As shown in FIG. 1B, a photoresist is coated on the first anti-reflection film 140, and a first photoresist pattern 150 is formed through exposure and development using a photo mask (not shown). Here, the first photoresist pattern 150 is for forming a subsequent second hard mask pattern.

도 1c에 도시된 바와 같이, 제1포토레지스트 패턴(150)을 식각마스크로 제1반사방지막(140) 및 제2하드마스크(130)를 식각하여, 소정 간격으로 위치하는 복수의 제2하드마스크 패턴(130A)을 형성한다.As illustrated in FIG. 1C, the first anti-reflection film 140 and the second hard mask 130 are etched using the first photoresist pattern 150 as an etch mask, and a plurality of second hard masks are positioned at predetermined intervals. The pattern 130A is formed.

도 1d에 도시된 바와 같이, 제2하드마스크 패턴(130A)이 형성된 결과물 상에 제2반사방지막(160)을 형성한다. As shown in FIG. 1D, the second anti-reflection film 160 is formed on the resultant formed with the second hard mask pattern 130A.

이때, 제2반사방지막(160)은 하부 구조의 단차를 반영하기 때문에, 표면이 평탄하지 못하다. 또한, 위치에 따라 두께가 상이하게 형성된다. 특히, 제2하드마스크 패턴(130A) 주변 영역(161)에는 다른 영역(162)에 비해 상대적으로 두께가 두꺼운 제2반사방지막(160)이 형성된다.At this time, since the second anti-reflection film 160 reflects the step of the lower structure, the surface is not flat. Further, the thickness is formed differently depending on the position. In particular, a second anti-reflection film 160 having a relatively thicker thickness than that of the other regions 162 is formed in the region 161 around the second hard mask pattern 130A.

도 1e에 도시된 바와 같이, 제2반사방지막(160)의 상부에 포토레지스트를 도포하고, 포토 마스크(미도시)를 이용한 노광 및 현상을 통해 제2포토레지스트 패턴(170)을 형성한다. 여기서, 제2포토레지스트 패턴(170)은 제2하드마스크 패턴(130A)의 사이에 위치하도록 형성된다.As shown in FIG. 1E, a photoresist is coated on the second antireflection film 160, and a second photoresist pattern 170 is formed through exposure and development using a photo mask (not shown). Here, the second photoresist pattern 170 is formed to be positioned between the second hard mask patterns 130A.

이때, 제2반사방지막(160)의 표면은 제1하드마스크 패턴(130A)으로 인한 하부 구조의 단차에 의해 평탄하지 못하다. 따라서, 제2반사방지막(160) 상부에 도포된 포토레지스트를 포토마스크를 통해 노광하는 과정에서 빛이 산란되고, 빛의 산란에 의해 제2포토레지스트 패턴(170)은 상부에 비해 하부의 폭이 좁은 머쉬룸(mushroom) 구조를 갖게 된다. At this time, the surface of the second anti-reflection film 160 is not flat due to the step of the lower structure due to the first hard mask pattern 130A. Therefore, light is scattered in the process of exposing the photoresist applied on the second anti-reflection film 160 through the photomask, and the second photoresist pattern 170 has a lower width than the upper part due to scattering of the light. It will have a narrow mushroom structure.

도 1f에 도시된 바와 같이, 제2포토레지스트 패턴(170)을 식각베리어로 제2반사방지막(160)을 식각한다. 이 과정에서, 기 형성된 제2하드마스크 패턴(130A)이 노출된다. 이때, 제2반사방지막(160)은 위치에 따라 두께가 상이하기 때문에, 두께가 두꺼운 영역(161)을 기준으로 식각 타깃을 결정한다. 즉, 제2반사방지막(160) 식각 시 과도 식각(over etch)을 수행하게 되며, 이 과정에서 제2포토레지스트 패턴(170)이 손상될 수 있다.As shown in FIG. 1F, the second anti-reflection film 160 is etched using the second photoresist pattern 170 as an etching barrier. In this process, the previously formed second hard mask pattern 130A is exposed. In this case, since the thickness of the second anti-reflection film 160 is different depending on the position, the etching target is determined based on the region 161 having a thick thickness. That is, when the second anti-reflection film 160 is etched, an over etch is performed, and the second photoresist pattern 170 may be damaged in this process.

이어서, 제2포토레지스트 패턴(170) 및 제2하드마스크 패턴(130A)을 식각베리어로 하여 제1하드마스크(120)를 식각함으로써, 제1하드마스크 패턴(120A)을 형성한다. Subsequently, the first hard mask 120 is etched using the second photoresist pattern 170 and the second hard mask pattern 130A as an etching barrier to form the first hard mask pattern 120A.

그러나, 포토리소그래피 공정에 의해 형성되는 제2포토레지스트 패턴(170)은 폴리 실리콘을 주성분으로하는 제2하드마스크 패턴(130A)에 비해, 기본적으로 쉽게 손상된다. 또한, 제2포토레지스트 패턴(170)은 머쉬룸 구조를 갖기 때문에, 제2반사방지막(160)의 식각 과정에서 더욱 손상되며, 제2반사방지막(160)의 과도 식각에 의해 손상이 더욱 심화된다.However, the second photoresist pattern 170 formed by the photolithography process is basically easily damaged as compared with the second hard mask pattern 130A mainly containing polysilicon. In addition, since the second photoresist pattern 170 has a mushroom structure, the second photoresist pattern 170 is further damaged during the etching process of the second antireflection film 160, and the damage is further deepened by the excessive etching of the second antireflection film 160.

따라서, 제2포토레지스트 패턴(170)은 제2하드마스크 패턴(120A) 형성 과정에서 식각베리어로서의 역할을 충실히 수행하지 못한다. 결국, 복수의 제1하드마스크 패턴(120A) 간에 단차 및 선폭의 차이가 발생하게 된다.Therefore, the second photoresist pattern 170 may not faithfully serve as an etch barrier in the process of forming the second hard mask pattern 120A. As a result, a difference in step and line width occurs between the plurality of first hard mask patterns 120A.

이와 같은 종래기술에 따르면, 복수의 제1하드마스크 패턴(120A)의 프로파일이 균일하지 못하기 때문에, 피식각층(110)을 식각함에 있어서, 식각베리어로서의 역할을 충실히 수행할 수 없게 된다. 결국, 원하는 프로파일의 미세 패턴을 형성하기 어렵다.According to the prior art as described above, since the profiles of the plurality of first hard mask patterns 120A are not uniform, it is impossible to faithfully perform a role as an etching barrier in etching the etching layer 110. As a result, it is difficult to form a fine pattern of a desired profile.

본 발명은 상기와 같은 문제점을 해결하기 위해 제안된 것으로, 제2하드마스크 패턴을 형성한 후, 결과물의 상부에 평탄한 표면을 갖는 물질막을 형성함으로써, 균일한 프로파일의 미세 패턴을 형성하는 반도체 소자의 미세 패턴 형성 방법을 제공하는 것을 목적으로 한다.The present invention has been proposed to solve the above problems, and after forming the second hard mask pattern, by forming a material film having a flat surface on top of the result, to form a fine pattern of a uniform profile of the semiconductor device It is an object to provide a method for forming a fine pattern.

본 발명이 속한 기술 분야에서 통상의 지식을 가진 자는 본 명세서의 도면, 발명의 상세한 설명 및 특허청구범위로부터 본 발명의 다른 목적 및 장점을 쉽게 인식할 수 있다.Those skilled in the art to which the present invention pertains can easily recognize other objects and advantages of the present invention from the drawings, the detailed description of the invention, and the claims.

이러한 목적을 달성하기 위해 제안된 본 발명은 반도체 소자의 미세 패턴을 형성하는 방법에 있어서, 기판의 피식각층 상부에 제1하드마스크를 형성하는 단계; 상기 제1하드마스크의 상부에 소정 간격으로 위치하는 복수의 제2하드마스크 패턴을 형성하는 단계; 상기 제2하드마스크 패턴이 형성된 결과물의 상부에 평탄한 표면을 갖는 물질막을 형성하는 단계; 상기 물질막의 상부에 상기 제2하드마스크 패턴 사이에 위치하는 복수의 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각베리어로 상기 물질막을 식각하여 물질막 패턴을 형성하는 단계; 상기 제2하드마스크 패턴 및 적어도 상기 물질막 패턴을 식각베리어로 상기 제1하드마스크를 식각하여, 제1하드마스크 패턴을 형성하는 단계; 및 상기 제1하드마스크 패턴을 식각베리어로 상기 피식각층을 형성하는 단계를 포함하는 것을 일 특징으로 한다.In order to achieve the above object, the present invention provides a method of forming a fine pattern of a semiconductor device, the method comprising: forming a first hard mask on an etched layer of a substrate; Forming a plurality of second hard mask patterns positioned at predetermined intervals on the first hard mask; Forming a material film having a flat surface on top of the resultant product on which the second hard mask pattern is formed; Forming a plurality of photoresist patterns on the material layer, the plurality of photoresist patterns positioned between the second hard mask patterns; Etching the material layer using the photoresist pattern as an etching barrier to form a material layer pattern; Etching the first hard mask using the second hard mask pattern and at least the material layer pattern as an etching barrier to form a first hard mask pattern; And forming the etched layer using the first hard mask pattern as an etch barrier.

본 발명에 의하면 제2하드마스크 패턴을 형성한 후, 평탄한 표면을 갖는 물질막을 형성한다. 따라서, 상부 및 하부가 일정한 폭을 갖는 균일한 프로파일의 포토레지스트 패턴을 형성할 수 있다. According to the present invention, after forming the second hard mask pattern, a material film having a flat surface is formed. Thus, it is possible to form a photoresist pattern of uniform profile having a constant width at the top and bottom.

또한, 포토레지스트 패턴 및 제2하드마스크 패턴을 식각베리어로 제1하드마스크를 식각하여 제1하드마스크 패턴을 형성하는 과정에서, 물질막의 표면이 평탄하기 때문에 식각 타깃을 적절히 조절할 수 있다. 따라서, 균일한 프로파일을 갖는 미세 패턴을 형성할 수 있다.In addition, in the process of forming the first hard mask pattern by etching the first hard mask by using the photoresist pattern and the second hard mask pattern as an etching barrier, the surface of the material film may be flat so that the etching target may be appropriately adjusted. Thus, it is possible to form a fine pattern having a uniform profile.

도 1a 및 도 1f는 종래기술에 따른 더블 패터닝 기술을 이용한 반도체 소자의 미세 패턴 형성 과정을 설명하기 위한 반도체 소자의 공정 단면도.1A and 1F are cross-sectional views of a semiconductor device for describing a process of forming a fine pattern of a semiconductor device using a double patterning technique according to the related art.

도 2a 및 도 2f는 본 발명에 따른 더블 패터닝 기술을 이용한 반도체 소자의 미세 패턴 형성 과정을 설명하기 위한 반도체 소자의 공정 단면도.2A and 2F are cross-sectional views of a semiconductor device for describing a process of forming a fine pattern of the semiconductor device using a double patterning technology according to the present invention.

이하에서는, 본 발명의 가장 바람직한 실시예가 설명된다. 도면에 있어서, 두께와 간격은 설명의 편의를 위하여 과장될 수 있다. 본 발명을 설명함에 있어서, 본 발명의 요지와 무관한 공지의 구성은 생략될 수 있다. 각 도면의 구성요소들에 참조 번호를 부가함에 있어서, 동일한 구성 요소들에 한해서는 비록 다른 도면상에 표시되더라도 가능한 한 동일한 번호를 가지도록 하고 있음에 유의하여야 한다.In the following, the most preferred embodiment of the present invention is described. In the drawings, thickness and spacing may be exaggerated for convenience of description. In describing the present invention, well-known structures irrelevant to the gist of the present invention may be omitted. In adding reference numerals to the components of each drawing, it should be noted that the same components as much as possible, even if displayed on different drawings.

본 명세서에서는 일 실시예로서, 패턴의 폭 및 간격의 합이 1피치(pitch)인 복수의 미세 패턴을 형성하기 위하여, 상기 1피치의 두 배인 2피치로 상호 중첩되지 않도록 형성된 제1포토레지스트 패턴 및 제2포토레지스트 패턴을 이용하는 방법을 설명하고 있다. 그러나, 본 발명이 이에 한정되는 것은 아니며, 제1포토레지스트 패턴 및 제2포토레지스트 패턴의 간격은 설계자에 의해 용이하게 변경될 수 있다.In the present specification, in order to form a plurality of fine patterns in which the sum of the widths and spacings of the patterns is one pitch, the first photoresist pattern is formed so as not to overlap each other with two pitches twice the pitch. And a method of using the second photoresist pattern. However, the present invention is not limited thereto, and the distance between the first photoresist pattern and the second photoresist pattern may be easily changed by the designer.

도 2는 본 발명에 따른 더블 패터닝 기술을 이용한 반도체 소자의 미세 패턴 형성 방법을 설명하기 위한 반도체 소자의 공정 단면도이다.2 is a cross-sectional view illustrating a semiconductor device for describing a method for forming a fine pattern of the semiconductor device using a double patterning technology according to the present invention.

도 2a에 도시된 바와 같이, 기판의 피식각층(210) 상부에 제1하드마스크 (220)를 형성하고, 제1하드마스크(220)의 상부에 제2하드마스크(230)를 형성한다. 이때, 제1하드마스크(220) 및 제2하드마스크(230)는 이종의 물질로 형성될 수 있다. As shown in FIG. 2A, a first hard mask 220 is formed on the etched layer 210 of the substrate, and a second hard mask 230 is formed on the first hard mask 220. In this case, the first hard mask 220 and the second hard mask 230 may be formed of different materials.

이어서, 제2하드마스크(230)의 상부에 제1반사방지막(240)을 형성한다. Subsequently, a first anti-reflection film 240 is formed on the second hard mask 230.

도 2b에 도시된 바와 같이, 제1반사방지막(240)의 상부에 포토레지스트를 도포하고, 포토 마스크(미도시)를 이용한 노광 및 현상을 통해 제1포토레지스트 패턴(250)을 형성한다. 여기서, 제1포토레지스트 패턴(250)은 후속 제2하드마스크 패턴을 형성하기 위한 것으로서, 전술한 바와 같이 2피치로 반복된다.As shown in FIG. 2B, a photoresist is coated on the first antireflection film 240, and the first photoresist pattern 250 is formed through exposure and development using a photo mask (not shown). Here, the first photoresist pattern 250 is for forming a subsequent second hard mask pattern, and is repeated at two pitches as described above.

도 2c에 도시된 바와 같이, 제1포토레지스트 패턴(250)을 식각마스크로 제1반사방지막(240) 및 제2하드마스크(230)를 식각하여, 2피치로 반복되는 제2하드마스크 패턴(230A)을 형성한다. As illustrated in FIG. 2C, the first anti-reflection film 240 and the second hard mask 230 are etched using the first photoresist pattern 250 as an etch mask, and the second hard mask pattern repeated at two pitches ( 230A).

도 2d에 도시된 바와 같이, 제2하드마스크 패턴(230A)이 형성된 결과물의 전체 구조상에, 하부의 단차와 관계없이 평탄한 표면을 갖는 물질막(260)을 형성한다. 이때, 물질막(260)의 두께는 제2하드마스크 패턴(230A)의 두께 이상의 값을 갖는다.As shown in FIG. 2D, a material film 260 having a flat surface is formed on the entire structure of the resultant product in which the second hard mask pattern 230A is formed, irrespective of the step difference below. In this case, the thickness of the material layer 260 may be greater than or equal to the thickness of the second hard mask pattern 230A.

이를 위하여, 평탄한 표면을 갖는 물질막(260)은 플로우 특성이 우수한 물질로 형성될 수 있다. 특히, 플로우 특성이 우수한 물질을 이용하여 코팅 공정에 의해 물질막(260)을 형성하는 것이 바람직하다. 플로우 특성이 우수한 물질막(260)은 일 실시예로서, 실리콘이 함유된 유기 물질로 이루어진 다기능하드마스크(Multi Functional Hard Mask:MFHM)일 수 있다. 여기서, 다기능하드마스크에 포함된 실리콘 성분은 O2 플라즈마와 접촉하여 SiO2막으로 변형될 수 있다. 즉, 다기능하드마스크는 하드마스크 역할 및 반사방지막 역할을 동시에 수행할 수 있다.To this end, the material film 260 having a flat surface may be formed of a material having excellent flow characteristics. In particular, it is preferable to form the material film 260 by a coating process using a material having excellent flow characteristics. The material film 260 having excellent flow characteristics may be, for example, a multi functional hard mask (MFHM) made of an organic material containing silicon. Here, the silicon component included in the multifunction hard mask may be transformed into an SiO 2 film by contacting with an O 2 plasma. In other words, the multifunction hard mask may simultaneously serve as a hard mask and an anti-reflection film.

또는, 평탄한 표면을 갖는 물질막(260)은 증착 및 평탄화 공정에 의해 형성될 수 있다. 일 실시예로서, 산화막 또는 질화막으로 물질막(260)을 형성하는 경우, 먼저 제2하드마스크 패턴(230A)이 형성된 결과물의 전체 구조상에 산화막 또는 질화막을 증착한다. 이어서, 소정 높이까지 산화막 또는 질화막을 평탄화함으로써, 제2하드마스크 패턴(230A)의 단차를 반영하지 않는 물질막(260)을 형성한다.Alternatively, the material film 260 having a flat surface may be formed by a deposition and planarization process. In an embodiment, when the material film 260 is formed of an oxide film or a nitride film, an oxide film or a nitride film is first deposited on the entire structure of the resultant product in which the second hard mask pattern 230A is formed. Subsequently, the oxide film or the nitride film is planarized to a predetermined height, thereby forming the material film 260 which does not reflect the step difference of the second hard mask pattern 230A.

도 2e에 도시된 바와 같이, 물질막(260)의 상부에 포토레지스트를 도포하고, 포토 마스크(미도시)를 이용한 노광 및 현상을 통해 제2포토레지스트 패턴(270)을 형성한다. 여기서, 제2포토레지스트 패턴(270)은 제2하드마스크 패턴(230A)의 사이에 위치하도록 형성된다.As shown in FIG. 2E, a photoresist is coated on the material layer 260, and a second photoresist pattern 270 is formed through exposure and development using a photo mask (not shown). Here, the second photoresist pattern 270 is formed to be positioned between the second hard mask patterns 230A.

좀더 구체적으로는, 제2포토레지스트 패턴(270)은 복수의 제2하드마스크 패턴(230A) 중 이웃하는 2개의 제2하드마스크 패턴(230A) 사이에 1개씩 위치하도록 형성되며, 제2하드마스크 패턴(230A)과 동일하게 2피치로 형성될 수 있다. 특히, 제2포토레지스트 패턴(270)에 의해 제2하드마스크 패턴(230A) 사이의 간격이 동일하게 양분되도록, 제2포토레지스트 패턴(270)의 위치를 조절한다.More specifically, the second photoresist pattern 270 is formed so as to be located one by one between two neighboring second hard mask patterns 230A among the plurality of second hard mask patterns 230A, and the second hard mask. Like the pattern 230A, it may be formed in two pitches. In particular, the position of the second photoresist pattern 270 is adjusted such that the distance between the second hard mask patterns 230A is equally divided by the second photoresist pattern 270.

이때, 제2포토레지스트 패턴(270)은 표면이 평탄한 물질막(260)의 상부에 형성되기 때문에, 상부와 하부의 폭이 동일한 일정한 프로파일로 형성된다.In this case, since the second photoresist pattern 270 is formed on the upper surface of the material film 260 having a flat surface, the second photoresist pattern 270 is formed to have a constant profile having the same width as the upper and lower portions.

도 2f에 도시된 바와 같이, 제2포토레지스트 패턴(270)을 식각베리어로 물질막(260)을 식각하여 물질막 패턴(260A)을 형성한다. 이를 통해, 제2하드마스크 패턴(230A)이 노출되며, 물질막 패턴(260A)은 상부의 제2포토레지스트 패턴(270)과 함께 패턴을 형성한다.As shown in FIG. 2F, the material layer 260 is etched using the second photoresist pattern 270 as an etching barrier to form the material layer pattern 260A. As a result, the second hard mask pattern 230A is exposed, and the material layer pattern 260A forms a pattern together with the second photoresist pattern 270 thereon.

이때, 물질막(260)의 표면이 평탄하기 때문에 물질막(260)의 식각 타깃을 적절히 조절할 수 있다. 즉, 과도 식각을 수행할 필요가 없기 때문에, 제2포토레지스트 패턴(270)의 손상을 최소화 할 수 있다.In this case, since the surface of the material film 260 is flat, the etching target of the material film 260 may be appropriately adjusted. That is, since it is not necessary to perform excessive etching, damage to the second photoresist pattern 270 may be minimized.

도 2g에 도시된 바와 같이, 제2하드마스크 패턴(230A) 및 적어도 물질막 패턴(260A)을 식각베리어로 하여 제1하드마스크(220)를 식각함으로써, 1피치로 반복되는 동일한 프로파일의 제1하드마스크 패턴(220A)을 형성한다. As shown in FIG. 2G, by etching the first hard mask 220 using the second hard mask pattern 230A and at least the material film pattern 260A as an etching barrier, the first profile having the same profile repeated at one pitch is repeated. The hard mask pattern 220A is formed.

이때, 물질막 패턴(260A)과 함께 상부의 제2포토레지스트 패턴(270)이 식각베리어로서의 역할을 수행하며, 제2포토레지스트 패턴(270)이 손상되는 경우에도 적어도 물질막 패턴(260A)을 이용하여 제1하드마스크(220)를 식각할 수 있다. In this case, the second photoresist pattern 270 along with the material layer pattern 260A serves as an etch barrier, and even when the second photoresist pattern 270 is damaged, at least the material layer pattern 260A may be removed. By using the first hard mask 220 may be etched.

특히, 물질막(260)의 일 실시예로서 다기능하드마스크를 사용하는 경우, 제1하드마스크 패턴(220A) 형성 과정에서 식각베리어로서의 역할을 충실히 수행할 수 있다.In particular, when the multi-function hard mask is used as an example of the material layer 260, it may faithfully serve as an etch barrier in the process of forming the first hard mask pattern 220A.

이어서, 본 도면에는 도시되지 않았으나, 제1하드마스크 패턴(220A)을 식각베리어로 피식각층(210)을 식각함으로써, 미세 패턴을 형성한다. 즉, 해상 한계보다 작은 선폭 및 간격폭을 갖는 미세 패턴을 구현할 수 있다.Subsequently, although not shown in the figure, a fine pattern is formed by etching the etching target layer 210 using the first hard mask pattern 220A as an etching barrier. That is, it is possible to implement a fine pattern having a line width and a gap width smaller than the resolution limit.

본 발명의 기술 사상은 상기 바람직한 실시예들에 따라 구체적으로 기록되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.Although the technical spirit of the present invention has been specifically recorded in accordance with the above-described preferred embodiments, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

Claims (8)

기판의 피식각층 상부에 제1하드마스크를 형성하는 단계;Forming a first hard mask on the etched layer of the substrate; 상기 제1하드마스크의 상부에 소정 간격으로 위치하는 복수의 제2하드마스크 패턴을 형성하는 단계;Forming a plurality of second hard mask patterns positioned at predetermined intervals on the first hard mask; 상기 제2하드마스크 패턴이 형성된 결과물의 상부에 평탄한 표면을 갖는 물질막을 형성하는 단계;Forming a material film having a flat surface on top of the resultant product on which the second hard mask pattern is formed; 상기 물질막의 상부에 상기 제2하드마스크 패턴 사이에 위치하는 복수의 포토레지스트 패턴을 형성하는 단계;Forming a plurality of photoresist patterns on the material layer, the plurality of photoresist patterns positioned between the second hard mask patterns; 상기 포토레지스트 패턴을 식각베리어로 상기 물질막을 식각하여 물질막 패턴을 형성하는 단계;Etching the material layer using the photoresist pattern as an etching barrier to form a material layer pattern; 상기 제2하드마스크 패턴 및 적어도 상기 물질막 패턴을 식각베리어로 상기 제1하드마스크를 식각하여, 제1하드마스크 패턴을 형성하는 단계; 및Etching the first hard mask using the second hard mask pattern and at least the material layer pattern as an etching barrier to form a first hard mask pattern; And 상기 제1하드마스크 패턴을 식각베리어로 상기 피식각층을 형성하는 단계Forming the etched layer using the first hard mask pattern as an etch barrier 를 포함하는 반도체 소자의 미세 패턴 형성 방법.Method of forming a fine pattern of a semiconductor device comprising a. 제 1 항에 있어서,The method of claim 1, 상기 복수의 포토레지스트 패턴은The plurality of photoresist patterns 상기 복수의 제2하드마스크 패턴 중 이웃하는 두 개의 제2하드마스크 패턴 사이에 한 개씩 위치하도록 형성되는It is formed so as to be located one by one between two neighboring second hard mask patterns of the plurality of second hard mask patterns. 반도체 소자의 미세 패턴 형성 방법.Method of forming a fine pattern of a semiconductor device. 제 1 항에 있어서,The method of claim 1, 상기 물질막은,The material film, 플로우 특성이 우수한Excellent flow characteristics 반도체 소자의 미세 패턴 형성 방법.Method of forming a fine pattern of a semiconductor device. 제 3 항에 있어서,The method of claim 3, wherein 상기 물질막은,The material film, 코팅 공정에 의해 형성되는Formed by coating process 반도체 소자의 미세 패턴 형성 방법.Method of forming a fine pattern of a semiconductor device. 제 3 항에 있어서,The method of claim 3, wherein 상기 물질막은,The material film, 다기능하드마스크(MFHM)인Multifunction Hard Mask (MFHM) 반도체 소자의 미세 패턴 형성 방법.Method of forming a fine pattern of a semiconductor device. 제 5 항에 있어서,The method of claim 5, wherein 상기 다기능하드마스크(MFHM)은 The multifunction hard mask (MFHM) is 실리콘이 함유된 유기 물질로 이루어진Made of organic material containing silicon 반도체 소자의 미세 패턴 형성 방법.Method of forming a fine pattern of a semiconductor device. 제 1 항에 있어서,The method of claim 1, 상기 물질막을 형성하는 단계는,Forming the material film, 상기 제2하드마스크 패턴이 형성된 결과물의 상부에 상기 제2하드마스크 패턴의 두께 이상으로 상기 물질막을 증착하는 단계; 및Depositing the material layer on the resultant formed with the second hard mask pattern to a thickness greater than or equal to the thickness of the second hard mask pattern; And 상기 증착된 물질막을 평탄화하는 단계Planarizing the deposited material film 를 포함하는 반도체 소자의 미세 패턴 형성 방법.Method of forming a fine pattern of a semiconductor device comprising a. 제 7 항에 있어서,The method of claim 7, wherein 상기 물질막은The material film is 산화막 또는 질화막인Oxide or nitride film 반도체 소장의 미세 패턴 형성 방법.Micro pattern formation method of semiconductor small intestine.
KR1020080027446A 2008-03-25 2008-03-25 Method for fabricating fine pattern in semiconductor device KR20090102165A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080027446A KR20090102165A (en) 2008-03-25 2008-03-25 Method for fabricating fine pattern in semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080027446A KR20090102165A (en) 2008-03-25 2008-03-25 Method for fabricating fine pattern in semiconductor device

Publications (1)

Publication Number Publication Date
KR20090102165A true KR20090102165A (en) 2009-09-30

Family

ID=41359674

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080027446A KR20090102165A (en) 2008-03-25 2008-03-25 Method for fabricating fine pattern in semiconductor device

Country Status (1)

Country Link
KR (1) KR20090102165A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10026614B2 (en) 2016-01-26 2018-07-17 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10026614B2 (en) 2016-01-26 2018-07-17 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device

Similar Documents

Publication Publication Date Title
US10049919B2 (en) Semiconductor device including a target integrated circuit pattern
US9633851B2 (en) Semiconductor device including small pitch patterns
KR100858877B1 (en) Method for fabricating semiconductor device
KR100942078B1 (en) Method for a micro pattern in a semiconductor device
US9466486B2 (en) Method for integrated circuit patterning
KR20110055912A (en) Method for forming fine pattern in semiconductor device
TWI443710B (en) Semiconductor device and fabrication method thereof
KR20110137521A (en) Method for forming pattern of the semiconductor device
US7687403B2 (en) Method of manufacturing flash memory device
TW201923834A (en) Method of forming semiconductor structure
KR100810895B1 (en) the semiconductor device and the manufacturing method thereof
US20090170310A1 (en) Method of forming a metal line of a semiconductor device
KR100741926B1 (en) Method for forming poly-silicon pattern
KR20090102165A (en) Method for fabricating fine pattern in semiconductor device
US9329471B1 (en) Achieving a critical dimension target based on resist characteristics
KR20070113604A (en) Method for forming micro pattern of semiconductor device
JP4095588B2 (en) Method for defining a minimum pitch that exceeds photolithographic resolution in an integrated circuit
US9348230B2 (en) Method of manufacturing semiconductor device
KR100946026B1 (en) Method of forming pattern for semiconductor
KR100995142B1 (en) Method of fabricating contact hole in semiconductor device
CN111640657A (en) Semiconductor device and method of forming the same
TWI478212B (en) Method for forming patterns
KR101161797B1 (en) Method for forming micropattern in semiconductor device
JP2005197707A (en) Dummy layer of semiconductor device and manufacturing method thereof
KR20080022951A (en) Method for manufacturing phase shift mask of semiconductor device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination