KR20090087459A - 전자 장치 및 기타 물품 상에 사용하기 위한 다층 코팅 - Google Patents

전자 장치 및 기타 물품 상에 사용하기 위한 다층 코팅 Download PDF

Info

Publication number
KR20090087459A
KR20090087459A KR1020097011207A KR20097011207A KR20090087459A KR 20090087459 A KR20090087459 A KR 20090087459A KR 1020097011207 A KR1020097011207 A KR 1020097011207A KR 20097011207 A KR20097011207 A KR 20097011207A KR 20090087459 A KR20090087459 A KR 20090087459A
Authority
KR
South Korea
Prior art keywords
layer
reaction conditions
precursor material
deposited
silane
Prior art date
Application number
KR1020097011207A
Other languages
English (en)
Inventor
시거드 와그너
Original Assignee
더 트러스티즈 오브 프린스턴 유니버시티
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 더 트러스티즈 오브 프린스턴 유니버시티 filed Critical 더 트러스티즈 오브 프린스턴 유니버시티
Publication of KR20090087459A publication Critical patent/KR20090087459A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • H10K50/844Encapsulations
    • H10K50/8445Encapsulations multilayered coatings having a repetitive structure, e.g. having multiple organic-inorganic bilayers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/50Multilayers
    • B05D7/52Two layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Electroluminescent Light Sources (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본원에는 표면 위에 다층 코팅(multilayered coating)을 형성시키는 방법이 개시되어 있다. 그 방법은 전구체 물질의 단일 공급원을 제공하는 단계 및 전구체 물질을 코팅하고자 하는 표면에 인접한 반응 위치로 수송하는 단계를 포함한다. 제1 층은 전구체 물질의 단일 공급원을 사용하여 화학 증착으로, 제1 세트의 반응 조건 하에 표면 위에 증착된다. 제2 층은 전구체 물질의 단일 공급원을 사용하여 화학 증착으로, 제2 세트의 반응 조건 하에 표면 위에 증착된다. 제1 층은 주로 중합체 성분을 가질 수 있고, 제2 층은 주로 비중합체 성분을 가질 수 있다. 화학 증착 공정은 플라즈마 강화형일 수 있으며, 반응물 기체를 사용하여 수행할 수 있다. 전구체 물질은 유기 규소 화합물, 예컨대 실록산일 수 있다. 제1 층 및 제2 층은 다양한 유형의 중합체 물질, 예컨대 실리콘 중합체 및 다양한 유형의 비중합체 물질, 예컨대 규소 산화물을 포함할 수 있다. 다층 코팅은 유기 발광 장치에 사용하기에 적합한 다양한 특징, 예컨대 광학 투명성, 비투과성 및/또는 가요성을 가질 수 있다.

Description

전자 장치 및 기타 물품 상에 사용하기 위한 다층 코팅{MULTILAYERED COATINGS FOR USE ON ELECTRONIC DEVICES AND OTHER ARTICLES}
상호 참조
본 출원은, 발명자가 시거드 와그너(Sigurd Wargner) 및 프라샨트 만들릭(Prashant Mandlik)이고, 대리인이 대리인 등록 번호 10020/35301이며, 발명의 명칭이 "전자 장치 또는 다른 물품 상의 코팅으로서 사용하기 위한 혼합된 조성 층(Mixed Composition Layers for Use as Coatings on Electronic Devices or Other Articles)"이며, 그리고 출원일이 본 출원과 동일자인 미국 특허 출원 연속 번호 ------를 그 전체 내용에 대하여 참고 인용하고 있다.
청구된 발명은 ARS(Army Research Office)에 의해 부여된 계약 번호 W911QX-06-C-0017 하에 미국 정부의 지원으로 이루어진 것이다. 미국 정부는 본 발명에 대하여 특정 권리를 소유할 수 있다.
기술 분야
본 발명은 전자 장치에 대한 베리어 코팅(barrier coating)에 관한 것이다.
유기 전자 장치, 예컨대 유기 발광 장치(OLED)는 수증기 또는 산소에 노출시 열화되기 쉽다. 수증기 또는 산소에 대한 그 노출을 감소시키기 위한 OLED 위의 보호 베리어 코팅은 그 장치의 수명 및 성능을 개선시키는데 도움을 줄 수 있다. 식품 포장에 성공적으로 사용되고 있는 산화규소, 질화규소 또는 산화알루미늄의 필름은 OLED에 대한 베리어 코팅으로서 사용하기 위한 것으로 간주되고 있다. 그러나, 이들 무기 필름은 그 필름을 관통하는 수증기 또는 산소의 확산을 허용하는 미시적 결함을 함유하는 경향이 있다. 일부 사례에서, 그 결함은 취성 필름에서 크랙으로서 열개된다. 확산의 양이 식품의 경우에는 허용가능할 수 있지만, OLED의 경우에는 허용 불가능할 수 있다. 이러한 문제점을 해소하기 위해서, 교대하는 무기 층과 중합체 층을 사용하는 다층 베리어 코팅은 OLED 상에 시험되었는데, 수증기 및 산소 침투에 대한 개선된 저항을 갖는 것으로 밝혀졌다. 그러나, 이러한 다층 코팅을 제조하기 위한 방법은 성가실 수 있으며 비용이 많이 들 수 있다. 따라서, OLED의 보호시 사용하기에 적합한 다층 코팅을 제작하기 위한 다른 방법에 대한 필요성이 존재한다.
발명의 개요
한가지 양태에서, 본 발명은 (a) 전구체 물질의 단일 공급원을 제공하는 단계; (b) 전구체 물질을 코팅하고자 하는 표면에 인접한 반응 위치로 수송하는 단계; (c) 전구체 물질의 단일 공급원을 사용하여 화학 증착으로 제1 세트의 반응 조건 하에 100:0 내지 75:25의 중합체 물질 대 비중합체 물질 중량비를 갖는 제1 층을 표면 위에 증착시키는 단계; 및 (d) 전구체 물질의 단일 공급원을 사용하여 화학 증착으로 제2 세트의 반응 조건 하에 0:100 내지 25:75의 중합체 물질 대 비중합체 물질의 중량비를 갖는 제2 층을 표면 위에 증착시키는 단계를 포함하는, 표면 위에 코팅을 형성시키는 방법을 제공한다.
화학 증착 공정은 플라즈마 강화형일 수 있으며, 반응물 기체를 사용하여 수행할 수 있다. 전구체 물질은 유기-규소 화합물, 예컨대 실록산일 수 있다. 중합체 층은 다양한 유형의 중합체 물질, 예컨대 실리콘 중합체를 포함할 수 있고, 비중합체 층은 다양한 유형의 비중합체 물질, 예컨대 규소 산화물을 포함할 수 있다. 다층 코팅은 유기 발광 장치에 사용하기에 적합한 다양한 특징, 예컨대 광학 투명성, 비투과성 및/또는 가요성을 가질 수 있다.
도면의 간단한 설명
도 1은 본 발명의 특정 실시양태를 실시하기에 이용될 수 있는 PE-CVD 장치의 개략적 디아그램을 도시한 것이다.
도 2는 다층 베리어 코팅을 갖는 OLED의 부분 단면도를 도시한 것이다.
도 3은 노출된 OLED에 대한 코팅된 OLED의 열화를 비교하는 실험 결과를 도시한 것이다.
발명의 상세한 설명
한가지 양태에서, 본 발명은 표면 위에 다층 코팅을 형성시키는 방법을 제공한다. 이 방법은 화학 증착으로 표면 위에 중합체 층 및 비중합체 층을 증착시키는 단계를 포함한다. 비중합체 층은 전구체 물질의 단일 공급원을 단독으로 사용하거나 또는 반응물 기체의 첨가와 함께 사용하여 제1 세트의 반응 조건 하에 증착된다. 중합체 층은 전구체 물질의 동일 단일 공급원을 단독으로 사용하거나 단응물 기체의 첨가와 함께 사용하여 제2 세트의 반응 조건 하에 증착된다.
본 명세서에 사용된 바와 같이, 용어 "비중합체"는 단일의 잘 정의된 분자량과 함께 잘 정의된 화학식을 갖는 분자로 이루어진 물질을 의미한다. "비중합체" 분자는 유의적으로 큰 분자량을 가질 수 있다. 일부 환경에서, 비중합체 분자는 반복 단위를 포함할 수 있다. 본 명세서에 사용된 바와 같이, 용어 "중합체"는 공유 결합되어 있는 반복 아단위를 가지며, 그리고 중합 반응이 각 분자에 대한 상이한 수의 반복 단위를 결과적으로 초래할 수 있기 때문에 분자마다 다양할 수 있는 분자량을 갖는 분자로 이루어진 물질을 의미한다. 중합체로는 단독중합체 및 공중합체, 예컨대 블록 공중합체, 그라프트 공중합체, 랜덤 공중합체 또는 교대 공중합체 뿐만 아니라 이들의 블랜드 및 변형물이 포함되지만, 이에 국한되는 것은 아니다. 중합체로는 탄소 또는 규소의 중합체가 포함되지만 이에 국한되는 것은 아니다.
"중합체 층"은 중합체 물질을 기본적으로 포함하지만, 임의의 양(5% 이하) 비중합체 물질을 함유할 수 있다. 그럼에도 불구하고 그 임의의 양은 해당 기술 분야의 당업자라면 그 층이 중합체인 것으로 간주할 정도로 충분히 소량이다. 마찬가지로, "비중합체 층"은 비중합체 물질을 기본적으로 포함하지만, 임의 양(5% 이하)의 중합체 물질을 함유할 수 있다. 그럼에도 불구하고 그 임의의 양은 해당 기술 분야의 당업자라면 그 층이 비중합체인 것으로 간주할 정도로 충분히 소량이다.
층의 중합체/비중합체 조성은 수적의 습윤 접촉각, IR 흡수, 경도 및 가요성을 비롯한 다양한 기법을 이용하여 측정할 수 있다. 예를 들면, HMDSO에 의해 형성된 순수 중합체 층의 습윤 접촉각은 약 103˚이다. 사실, 일부 실예에서, 제1 층은 60˚ 내지 115˚ 범위, 바람직하게는 75˚ 내지 115˚ 범위의 습윤 접촉각을 갖는다. 순수한 산화규소 층의 습윤 접촉각은 약 32˚이다. 사실, 일부 실예에서, 제2 층은 0˚ 내지 60˚ 범위의 습윤 접촉각을 갖는다. 습윤 접촉각은 증착된 그대로의 필름의 표면 상에서 측정되는 경우 조성의 측정수단임을 유의해야 한다. 습윤 접촉각이 증착후 처리에 의해 크게 변할 수 있기 때문에, 그러한 처리후에 취해진 측정은 층의 조성을 정확하게 반영할 수 없다. 습윤 접촉각이 유기 규소 전구체로부터 형성된 광범위한 층에 적용가능하다. 제1 층은 바람직하게는 1 MPa 내지 3 Gpa 범위, 보다 바람직하게는 0.2 내지 2 GPa 범위의 나노 압흔 경도를 갖는다. 제2 층은 바람직하게는 10 GPa 내지 200 GPa 범위, 보다 바람직하게는 10 GPa 내지 20 GPa 범위의 나노 압흔 경도를 갖는다. 특정 실예에서, 층들 중 하나 이상은 0.1 nm 내지 10 nm 범위, 보다 바람직하게는 0.2 nm 내지 0.35 nm 범위의 표면 조도(평균제곱근)을 갖는다. 특정 실예에서, 층들 중 하나 이상은, 50 ㎛ 두께의 폴리이미드 호일 기판 상의 4 ㎛ 두께 층으로서 증착되는 경우, 0.2%의 인장 변형률(ε)에서 1 인치 직경 롤러 상에 적어도 55,000회 회전 주기 후 마이크로구조 변화가 전혀 관찰되지 않을 정도로 충분한 가요성을 갖는다. 특정 실예에서, 층들 중 하나 이상은 (해당 기술 분야의 당업자에 의해 고려되는 바와 같이, 4 ㎛의 순수 산화규소 층을 일반적으로 균열시키는 인장 변형률 수준인) 0.35% 이상의 인장 변형률(ε) 하에 어떠한 크랙도 나타나지 않을 정도로 충분한 가요성을 갖는다.
순수한 비중합체 물질, 예컨대 산화규소로 이루어진 단일층 베리어 코팅은 광학 투명성, 우수한 접착성, 및 우수한 필름 응력에 관한 다양한 이점을 가질 수 있다. 그러나, 이러한 비중합체 층은 코팅을 관통한 수증기 및 산소의 확산을 허용하는 미시적 결함을 함유하는 경향이 있다. 교대하는 중합체 층 및 비중합체 층은 코팅의 투과성을 감소시킬 수 있다. 이론에 의해 한정하고자 하는 것은 아니지만, 본 발명자들은 중합체 층이 인접한 비중합체 층 내의 결함을 차단 및/또는 평탄화함으로써, 결함을 통한 확산을 감소시킬 수 있는 것으로 고려된다.
본 명세서에 사용된 바와 같이, "전구체 물질의 단일 공급원"은, 전구체 물질이 첨가되는 반응물 기체를 사용하거나 사용하는 일 없이 CVD에 의해 증착되는 경우, 중합체 층 및 비중합체 층을 모두를 형성시키기에 필요한 모든 전구체 물질을 제공하는 공급원을 의미한다. 이는 중합체 층이 하나의 전구체 물질을 사용하여 형성되며, 그리고 비중합체 층이 상이한 전구체 물질을 사용하여 형성되는 경우인 방법을 배제하도록 의도된 것이다. 전구체 물질의 단일 공급원을 사용함으로써, 증착 공정은 단순화하게 된다. 전구체 물질의 단일 공급원을 사용함으로써, 증착 공정은 단순화된다. 예를 들면, 전구체 물질의 단일 공급원은 전구체 물질들의 개별 스트림에 대한 필요성 및 개별 스트림을 모니터링하는 부수적 필요성을 미연에 방지할 수 있을 것이다.
전구체 물질은 단일 화합물 또는 화합물들의 혼합물일 수 있다. 전구체 물질이 화합물들의 혼합물인 경우, 일부 사례에서, 혼합물 중의 상이한 화합물 각각은 그 자체로 전구체 물질로서 독립적으로 작용할 수 있다. 예를 들면, 전구체 물질은 헥사메틸 디실리록산(HMDSO)과 디메틸 실록산(DMSO)의 혼합물일 수 있다.
일부 사례에서, 플라즈마 강화형 CVD(PE-CVD)이 각각의 층을 증착시키는데 이용될 수 있다. PE-CVD는 저온 증착, 균일한 코팅 형성 및 제어가능한 공정 파라미터를 비롯한 다양한 이유로 바람직할 수 있다. 플라즈마를 발생시키는 RF 에너지를 사용하는 것을 비롯하여 본 발명에서 사용하기에 적합한 다양한 PE-CVD 공정은 해당 기술 분야에 공지되어 있다.
전구체 물질은 화학 증착에 의해 증착되는 경우 중합체 물질 및 비중합체 물질을 둘 다 형성할 수 있는 물질이다. 다양한 그런 전구체 물질은 본 발명에 사용하기에 적합하고, 그 다양한 특징에 대하여 선택된다. 예를 들면, 전구체 물질은 화학 원소의 함량, 화학 원소의 화학양론적 비율 및/또는 CVD 하에 형성된 중합체 및 비중합체 물질에 대하여 선택될 수 있다. 실예를 들면, 유기 규소 화합물, 예컨대 실록산은 전구체 물질로서 사용하기에 적합한 부류의 화합물이다. 실록산 화합물의 대표적인 예로는 헥사메틸 디실록산(HMDSO) 및 디메틸 실록산(DMSO)이 포함된다. CVD에 의해 증착되는 경우, 이들 실록산 화합물은 중합체 물질, 예컨대 실리콘 중합체 및 비중합체 물질, 예컨대 산화규소를 형성할 수 있다. 전구체 물질은 또한 다양한 다른 특징, 예컨대 비용, 비독성, 취급 특징, 실온에서 액체상 유지할 수 있는 능력, 휘발성, 분자량 등에 대하여 선택될 수 있다.
전구체 물질로서 사용하기에 적합한 다른 유기 규소 화합물로는 메틸실란, 디메틸실란, 비닐 트리메틸실란, 트리메틸실란, 테트라메틸실란, 에틸실란, 디실라노메탄, 비스(메틸실라노)메탄, 1,2-디실라노에탄, 1,2-비스(메틸실라노)에탄, 2,2-디실라노프로판, 1,3,5-트리실라노-2,4,6-트리메틸렌, 및 이들 화합물의 플루오르화 유도체가 포함된다. 전구체 물질로서 사용하기에 적합한 페닐 함유 유기 규소 화합물로는 디메틸페닐실란 및 디페닐메틸실란이 포함된다. 전구체 물질로서 사용하기에 적합한 산소 함유 유기 규소 화합물로는 디메틸디메톡시실란, 1,3,5,7-테트라메틸시클로테트라실록산, 1,1,3,3-테트라메틸디실록산, 1,3-비스(실라노메틸렌)디실록산, 비스(1-메틸디실록사닐)메탄, 2,2-비스(1-메틸디실록사닐)프로판, 2,4,6,8-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 2,4,6,8,10-펜타메틸시클로펜타실록산, 1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, 헥사메틸시클로트리실록산, 1,3-디메틸디실록산, 1,3,5,7,9-펜타메틸시클로펜타실록산, 핵사메톡시디실록산, 및 이들 화합물의 플루오르화 유도체가 포함된다. 전구체 물질로서 사용하기에 적합한 질소 함유 화합물은 헥사메틸디실라잔, 디비닐테트라메틸디실리잔, 헥사메틸시클로트리실라잔, 디메틸비스(N-메틸아세트아미도)실란, 디메틸비스(N-에틸아세트아미도)실란, 메틸비닐비스(N-메틸아세트아미도)실란, 메틸비닐비스(N-부틸아세트아미도)실란, 메틸트리스(N-페닐아세트아미도)실란, 비닐 트리스(N-에틸아세트아미도)실란, 테트라키스(N메틸아세트아미도)실란, 디페닐비스(디에틸아미녹시)실란, 메틸트리스(디에틸아미녹시)실란 및 비스(트리메틸실릴)카르보디이미드가 포함된다.
PE-CVD를 이용하는 경우, 전구체 물질은 그 PE-CVD 공정에서 전구체 물질과 반응하는 반응물 기체와 함께 사용할 수 있다. PE-CVD에서 반응물 기체의 사용은 해당 기술 분야에 공지되어 있고, 산소 함유 기체(예를 들면, O2, 오존, 물) 및 질소 함유 기체(예를 들면, 암모니아)를 비롯한 다양한 반응물 기체가 본 발명에 사용하기 적합하다. 반응물 기체는 반응 혼합물 내에 존재하는 화학 원소의 화학양론적 비율을 다양하게 하는데 사용할 수 있다. 예를 들면, 실록산 전구체 물질이 산소 또는 질소 함유 반응물 기체와 함께 사용되는 경우, 그 반응물 기체는 반응 혼합물 내의 규소 및 탄소와 관련하여 산소 또는 질소의 화학양론적 비율을 변경시킨다. 반응 혼합물 내에서 다양한 화학 원소들(예를 들면, 규소, 탄소, 산소, 질소) 간의 그러한 화학양론적 비율은 몇가지 방식으로 다양하게 할 수 있다. 한가지 방식은 반응에서 전구체 물질 또는 반응물 기체의 농도를 다양하게 하는 것이다. 또다른 방식은 반응 내로의 전구체 물질 또는 반응물 기체의 유속을 다양하게 하는 것이다. 또다른 방식은 반응에서 사용된 전구체 물질 또는 반응물 기체의 유형을 다양하게 하는 것이다.
전구체 물질의 화학 증착에 의해 형성된 물질의 유형은 CVD 공정이 수행되는 반응 조건에 따라 달라진다. 반응 조건은 전구체 사용된 전구체 물질 및 반응물 기체의 유형, 및 이들 물질의 정량을 비롯하여 반응 혼합물의 조성에 의해 한정될 수 있다. 예를 들면, 반응 혼합물은 전구체 물질로서 실록산(예를 들면, HMDSO 또는 DMSO)을, 그리고 반응물 기체로서 산소를 함유할 수 있다. 반응 혼합물 물질의 정량은 그 물질의 유속을 다양하게 함으로써 조절될 수 있다. 예를 들면, 전구체 물질 및 반응물 기체의 유속을 다양하게 함으로써, 상이한 유형의 물질이 증착될 수 있다. 일부 사례에서, 반응물 기체는 반응 혼합물에는 존재하지 않는다(예를 들면, 반응물 기체의 유속은 0으로 설정된다). 반응 조건을 한정하는 기타 파라미터는 다양한 공정 파라미터, 예컨대 RF 전원 및 주파수, 증착 압력, 온도, 및 증착 시간을 포함한다.
본 발명의 방법에서, 제1 세트의 반응 조건은 주로 중합체 성분을 갖는 제1 층을 CVD로 증착시키는데 사용된다. 전구체 물질은 사용된 반응 조건에 따라 다양한 유형의 비중합체 물질을 형성할 수 있다. 비중합체 물질은 무기 또는 유기일 수 있다. 예를 들면, 유기 규소 화합물이 전구체 물질로서 유기 함유 반응물 기체와 조합하여 사용되는 경우, 비중합체 물질은 규소 산화물, 예컨대 SiO, SiO2 및 혼성된 원자가 산화물 SiOX을 포함할 수 있다. 질소 함유 반응물 기체에 의해 증착되는 경우, 비중합체 물질은 규소 질화물(SiNX)을 포함할 수 있다. 형성될 수 있는 기타 비중합체 물질은 탄화규소, 옥시탄화규소, 및 옥시질화규소를 포함할 수 있다. 제1 층은 100:0 내지 75:25의 중합체 대 비중합체의 중량비를 갖는 것이 바람직하다.
제2 세트의 반응 조건은 주로 비중합체 성분을 갖는 제2 층을 CVD로 증착시키는데 사용된다. 전구체 물질은 사용되는 반응 조건에 따라 다양한 유형의 중합체 물질을 형성할 수 있다. 중합체 물질은 무기 또는 유기일 수 있다. 예를 들면, 유기 규소 화합물이 전구체 물질로서 사용되는 경우, 증착된 혼성층은 Si-O 결합, Si-C 결합 또는 Si-O-C 결합의 중합체 사슬을 포함하여 폴리실록산, 폴리카르보실록산 및 폴리실란 뿐만 아니라 유기 중합체를 형성할 수 있다. 제2 층은 0:100 내지 25:75의 중합체 대 비중합체의 중량비를 갖는 것이 바람직하다.
따라서, 본 발명의 방법을 이용함으로써, 교대하는 주 중합체 층 및 주 비중합체 층을 갖는 다층 코팅을 형성시키는 것이 가능하다. 코팅은 다양한 용도에 사용하기에 적합한 특징을 가질 수 있다. 그러한 특징으로는 광학 투명성, 비투과성, 가요성, 두께, 접착성 및 다른 기계적 특성이 포함된다. 예를 들면, 이들 특징 중 하나 이상은 코팅의 전체 두께, 비중합체 층의 두께에 상대적인 중합체 층의 두께, 및 교대 층의 수를 다양하게 함으로써 조정할 수 있다. 실례를 들면, 코팅은 원하는 수준의 비투과성을 달성하기 위해서 3쌍 내지 5쌍의 중합체 층/비중합체 층을 가질 수 있다. 일부 실예에서, 중합체 층은 0.1 ㎛ 내지 10 ㎛의 두께를 가지며, 비중합체 층은 0.05 ㎛ 내지 10 ㎛의 두께를 가질 수 있다. 층들의 기타 갯수 및 두께가 또한 가능하고, 각 층의 두께는 독립적으로 다양하게 될 수 있다.
층들이 특징화될 수 있는 방식 중 하나는 수적의 습윤 접촉각에 의한 것이며, 그것은 해당 기술 분야에 공지된 기법이다. 다층 코팅이 주 중합체 성분 및 주 비중합체 성분을 갖는 교대 층을 갖고 있는지 여부를 측정하는 한가지 방식은 습윤 접촉각을 측정하는 것이다. 예를 들면, 제1 층이 60˚ 초과(또는 60˚ 내지 115˚)의 습윤 접촉각을 갖고 제2 층이 60˚ 미만(또는 60˚ 내지 0˚)의 습윤 접촉각을 갖는 경우, 제1 층은 제2 층보다 유의적으로 보다 많은 중합체를 갖는 것으로 간주된다. 예를 들면, 중합체, pp-HMDSO에 대한 접촉각은 103˚이고, 비중합체, SiO2에 대한 접촉각은 32˚이다. 일부 사례에서, 다층 코팅은 제1 층과 제2 층 간의 습윤 접촉각이 특정한 양 만큼 차이가 나는 경우 교대 층을 갖는 것으로 간주될 수 있다. 예를 들면, 다층 코팅은, 제1 층이 제2 층보다 15˚ 이상 더 큰 습윤 접촉각을 갖는 경우, 제1 층이 보다 많은 중합체를 갖는 교대 층을 갖는 것으로 특징화할 수 있다.
중합체 층 및 비중합체 층은 임의 순서로 증착될 수 있다. 일부 사례에서, 비중합체 층은 중합체 층 앞에 증착된다. 다른 사례에서, 중합체 층은 비중합체 층 앞에 증착된다. 예를 들면, 중합체 층은 기판에 우선 증착되어 평탄화 층으로서 작용할 수 있다.
다층 코팅은 다양한 유형의 물품 위에 증착될 수 있다. 일부 사례에서, 물품은 유기 전자 장치, 예컨대 OLED일 수 있다. OLED의 경우, 다층 코팅은 수증기 및 산소의 투과를 저지하는 베리어 코팅으로서 작용할 수 있다. 예를 들면, 10-6 g/m2/일 미만의 수증기 투과율 및/또는 10-3 g/m2/일 미만의 산소 투과율을 갖는 다층 코팅은 OLED를 보호하는데 적합할 수 있다. 일부 사례에서, 다층 코팅의 두께는 0.5 ㎛ 내지 10 ㎛ 범위일 수 있지만, 또한 적용에 따라 다른 두께도 가능하다. 또한, 광학 투명성을 부여하는 두께 및 물질 조성을 갖는 다층 코팅도 OLED에 사용하기에 적합할 수 있다. 가요성 OLED에 사용하는 경우, 다층 코팅은 원하는 정도의 가요성을 갖도록 설계할 수 있다. 일부 사례에서, 다층 코팅은 환경에 노출시 열화에 민감한 기타 물품, 예컨대 약품, 의료 장치, 생물학적 제제, 생물학적 시료, 바이오센서, 또는 다른 민감성 측정 장비 상에 사용할 수 있다.
다양한 유형의 CVD 반응기 중 어느 것이든 본 발명의 방법을 실시하는데 사용할 수 있다. 한가지 예로서, 도 1은 본 발명의 특정 실시양태를 실시하는데 사용할 수 있는 PE-CVD 장치(10)를 도시한 것이다. PE-CVD 장치(10)는 전자 장치(30)가 호울더(24) 상에 장착되는 반응 체임버(20)를 포함한다. 반응 체임버(20)는 진공을 함유하도록 설계되고 반응 체임버(20)에는 진공 펌프(70)가 적당한 압력을 형성 및/또는 유지하도록 연결된다. N2 기체 탱크(50)는 장치(10)를 퍼지하기 위한 N2 기체를 제공한다. 반응 체임버(20)는 반응에 의해 발생되는 열을 감소시키는 냉각 시스템을 추가로 포함할 수 있다.
기체의 유동을 취급하기 위해서, 장치(10)는 또한 수동 제어 또는 자동 제어 하에 있을 수 있는 다양한 유동 제어 메카니즘(예컨대, 질량 유동 제어기(80), 셔트-오프(shut-off) 밸브(82), 및 체크 밸크(84))을 포함한다. 전구체 물질 공급원(40)은 기화되어 반응 체임버(20) 내로 공급되는 전구체 물질(예를 들면, 액체 형태의 HMDSO)을 제공한다. 일부 사례에서, 전구체 물질은 아르곤과 같은 캐리어 기체를 사용하여 반응 체임버(20)로 수송할 수 있다. 반응물 기체 탱크(60)는 반응물 기체(예를 들면, 산소)를 제공하고, 이 반응물 기체는 또한 반응 체임버(20) 내로 공급된다. 전구체 물질 및 반응물 기체는 반응 체임버(20) 내로 유동하여 전자 장치(30)에 인접하게 반응 혼합물(42)을 생성시킨다. 반응 체임버(20) 내부의 압력은 추가로 증착 압력을 달성하기 위해서 조정할 수 있다. 반응 체임버(20)는 전도체 또는 절연체일 수 있는 전극 격리부(standoff)(26)에 탑재된 일련의 전극(22)을 포함한다. 장치(30) 및 전극(22)의 다양한 배열이 가능하다. 2극관 또는 3극관 전극, 또는 원거리 전극을 사용할 수 있다. 장치(30)는 도 1에 도시된 바와 같이 원거리에 위치할 수 있거나, 또는 2극관 배치의 하나의 전극 또는 전극 둘 다에 탑재될 수 있다.
전극(22)은 RF 전력을 공급받아 반응 혼합물(42)에서 플라즈마 조건을 생성시킨다. 플라즈마에 의해 생성된 반응 생성물은 전자 장치(30) 위에 증착된다. 반응은 전자 장치(30) 위에 층을 증착시키기에 충분한 시간 동안 진행되도록 허용한다. 반응 시간은 다양한 인자, 예를 들면 전극(22)과 관련하여 장치(30)의 위치, 증착시키고자 하는 층의 유형, 반응 조건, 층의 원하는 두께, 전구체 물질 및 반응물 기체에 따라 달라진다. 반응 시간은 5 초 내지 5 시간 사이의 지속시간일 수 있지만, 보다 길거나 또는 보다 짧은 시간도 적용에 따라 사용할 수 있다. 이어서, 선행 단계들은 다른 유형의 층을 증착시키기 위해서 상이한 세트의 반응 조건 하에 반복할 수 있다. 장치(30)는 그 온도를 원하는 수치로 유도하거나 유지하도록 가열 또는 냉각이 필요할 수 있다.
도 2는 기판(150) 상의 OLED 본체(140), 및 전구체 물질로서 HMDSO를 그리고 반응물 기체로서 산소를 사용하여 PE-CVD로 증착한 다층 베리어 코팅(160)을 포함하는 OLED(100)의 부분 단면도를 도시한 것이다, 다층 코팅에서 각 층의 특징 및 각층이 증착되는 반응 조건은 하기 표 1에 제시되어 있다. 산화규소의 층(110)은 제시된 반응 조건을 사용하여 OLED의 본체(140) 위에 증착된다. 실리콘 중합체의 층(120)은 상이한 세트의 반응 조건을 이용하여 층(110) 상에 증착되는데, 그 세트의 반응 조건은 HMDSO의 보다 높은 유속 및 산소의 감소된 유속을 포함한다. 최종적으로, 산화규소의 층(130)은 층(110)과 동일한 반응 조건을 이용하여 층(120) 상에 증착된다.
HMDSO 공급원 온도 (℃) HMDSO 기체 유속 (sccm) O2 기체 유속 (sccm) 압력 (m torr) RF 전력 (W) 증착 시간 (분) 필름 두께 (Å)
110 (산화물) 33 0.4 300 600 5 30 800
120 (중합체) 33 10 13 130 18 10 1,600
130 (산화물) 33 0.4 300 600 5 30 800
도 3은 노출된 OLED에 대한 도 2의 코팅된 OLED의 열화를 비교하는 실험의 결과를 도시한 것이다, 양쪽 OLED는 주위 대기 중에 실온에서 17일 동안 6.5V DC 전류 하에 작동된다. 도 3에서의 이미지는 초기 시점에서 그리고 17일 후에 OLED의 조건을 도시한 것이다. 노출된 OLED와 비교시, 코팅된 OLED는 유의적으로 보다 덜한 열화 상태로 유지된다. 이러한 결과는 본 발명의 방법이 환경 노출의 열화 효과에 대하여 효과적으로 보호하는 코팅을 제공할 수 있다는 점을 입증해 보여준다.
도 4는 33℃의 공급원 온도 및 1.5 sccm의 유속의 HMDSO를 사용하고, 50 sccm의 유속에서의 O2를 사용하여 150 mtorr의 증착 압력, 60 W의 RF 전력 및 135 분의 증착 시간 하에 증착된 6 ㎛ 층의 광학 투과 스펙트럼을 도시한 도면이다. 이 층은 근-UV 및 근-IR 스펙트럼에서 90% 초과의 투과율 갖는다.
도 5는 필름 상의 수적의 접촉각을 측정하는 방법을 도시한 것이다. 도 6은 순수한 SiO2 필름의 접촉각과 순수한 중합체 필름의 접촉각의 비교시 다양한 O2/HMDSO 기체 유동 비 하에 형성된 몇몇 층의 접촉각을 도시한 도면이다. 증착 공정에서 산소 유속이 증가함에 따라, 층들의 접촉각은 순수한 SiO2 필름의 것에 접근하게 된다.
도 7은 PE-CVD 공정 동안 인가된 다양한 전력 수준 하에 형성된 몇몇 층의 접촉각을 도시한 도면이다. 층들의 접촉각은 전력 수준이 증가함에 따라 순순한 SiO2 필름의 것에 접근하게 되는데, 이는 보다 높은 전력 수준이 O2를 보다 강력한 산화제로 만든다는 사실에 기인할 수 있다. 도 8은 순수한 O2(열적 산화물) 필름 또는 순수한 중합체의 필름과 비교시 비교적 높은 O2 유동 및 비교적 낮은 O2 유동을 사용하여 형성된 층들의 적외선 흡수 스펙트럼을 도시한 것이다. 높은 O2 층은 Si-O-Si 피크에서 강한 피크를 나타낸다. 열적 산화물(순수한 SiO2) 필름의 경우 Si-CH3 밴드에서의 정상 피크는 Si-O 진동과 관련이 있는 것으로 고려된다. 도 9는 순수한 SiO2 필름의 경도와 비교시 다양한 O2/HMDSO 기체 유동 비 하에 형성된 다양한 층들의 나노 압흔 경도를 도시한 도면이다. 층들의 경도는 증착 공정에서 산소 유속이 증가함에 따라 증가하고, 이러한 층들은 경질의 순수한 SiO2 막에 거의 유사할 수 있지만, 여전히 거칠고 고도로 가요성이 있다.
도 10은 원자력 현미경에 의해 측정된, 다양한 02/HMDS0 기체 유동 비 하에 형성된 몇몇 층들의 표면 조도(평균제곱근)을 도시한 도면이고, 표면 조도가 증착 공정에서 사용된 O2 유속이 증가함에 따라 감소한다는 점을 보여준다. 도 11은 원자력 현미경에 의해 측정된, 다양한 전력 수준 하에 형성된 몇몇 층들의 표면 조도(평균제곱근)를 도시한 도면이고, 표면 조도가 증착 공정에서 사용된 전력 수준이 증가함에 따라 감소한다는 점을 보여준다.
도 12a 및 도 12b는 50 ㎛ 두께의 캡톤 폴리이미드 호일 상에, 33℃의 공급원 온도, 1.5 sccm의 HMDSO 기체 유속, 50 sccm의 O2 유속, 150 mtorr의 압력 및 60 w의 RF 전력 하에 증착된 4 ㎛ 층의 표면의 광학 현미경 사진을 도시한 것이다. 도 12a에서, 이미지는 코팅된 호일이 1 인치 직경 롤(인장 변형률 ε = 0.2%) 상에서 주기적 회전 처리되기 이전에 및 이후에 얻는다. 마이크로구조 변화가 58,600회 회전 주기 후에 관찰되지 않는다. 도 12b에서, 코팅된 호일은 증가하는 인장 변형률로 처리하고, 이미지는 제1 크래킹(14 ㎜의 롤 직경) 발생 이후에 그리고 광범위한 크래킹(2 ㎜의 롤 직경) 이후에 얻는다. 이러한 가요성 결과는 본 발명의 방법이 고도로 가요성인 코팅을 제공할 수 있다는 것을 입증해 보여준다.

Claims (33)

  1. 표면 위에 코팅을 형성시키는 방법으로서,
    전구체 물질의 단일 공급원을 제공하는 단계,
    전구체 물질을 코팅하고자 하는 표면에 인접한 반응 위치로 수송하는 단계,
    전구체 물질의 단일 공급원을 사용하여 화학 증착으로, 제1 세트의 반응 조건 하에, 표면 위에 100:0 내지 75:25의 중합체 물질 대 비중합체 물질의 중량비를 갖는 제1 층을 증착시키는 단계, 및
    전구체 물질의 단일 공급원을 사용하여 화학 증착으로, 제2 세트의 반응 조건 하에, 표면 위에 0:100 내지 25:75의 중합체 물질 대 비중합체 물질의 중량비를 갖는 제2 층을 증착시키는 단계
    를 포함하는 방법.
  2. 제1항에 있어서, 제1 세트 및 제2 세트의 반응 조건에서 화학 증착이 플라즈마 강화형인 방법.
  3. 제2항에 있어서, 반응물 기체를 제공하는 단계, 및 반응물 기체를 제1 세트의 반응 조건, 제2 세트의 반응 조건 및 양 세트의 반응 조건에서 반응 위치로 수송하는 단계를 추가로 포함하는 방법.
  4. 제3항에 있어서, 반응물 기체가 산소인 방법.
  5. 제3항에 있어서, 반응물 기체는 양 세트의 반응 조건에서 존재하고, 제1 세트의 반응 조건에서 반응물 기체의 유속은 제2 세트의 반응 조건에서 반응물 기체의 유속보다 10% 이상 더 큰 것인 방법.
  6. 제1항에 있어서, 제1 세트의 반응 조건 및 제2 세트의 반응 조건은 각각 독립적으로 기체 유속, 기체 압력, 공정 압력, DC 전력, RF 전력, RF 주파수, 기판 온도 및 증착 시간으로 이루어진 군 중에서 선택된 파라미터를 포함하는 것인 방법.
  7. 제1항에 있어서, 전구체 물질은 유기 규소 화합물을 포함하는 것인 방법.
  8. 제7항에 있어서, 전구체 물질은 단일 유기 규소 화합물을 포함하는 것인 방법.
  9. 제7항에 있어서, 전구체 물질은 유기 규소 화합물들의 혼합물을 포함하는 것인 방법.
  10. 제7항에 있어서, 유기 규소 화합물이 헥사메틸 디실록산 또는 디메틸 실록산 인 방법.
  11. 제7항에 있어서, 유기 규소 화합물은 메틸실란, 디메틸실란, 비닐 트리메틸실란, 트리메틸실란, 테트라메틸실란, 에틸실란, 디실라노메탄, 비스(메틸실리노)메탄, 1,2-디실라노에탄, 1,2-비스(메틸실라노)에탄, 2,2-디실라노프로판, 1,3,5-트리실라노-2,4,6-트리메틸렌, 디메틸페닐실란, 디페닐메틸실란, 디메틸디메톡시실란, 1,3,5,7-테트라메틸시클로테트라실록산, 1,3-디메틸디실록산, 1,1,3,3-테트라메틸디실록산, 1,3-비스(실라노메틸렌)디실록산, 비스(1-메틸디실록사닐)메탄, 2,2-비스(1-메틸디실록사닐)프로판, 2,4,6,8-테트라메틸시클로테트라실록산, 옥타메틸시클로테트라실록산, 2,4,6,8,10-펜타메틸시클로펜타실록산, 1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, 헥사메틸시클로트리실록산, 1,3,5,7,9-펜타메틸시클로펜타실록산, 헥사메톡시디실록산, 헥사메틸디실라잔, 디비닐테트라메틸디실라잔, 헥사메틸시클로트리실라잔, 디메틸비스(N-메틸아세트아미도)실란, 디메틸비스(N-에틸아세트아미도)실란, 메틸비닐비스(N-메틸아세트아미도)실란, 메틸비닐비스(N-부틸아세트아미도)실란, 메틸트리스(N-페닐아세트아미도)실란, 비닐트리스(N-에틸아세트아미도)실란, 테트라키스(N-메틸아세트아미도)실란, 디페닐비스(디에틸아미녹시)실란, 메틸트리스(디에틸아미녹시)실란 및 비스(트리메틸실릴)카르보이미드로 이루어진 군 중에서 선택되는 것인 방법.
  12. 제1항에 있어서, 비중합체 물질은 무기 물질을 기본적으로 포함하는 것인 방 법.
  13. 제12항에 있어서, 무기 물질이 산화규소인 방법.
  14. 제1항에 있어서, 중합체 물질은 실리콘 중합체를 기본적으로 포함하는 것인 방법.
  15. 제1항에 있어서, 전구체 물질의 단일 공급원을 사용하여 화학 증착으로, 제3 세트의 반응 조건 하에, 제1 층 및 제2 층 위에 제3 층을 증착시키는 단계를 추가로 포함하는 방법.
  16. 제1항에 있어서, 제2 층을 증착시키는 단계는 제1 층을 증착시키는 단계 전에 수행하는 것인 방법.
  17. 제1항에 있어서, 100:0 내지 75:25의 중합체 물질 대 비중합체 물질의 중량비를 갖는 층을 증착시키는 단계 및 0:100 내지 25:75의 중합체 물질 대 비중합체 물질의 중량비를 갖는 층을 증착시키는 단계를 교대 방식으로 1회 이상 반복하는 단계를 추가로 포함하고, 각 층을 증착시키기 위한 반응 조건은 독립적으로 선택되는 것인 방법.
  18. 제1항에 있어서, 각 층을 증착시키는 단계 사이의 전이 동안에는 10 nm 미만의 물질이 증착되는 것인 방법.
  19. 제1항에 있어서, 표면이 전자 장치를 위한 기판의 표면인 방법.
  20. 제19항에 있어서, 전자 장치가 유기 발광 장치인 방법.
  21. 제19항에 있어서, 전자 장치가 태양 전지인 방법.
  22. 제1항에 있어서, 표면이 전자 장치의 표면인 방법.
  23. 제22항에 있어서, 전자 장치가 유기 발광 장치인 방법.
  24. 제22항에 있어서, 전자 장치가 태양 전지인 방법.
  25. 제1항에 있어서, 증착된 그대로의 제1층은 60˚ 내지 115˚ 범위의 수적의 습윤 접촉각을 갖는 것인 방법.
  26. 제1항에 있어서, 증착된 그대로의 제1 층은 75˚ 내지 115˚ 범위의 수적의 습윤 접촉각을 갖는 것인 방법.
  27. 제1항에 있어서, 증착된 그대로의 제2 층은 0˚ 내지 60˚ 범위의 수적의 습윤 접촉각을 갖는 것인 방법.
  28. 제1항에 있어서, 증착된 그대로의 제1 층은 증착된 그대로의 제2 층의 습윤 접촉각과는 15˚ 이상 상이한 습윤 접촉각을 갖는 것인 방법.
  29. 제1항에 있어서, 제1 층은 0.2 내지 2 GPa 범위의 나노 압흔 경도를 갖는 것인 방법.
  30. 제1항에 있어서, 제2 층은 10 내지 20 GPa 범위의 나노 압흔 경도를 갖는 것인 방법.
  31. 제1항에 있어서, 층들 중 하나 이상은 0.1 내지 10 nm 범위의 표면 조도(평균제곱근)를 갖는 것인 방법.
  32. 제1항에 있어서, 층들 중 하나 이상은, 50 ㎛ 두께의 폴리이미드 호일 상의 4 ㎛ 층으로서 증착되는 경우, 0.2%의 인장 변형률(ε)에서 1 인치 직경 롤 상에 55,000회 이상의 회전 주기 후 마이크로 구조 변화가 관찰되지 않을 정도로, 충분히 가요성을 갖는 것인 방법.
  33. 제1항에 있어서, 층들 중 하나 이상은, 50 ㎛ 두께의 폴리이미드 호일 상의 4 ㎛ 층으로서 증착되는 경우, 0.35% 이상의 인장 변형률(ε)에서 크랙이 나타나지 않을 정도로 충분히 가요성을 갖는 것인 방법.
KR1020097011207A 2006-11-01 2007-09-19 전자 장치 및 기타 물품 상에 사용하기 위한 다층 코팅 KR20090087459A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US85604706P 2006-11-01 2006-11-01
US60/856,047 2006-11-01
US11/783,362 2007-04-09
US11/783,362 US20080102206A1 (en) 2006-11-01 2007-04-09 Multilayered coatings for use on electronic devices or other articles

Publications (1)

Publication Number Publication Date
KR20090087459A true KR20090087459A (ko) 2009-08-17

Family

ID=39159965

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097011207A KR20090087459A (ko) 2006-11-01 2007-09-19 전자 장치 및 기타 물품 상에 사용하기 위한 다층 코팅

Country Status (6)

Country Link
US (1) US20080102206A1 (ko)
EP (1) EP2087146A1 (ko)
JP (1) JP2010508670A (ko)
KR (1) KR20090087459A (ko)
TW (1) TW200824496A (ko)
WO (1) WO2008063266A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130108354A (ko) * 2010-09-21 2013-10-02 유니버셜 디스플레이 코포레이션 소자 및 기판의 봉입을 위한 투과 차단체

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2274458B1 (en) * 2008-05-07 2020-03-25 The Trustees of Princeton University Method for protecting electronic devices by means of hybrid layers
WO2010017088A1 (en) * 2008-08-04 2010-02-11 The Trustees Of Princeton University Hybrid dielectric material for thin film transistors
US20110068332A1 (en) * 2008-08-04 2011-03-24 The Trustees Of Princeton University Hybrid Dielectric Material for Thin Film Transistors
DE102009000699A1 (de) * 2009-02-06 2010-08-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Kunststoffsubstrat, umfassend eine flexible, transparente Schutzschicht sowie Verfahren zur Herstellung eines solchen Kunststoffsubstrates
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
PT2251454E (pt) 2009-05-13 2014-10-01 Sio2 Medical Products Inc Revestimento e inspeção de vaso
US7985188B2 (en) * 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
EP2776603B1 (en) 2011-11-11 2019-03-06 SiO2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US9312511B2 (en) 2012-03-16 2016-04-12 Universal Display Corporation Edge barrier film for electronic devices
US8933468B2 (en) 2012-03-16 2015-01-13 Princeton University Office of Technology and Trademark Licensing Electronic device with reduced non-device edge area
US10862073B2 (en) 2012-09-25 2020-12-08 The Trustees Of Princeton University Barrier film for electronic devices and substrates
JP6509734B2 (ja) 2012-11-01 2019-05-08 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 皮膜検査方法
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
JP6382830B2 (ja) 2012-11-30 2018-08-29 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 医療シリンジ、カートリッジ等上でのpecvd堆積の均一性制御
WO2014134577A1 (en) 2013-03-01 2014-09-04 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
KR102167557B1 (ko) 2013-03-11 2020-10-20 에스아이오2 메디컬 프로덕츠, 인크. 코팅된 패키징
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
WO2014144926A1 (en) * 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
EP3693493A1 (en) 2014-03-28 2020-08-12 SiO2 Medical Products, Inc. Antistatic coatings for plastic vessels
GB2539231B (en) * 2015-06-10 2017-08-23 Semblant Ltd Coated electrical assembly
WO2017031354A2 (en) 2015-08-18 2017-02-23 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US10351729B2 (en) * 2016-03-03 2019-07-16 Motorola Mobility Llc Polysiloxane films and methods of making polysiloxane films
GB201621177D0 (en) 2016-12-13 2017-01-25 Semblant Ltd Protective coating
TWI805753B (zh) 2018-05-04 2023-06-21 大陸商江蘇菲沃泰納米科技股份有限公司 用於電性連接器之奈米塗層保護方法
DE102018132342A1 (de) * 2018-12-14 2020-06-18 Heliatek Gmbh Stabilisierung laserstrukturierter organischer Photovoltaik

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0533044B1 (de) * 1991-09-20 1999-12-29 Balzers Aktiengesellschaft Verfahren zur Schutzbeschichtung von Substraten sowie Beschichtungsanlage
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
EP0969521A1 (de) * 1998-07-03 2000-01-05 ISOVOLTAÖsterreichische IsolierstoffwerkeAktiengesellschaft Fotovoltaischer Modul sowie ein Verfahren zu dessen Herstellung
US20020090521A1 (en) * 2000-09-29 2002-07-11 Tatsuji Nakajima Silica layers and antireflection film using same
TW570876B (en) * 2001-05-11 2004-01-11 Toyo Seikan Kaisha Ltd Silicon oxide film
US7056584B2 (en) * 2002-10-11 2006-06-06 General Electric Company Bond layer for coatings on plastic substrates
WO2005051525A1 (en) * 2003-11-25 2005-06-09 Polyvalor, Limited Partnership Permeation barrier coating or layer with modulated properties and methods of making the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130108354A (ko) * 2010-09-21 2013-10-02 유니버셜 디스플레이 코포레이션 소자 및 기판의 봉입을 위한 투과 차단체

Also Published As

Publication number Publication date
WO2008063266A1 (en) 2008-05-29
US20080102206A1 (en) 2008-05-01
TW200824496A (en) 2008-06-01
JP2010508670A (ja) 2010-03-18
EP2087146A1 (en) 2009-08-12

Similar Documents

Publication Publication Date Title
JP6716521B2 (ja) 電子デバイス又は他の部品上のコーティングに使用するハイブリッド層
KR20090087459A (ko) 전자 장치 및 기타 물품 상에 사용하기 위한 다층 코팅
JP6430573B2 (ja) 電子デバイス又は他の物品上のコーティングに使用するハイブリッド層
US7968146B2 (en) Hybrid layers for use in coatings on electronic devices or other articles
CN101553600B (zh) 用于电子器件或其它制品上的涂层的杂化层

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid