KR20090081446A - Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment - Google Patents

Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment Download PDF

Info

Publication number
KR20090081446A
KR20090081446A KR1020097014969A KR20097014969A KR20090081446A KR 20090081446 A KR20090081446 A KR 20090081446A KR 1020097014969 A KR1020097014969 A KR 1020097014969A KR 20097014969 A KR20097014969 A KR 20097014969A KR 20090081446 A KR20090081446 A KR 20090081446A
Authority
KR
South Korea
Prior art keywords
plasma
plasma processing
plasma treatment
container
new
Prior art date
Application number
KR1020097014969A
Other languages
Korean (ko)
Other versions
KR101005983B1 (en
Inventor
고스케 이마후쿠
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090081446A publication Critical patent/KR20090081446A/en
Application granted granted Critical
Publication of KR101005983B1 publication Critical patent/KR101005983B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/01Selective coating, e.g. pattern coating, without pre-treatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

A method for regenerating a container for plasma treatment, characterized in that, to a thermally sprayed coating comprising one of alumina, a rare earth metal oxide, a polyimide and polybenzimidazole, which has been deteriorated by the use in plasma, on the surface of a member inside a container for plasma treatment having a substrate and, applied thereon, the thermally sprayed coating, a material being the same as that for the deteriorated sprayed coating is re-sprayed. The method allows a container for plasma treatment having a surface deteriorated by the use in plasma to be generated into the one as good as new.

Description

플라즈마 처리 용기의 재생 방법, 플라즈마 처리 용기 내부 부재, 플라즈마 처리 용기 내부 부재의 제조 방법, 및 플라즈마 처리 장치{METHOD FOR REGENERATING CONTAINER FOR PLASMA TREATMENT, MEMBER INSIDE CONTAINER FOR PLASMA TREATMENT, METHOD FOR PREPARING MEMBER INSIDE CONTAINER FOR PLASMA TREATMENT, AND APPARATUS FOR PLASMA TREATMENT}TECHNICAL FOR REGENERATING CONTAINER FOR PLASMA TREATMENT, MEMBER INSIDE CONTAINER FOR PLASMA TREATMENT, METHOD FOR PREPARING MEMBER INSIDE CONTAINER FOR PLASMA TREATMENT, AND APPARATUS FOR PLASMA TREATMENT}

본 발명은 플라즈마 처리 용기의 재생 방법, 플라즈마 처리 용기 내부 부재, 플라즈마 처리 용기 내부 부재의 제조 방법, 및 플라즈마 처리 장치에 관한 것이다.The present invention relates to a regeneration method of a plasma processing vessel, a plasma processing vessel inner member, a method of manufacturing a plasma processing vessel inner member, and a plasma processing apparatus.

본 발명은, 플라즈마 처리 용기의 재생 방법과 플라즈마 처리 용기 내부 부재와 그 제조 방법, 및 플라즈마 처리 장치에 관한 것으로, 특히 플라즈마 중에서의 사용에 의해 표면이 열화된 부재를 신품과 같이 재생할 수 있는 플라즈마 처리 용기의 재생 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for regenerating a plasma processing vessel, an inner member of a plasma processing vessel, a method for manufacturing the same, and a plasma processing apparatus, and in particular, a plasma processing capable of regenerating a member whose surface has been degraded by use in plasma as a new product. A method for regenerating a container.

일반적으로, 반도체 및 액정 등을 사용한 디바이스를 제조하는 공정에 있어 서는, 에칭 장치 등의 플라즈마 처리 장치가 사용된다. 그러한 플라즈마 처리 장치내(플라즈마 처리 용기내)에서는, 처리 가스로서 CF4 등의 반응성 가스가 사용되기 때문에, 내부 부재는 화학적 손상을 받기 쉽고, 또한 플라즈마에 의해 여기된 이온 등에 의해, 부식 손상을 받기 쉽다.Generally, in the process of manufacturing the device using a semiconductor, a liquid crystal, etc., plasma processing apparatuses, such as an etching apparatus, are used. In such a plasma processing apparatus (in a plasma processing vessel), CF 4 is used as a processing gas. Since a reactive gas such as or the like is used, the inner member is susceptible to chemical damage, and is also susceptible to corrosion damage by ions excited by plasma or the like.

그래서, 종래에 플라즈마 처리 용기 내부 부재는, 알루미늄재 등 기재의 표면을 플라즈마 소모가 적은 피막으로 피복하여 보호하고 있었다. 특히, 알루미나, 희토류 산화물 등의 용사막은, 플라즈마 소모가 없고, 피막으로서 사용되고 있었다. 또한, 알루미늄 등을 재료로 하는 플라즈마 처리 용기 내부 부재의 하지상에, 예컨대 두께 1.5㎜의 폴리이미드판을 설치하여, 부재를 보호하고 있었다. Therefore, conventionally, the plasma processing vessel inner member covers and protects the surface of a base material, such as an aluminum material, with a film with low plasma consumption. In particular, thermal sprayed films such as alumina and rare earth oxides were used as coatings without plasma consumption. Moreover, the polyimide plate of thickness 1.5mm was provided on the base of the plasma processing container inner member which consists of aluminum etc., and the member was protected.

한편, 이러한 종류의 플라즈마 처리 장치에서는, 포커스링이나 실드링 등의 도전성 또는 절연성을 갖는 다수의 교환 가능한 부품류(이하, 「장치 부품」이라고 함)가 처리실내의 소정 위치에 설치되어 있었다.On the other hand, in this type of plasma processing apparatus, a large number of replaceable parts (hereinafter referred to as "apparatus parts") having conductivity or insulation such as a focus ring and a shield ring were provided at predetermined positions in the processing chamber.

그리고, 상기 플라즈마 처리 장치에서는, 처리실내에 생성되는 플라즈마에 의해 장치 부품의 표면이 깎이어 변형되기 때문에, 이러한 변형 부품을 소모품으로서 폐기하여, 신규 부품과 교환하고 있었다. In the plasma processing apparatus, since the surface of the device component is shaved and deformed by the plasma generated in the processing chamber, such deformed components are discarded as consumables and replaced with new components.

그러나, 용사막은 장시간의 사용 후에는 표면으로부터 열화하여 막 두께가 감소하는 것을 피할 수 없고, 이 감소가 내부 부재의 수명을 결정하고 있으며, 사용한 부재는 신품과 교환이 필요하여 비경제적이었다. 또한, 용사막은 표면에 요철이 많고, 특히 볼록부는 플라즈마 처리 용기 내부 부재 사용 초기에 처리 가스와 의 반응 생성물 등의 파티클이 형성되기 쉬우며, 제품 불량을 초래할 가능성이 있었다.However, the thermal sprayed film cannot deteriorate from the surface after a long time of use and the film thickness is inevitable, and this decrease determines the life of the internal member, and the used member is uneconomical because it needs to be replaced with a new one. In addition, the thermal sprayed coating has many irregularities on the surface, and particularly, the convex portion easily forms particles such as reaction products with the processing gas at the initial stage of use of the inner member of the plasma processing vessel, which may cause product defects.

폴리이미드 등의 판을 설치하는 경우에도 마찬가지로 표면이 열화되면 교환이 필요하고, 또한 하지와 수지판 사이에 간극이 생기는 것을 피할 수 없으며, 밀착성의 악화로부터 오물이 남는 등의 문제가 있었다.In the case of providing a plate such as polyimide, similarly, when the surface is deteriorated, replacement is necessary, and a gap between the base and the resin plate cannot be avoided, and there is a problem that dirt remains due to deterioration of adhesion.

한편, 상술한 교환 가능한 장치 부품에서는, 장치 부품이 소모하여 변형된 경우는, 상술한 바와 같이 상기 변형된 부품을 소모품으로서 폐기하고, 신규 부품과 교환하는 경우가 있다. 그러나, 이러한 소모된 장치 부품을 항상 신규 부품과 교환하는 것은 비용이 높게 되고, 또한 상기 신규 부품의 재고가 없을 때는 생산 라인의 정지를 할 수 밖에 없다는 문제점이 있었다.On the other hand, in the replaceable apparatus parts described above, when the apparatus parts are consumed and deformed, the deformed parts may be discarded as consumables and replaced with new parts as described above. However, there is a problem that it is always expensive to replace such consumed device parts with new parts, and when the new parts are not in stock, the production line has to be stopped.

본 발명은, 종래의 플라즈마 처리 용기 내부 부재가 갖는 상기 문제점을 감안하여 성립된 것으로, 본 발명의 목적은, 신품과 같이 재생이 가능한, 신규하고도 개량된 플라즈마 처리 용기의 재생 방법과 플라즈마 처리 용기 내부 부재와 플라즈마 처리 용기의 제조 방법, 및 플라즈마 처리 장치를 제공하는 것이다.SUMMARY OF THE INVENTION The present invention has been made in view of the above problems of conventional plasma processing container internal members, and an object of the present invention is to provide a new and improved method for regenerating a plasma processing container and a plasma processing container, which can be reproduced like a new product. It is to provide an inner member, a method for producing a plasma processing container, and a plasma processing apparatus.

또한, 장치 부품의 일부 형상이 변형된 경우라도 간단한 방법으로 대체품으로서의 장치 부품을 수복할 수 있는 플라즈마 처리 용기의 재생 방법을 제공하는 것을 목적으로 한다.It is also an object of the present invention to provide a method for regenerating a plasma processing container that can repair a device part as a substitute by a simple method even when a part of the device part is deformed.

상기 과제를 해결하기 위해, 본원의 제 1 발명은 기재의 표면이 알루미나, 희토류 산화물, 폴리이미드 또는 폴리벤조아미다졸 중 어느 용사막에 의해 피복된 플라즈마 처리 용기의 내부 부재의, 플라즈마 중에서의 사용에 의해 열화된 용사막에, 상기 용사막과 동일한 재료를 재용사하는 것을 특징으로 한다. In order to solve the said subject, 1st invention of this application is aimed at use in the plasma of the internal member of the plasma processing container by which the surface of the base material was coat | covered with the thermal spraying film of alumina, a rare earth oxide, polyimide, or polybenzoamidazole. It is characterized by respraying the same material as the said thermal sprayed coating to the thermal sprayed coating deteriorated.

보다 양호한 형태로서, 상기 재용사하기 전에, 드라이아이스 블라스트를 실행하는 공정을 가질 수도 있다. 이로써, 초기 파티클 발생의 억제가 가능해진다. 보다 양호한 형태로서, 상기 재용사한 후에, 드라이아이스 블라스트를 실행하는 공정을 가질 수도 있다.As a better form, it may have a process of performing dry ice blasting before respraying. This makes it possible to suppress the initial particle generation. As a better form, it may have a process of performing dry ice blasting after the said respray.

본원의 제 2 발명은, 플라즈마 처리에 의해, 상기 플라즈마 처리 용기내의 소정 위치에 설치되는 상기 부품의 일부 형상이 변형된 경우는, 상기 변형 부품을 제거한 후, 변형 전의 형상으로 형성된 부품을 상기 변형 부분이 제거된 개소에 접합하는 것을 특징으로 하고 있다. 2nd invention of this application is a part formed in the shape before deformation after removing the said deformation | transformation part when the shape of the said part installed in the predetermined position in the said plasma processing container is deformed by the plasma processing, The said deformation | transformation part It is characterized by bonding to this removed point.

본원의 제 3 발명은, 플라즈마 처리 용기 내부 부재로서, 기재의 표면이 알루미나, 희토류 산화물, 폴리이미드 또는 폴리벤조이미다졸 중 어느 용사막에 의해 피복되고, 상기 어느 용사막은 용사 후에 드라이아이스 블라스트되어 있는 것을 특징으로 한다. According to a third aspect of the present invention, the inner surface of the substrate is coated with a thermal sprayed coating of any one of alumina, rare earth oxide, polyimide, or polybenzoimidazole, and the thermal spray blasting is performed after the thermal spraying. It is characterized by being.

본원의 제 4 발명은, 플라즈마 처리 용기 내부 부재의 제조 방법으로서, 기재의 표면을 알루미나, 희토류 산화물, 폴리이미드 또는 폴리벤조이미다졸 중 어느 용사막에 의해 피복하는 공정과, 상기 어느 용사막을 용사 후에 드라이아이스 블라스트하는 공정을 갖는 것을 특징으로 한다. According to a fourth aspect of the present invention, there is provided a method of manufacturing an inner member of a plasma processing container, the process of coating a surface of a substrate with a thermal sprayed coating of alumina, rare earth oxide, polyimide, or polybenzoimidazole and after spraying any of the thermal sprayed coatings It is characterized by having the process of dry ice blasting.

본원의 제 1 발명에 의하면, 플라즈마 중에서의 사용에 의해 표면이 열화된 플라즈마 처리 용기를 신품과 같이 재생하는 것이 가능해진다.According to the first invention of the present application, it is possible to regenerate a plasma processing container whose surface has been degraded by use in plasma as a new product.

본원의 제 2 발명에 의하면, 장치 부품의 일부 형상이 변형된 경우는, 상기 변형 부분만을 변형 전의 형상으로 형성된 부품과 교환함으로써, 상기 장치 부품 전체를 신규 부품과 교환하지 않고, 간단한 보수 작업으로 상기 장치 부품을 원래의 형상으로 복원할 수 있다. According to the second invention of the present application, when a part of the shape of the device part is deformed, by replacing only the deformed part with a part formed in the shape before deformation, the entire device part is not replaced with a new part, The device parts can be restored to their original shape.

본원의 제 3, 제 4 발명에 의하면, 초기 파티클의 발생을 억제하는 것이 가 능해진다. According to the 3rd, 4th invention of this application, it becomes possible to suppress generation | occurrence | production of initial particle.

또한, 제 3, 제 4 발명에 의하면, 사용 초기에서의 파티클 발생을 억제하고, 재용사 후에도 기능적인 열화 없이 신품과 같이 재생 가능한, 플라즈마 처리 용기 내부 부재 및 제조 방법을 제공할 수 있다.In addition, according to the third and fourth inventions, it is possible to provide a plasma processing container inner member and a manufacturing method which can suppress particle generation at the beginning of use and can reproduce like a new product without functional deterioration even after respraying.

이하에 첨부 도면을 참조하면서, 본 발명에 따른 재생 가능한 플라즈마 처리 용기의 재생 방법과 플라즈마 처리 용기 내부 부재와 그 제조 방법, 및 플라즈마 처리 장치의 적절한 실시 형태에 대하여 상세히 설명한다.EMBODIMENT OF THE INVENTION With reference to an accompanying drawing, the reproduction | regeneration method of the renewable plasma processing vessel which concerns on this invention, the plasma processing vessel inner member, its manufacturing method, and the suitable embodiment of a plasma processing apparatus are demonstrated in detail.

도면의 주요 부분에 대한 부호의 설명Explanation of symbols for the main parts of the drawings

100: 플라즈마 처리 용기 내부 부재 110: 용사막100: internal member of the plasma processing container 110: thermal spray coating

120: 기재 131, 133, 135: 용사막 표면120: base material 131, 133, 135: thermal sprayed coating surface

210: 포커스 링(플라즈마 장치용 부품)210: focus ring (component for plasma device)

210a: 변형 부분 210b: 신규 부품(플라즈마 장치용 부품)210a: deformation part 210b: new part (part for plasma device)

222: 실드 링(플라즈마 장치용 부품) 222: shield ring (parts for plasma devices)

222a: 변형 부분 222b: 신규 부품(플라즈마 장치용 부품)222a: deformation part 222b: new part (part for plasma device)

본 발명에 따른 재생 가능한 플라즈마 처리 용기 내부 부재는, 플라즈마 처리 장치내의 예컨대, 증착 실드(deposition shield), 배플 플레이트, 포커스 링, 인슐레이터 링, 실드 링, 벨로즈 커버, 전극 등, 각종 부재에 사용할 수 있다. 이하 주로 반도체 제조 장치의 예로 설명한다.The renewable plasma processing vessel inner member according to the present invention can be used for various members in the plasma processing apparatus, for example, deposition shields, baffle plates, focus rings, insulator rings, shield rings, bellows covers, electrodes, and the like. have. The following mainly describes an example of the semiconductor manufacturing apparatus.

(제 1 및 제 2 실시 형태)(1st and 2nd embodiment)

도 1은 본 발명의 제 1, 제 2 실시 형태에 따른 플라즈마 장치(1)의 구성을 나타내는 단면도이다. 플라즈마 장치(1)에 있어서의 처리실(2)은, 예컨대 산화알루마이트 처리된 알루미늄 등의 기재로 구성되는 원통 형상의 처리 용기로서 형성되고, 접지되어 있다.1 is a cross-sectional view showing the configuration of a plasma apparatus 1 according to the first and second embodiments of the present invention. The processing chamber 2 in the plasma apparatus 1 is formed as a cylindrical processing container made of a base material such as aluminum, for example, anodized aluminum, and grounded.

처리실(2)내의 바닥부에는 세라믹 등의 절연 지지판(3)이 설치되어 있고, 이 절연 지지판(3)의 상부에, 피처리 기판, 예컨대 직경 8인치의 반도체 웨이퍼(W)를 탑재하기 위한 대략 원기둥 형상의 서셉터 지지대(4)가 설치되어 있다. 또한 서셉터 지지대(4)상에, 하부 전극을 구성하는 서셉터(5)가 설치되어 있으며, 하이패스 필터(High-Pass Filter)(HPF)(6)가 접속되어 있다. Insulating support plates 3, such as ceramics, are provided at the bottom of the processing chamber 2, and on the upper part of the insulating support plates 3, roughly for mounting a substrate to be processed, for example, a semiconductor wafer W having a diameter of 8 inches. The cylindrical susceptor support 4 is provided. Moreover, on the susceptor support 4, the susceptor 5 which comprises a lower electrode is provided, and the high-pass filter (HPF) 6 is connected.

서셉터 지지대(4)의 내부에는 열 교환실(7)이 설치되고, 외부로부터 열 교환 매체가 열 교환 매체 도입관(8) 및 열 교환 매체 배출관(9)을 거쳐 순환하며, 서셉터(5)를 거쳐 반도체 웨이퍼(W)를 소정 온도로 유지하는 것이 가능하도록 구성되어 있다. 또한 이러한 온도는, 온도 센서(도시하지 않음), 온도 제어 기구(도시하지 않음)에 의해 자동적으로 제어되는 구성으로 되어 있다. The heat exchange chamber 7 is installed inside the susceptor support 4, and the heat exchange medium circulates from the outside through the heat exchange medium inlet tube 8 and the heat exchange medium discharge tube 9, and the susceptor 5. It is comprised so that the semiconductor wafer W can be hold | maintained at predetermined temperature via. Moreover, such temperature is set as the structure controlled automatically by a temperature sensor (not shown) and a temperature control mechanism (not shown).

또한, 서셉터(5)상에는, 반도체 웨이퍼(W)를 흡착 유지하기 위한 정전 척(11)이 설치되어 있다. 이 정전 척(11)은, 예컨대 도전성의 박막 전극(12)을 폴 리이미드계 수지에 의해 상하로부터 협지한 구성을 갖고, 처리실(2)의 외부에 설치되어 있는 직류 전원(13)으로부터 예컨대 1.5㎸의 전압이 전극(12)에 인가되면, 그 쿨롱 힘에 의해 웨이퍼(W)는, 정전 척(11)의 상면에 흡착 유지되도록 되어 있다. 물론 그와 같은 정전 척에 의하지 않고, 기계적 클램프에 의해 웨이퍼(W)의 가장자리부를 가압하도록 하여, 서셉터(5)상에 웨이퍼(W)를 유지하는 구성으로 할 수도 있다.Moreover, on the susceptor 5, the electrostatic chuck 11 for attracting and holding the semiconductor wafer W is provided. The electrostatic chuck 11 has, for example, a configuration in which the conductive thin film electrode 12 is sandwiched from above and below by polyimide resin, and is, for example, 1.5 from a DC power supply 13 provided outside the process chamber 2. When a voltage of V is applied to the electrode 12, the wafer W is attracted and held on the upper surface of the electrostatic chuck 11 by the coulomb force. Of course, instead of such an electrostatic chuck, the edge of the wafer W may be pressed by a mechanical clamp, and the wafer W may be held on the susceptor 5.

또한, 절연판(3), 서셉터 지지대(4), 서셉터(5), 및 정전 척(11)에는, 반도체 웨이퍼(W)의 이면에 예컨대 He 가스 등을 공급하기 위한 가스 통로(14)가 형성되어 있고, 이 He 가스 등의 전열 매체를 거쳐 반도체 웨이퍼(W)가 소정의 온도로 유지된다.In addition, a gas passage 14 for supplying, for example, He gas or the like to the back surface of the semiconductor wafer W is provided to the insulating plate 3, the susceptor support 4, the susceptor 5, and the electrostatic chuck 11. The semiconductor wafer W is maintained at a predetermined temperature via a heat transfer medium such as He gas.

서셉터(5)상의 주변에는, 정전 척(11)을 둘러싸도록 하여 대략 고리 형상의 포커스 링(15)이 설치되어 있다. 포커스 링(15)은 예컨대 도전성의 실리콘으로 이루어져, 플라즈마 중의 이온을 효과적으로 반도체 웨이퍼(W)에 입사시키는 기능을 갖고 있다.In the periphery of the susceptor 5, an approximately annular focus ring 15 is provided to surround the electrostatic chuck 11. The focus ring 15 is made of conductive silicon, for example, and has a function of effectively injecting ions in the plasma into the semiconductor wafer W.

처리실(2)내의 상부에는, 절연 부재(25) 및 실드 링(55)을 거쳐 상부 전극(21)이 지지되어 있다. 상부 전극(21)은, 예컨대 알루미늄으로 이루어지는 전극 지지체(22) 및, 서셉터(5)와 평행하게 대향하고, 다수의 토출 구멍(24)을 구비한 예컨대 실리콘으로 이루어지는 전극판(23) 등을 갖고 있다. 서셉터(5)와 상부 전극(21)은, 예컨대 10 내지 60㎜ 정도 이격되어 있다.The upper electrode 21 is supported in the upper part of the process chamber 2 via the insulating member 25 and the shield ring 55. The upper electrode 21 faces the electrode support 22 made of aluminum and the susceptor 5 in parallel, for example, and has an electrode plate 23 made of silicon, for example, having a plurality of discharge holes 24. Have The susceptor 5 and the upper electrode 21 are spaced apart, for example, about 10 to 60 mm.

전극 지지체(22)에는, 가스 도입구(26)가 설치되고, 가스 공급관(27)에 접속 되어 있다. 또한, 밸브(28) 및 매스 플로우 컨트롤러(29)를 거쳐 처리 가스 공급원(30)에 접속되고, 에칭 가스나 그 밖의 처리 가스가 처리실(2)내에 도입된다. The gas inlet 26 is provided in the electrode support 22, and is connected to the gas supply pipe 27. In addition, it is connected to the process gas supply source 30 via the valve 28 and the mass flow controller 29, and etching gas or other process gas is introduced into the process chamber 2.

처리 가스로는, 예컨대 플루오로카본 가스(CxFy), 하이드로플루오로카본 가스(CpHqFr) 등의, 할로겐 원소를 함유하는 가스를 사용할 수 있다.As the processing gas, for example, a gas containing a halogen element such as fluorocarbon gas (CxFy) or hydrofluorocarbon gas (CpHqFr) can be used.

처리실(2)의 하부에는, 진공 펌프 등의 배기 장치(35)로 통하는 배기관(31)이 접속되어 있다. 배기 장치(35)는, 터보 분자 펌프 등의 진공 펌프를 구비하고 있고, 처리실(2)내는, 예컨대 10mTorr~1000mTorr의 임의의 감압도까지 흡인하는 것이 가능해진다.In the lower part of the processing chamber 2, an exhaust pipe 31 connected to an exhaust device 35 such as a vacuum pump is connected. The exhaust device 35 includes a vacuum pump such as a turbo molecular pump, and the processing chamber 2 can be sucked to an arbitrary decompression degree of, for example, 10 mTorr to 1000 mTorr.

처리실(2)의 측벽에는, 게이트 밸브(32)가 설치되고, 게이트 밸브(32)를 개방한 상태로 반도체 웨이퍼(W)를, 인접하는 로드록실(도시하지 않음)의 사이에서 반송시키도록 되어 있다.The gate valve 32 is provided in the side wall of the process chamber 2, and the semiconductor wafer W is conveyed between adjacent load lock chambers (not shown) in the state which opened the gate valve 32. have.

다음에 이 플라즈마 장치(1)의 고주파 전력의 공급계에 대하여 설명한다. 우선 상부 전극(21)에 대해서는, 정합기(41) 및 급전 봉(33)을 거쳐, 주파수가 예컨대 27 내지 150㎒의 주파수의 고주파 전력을 출력하는 제 1 고주파 전원(40)으로부터의 전력이 공급되는 구성으로 되어 있다. 또한, 상부 전극(21)에는 로우패스 필터(low-pass filter)(LPF)(42)가 접속되어 있다. Next, the high frequency electric power supply system of this plasma apparatus 1 is demonstrated. First, the upper electrode 21 is supplied with power from the first high frequency power supply 40 through which the high frequency power of the frequency is 27-150 MHz, for example, via the matching unit 41 and the feed rod 33. It becomes the structure that becomes. In addition, a low-pass filter (LPF) 42 is connected to the upper electrode 21.

이와 같이 높은 주파수를 인가함으로써, 처리실(2)내에 바람직한 해리 상태로 또한 고밀도의 플라즈마를 형성할 수 있으며, 저압 조건하의 플라즈마 처리가 가능해진다. 고주파 전원(40)으로는, 예컨대 60㎒의 것을 사용할 수 있다. By applying a high frequency in this manner, it is possible to form a high-density plasma in a preferable dissociation state in the processing chamber 2, and the plasma processing under low pressure conditions becomes possible. As the high frequency power supply 40, for example, a 60 MHz one can be used.

한편, 하부 전극으로 되는 서셉터(5)에 대해서는, 주파수가 예컨대 4㎒ 이하 인 고주파 전력을 출력하는 고주파 전원(50)으로부터의 전력이, 정합기(51)를 거쳐 공급되는 구성으로 되어 있다. 이러한 범위의 주파수를 인가함으로써, 반도체 웨이퍼(W)에 대하여 손상을 주지 않고 적절한 이온 작용을 부여할 수 있다.On the other hand, with respect to the susceptor 5 serving as the lower electrode, the power from the high frequency power supply 50 that outputs a high frequency power having a frequency of, for example, 4 MHz or less is supplied via the matching unit 51. By applying a frequency in this range, it is possible to impart an appropriate ionic action to the semiconductor wafer W without damaging it.

이러한 플라즈마 처리 장치(1)에 있어서, 본 실시 형태에 따른 플라즈마 처리 용기 내부 부재는, 처리 중에 플라즈마에 노출되는 예컨대, 처리실(2)의 내벽(2a), 절연 지지판(3), 서셉터 지지대(4), 서셉터(5), 정전 척(11), 포커스 링(15), 절연 부재(25), 실드 링(55) 등에 적응할 수 있다. In such a plasma processing apparatus 1, the plasma processing vessel inner member according to the present embodiment is, for example, an inner wall 2a of the processing chamber 2, an insulating support plate 3, and a susceptor support (exposed to plasma during processing). 4), the susceptor 5, the electrostatic chuck 11, the focus ring 15, the insulating member 25, the shield ring 55 and the like can be adapted.

도 2는, 본 실시 형태에 따른 플라즈마 처리 용기 내부 부재(100)의 모식적인 단면도이다. 도 2a는 용사막 용사 직후, 도 2b는 CO2 블라스트 후를 나타낸다. 도 2a에 도시하는 바와 같이, 예컨대 Al를 재료로 한 플라즈마 처리 용기 내부 부재의 기재(120) 표면에 용사막(110)을 형성한다. 용사막(110)에는, 알루미나(Al2O3), 희토류 산화물, 폴리이미드 또는 폴리벤조즈이미다졸 등을 사용할 수 있다.2 is a schematic cross-sectional view of the plasma processing vessel inner member 100 according to the present embodiment. Figure 2a is immediately after the thermal spray spray, Figure 2b is CO 2 After blasting. As shown in FIG. 2A, the thermal spraying film 110 is formed in the surface of the base material 120 of the plasma processing container inner member made from Al, for example. As the thermal spraying film 110, alumina (Al 2 O 3 ), rare earth oxide, polyimide, polybenzozimidazole, or the like can be used.

종래, 폴리이미드 등의 수지를 기재 보호에 사용하는 경우는, 예컨대 Al 기재상에 두께 1.5㎜의 폴리이미드판을 설치하고, 플라즈마 중에서의 사용에 의해 열화되면 수지를 교환하고 있었다. Conventionally, when resins, such as a polyimide, are used for base material protection, the polyimide plate of thickness 1.5mm is provided on the Al base material, for example, and when resin deteriorated by use in plasma, the resin was replaced.

종래의 용사는, 열과 분출 스피드에 의한 충돌시 충격으로 실행하고 있었지만, 여기서는 분출 스피드에 의한 충돌시 충격만으로 용사하는 것으로 하고 있다. 이로써, 수 ㎜ 정도의 막 두께의 용사가 가능해져, 용사 피막으로서 사용할 수 있 게 되었다.Conventional thermal spraying has been performed by impact at the time of impact due to heat and jetting speed, but here, the thermal spraying is performed only by the impact at the time of impact due to jetting speed. Thereby, the spraying of the film thickness of about several mm became possible, and it became possible to use as a sprayed coating.

또한, Al2O3 용사막, Y2O3 용사막을 형성하기 위해서는, 대기 플라즈마 용사법, 또는 실질적으로 산소를 포함하지 않는 분위기 중에서의 플라즈마 용사법이 적절하지만, 고속 프레임 용사나, 폭발 용사법도 적용 가능하다. In addition, Al 2 O 3 Thermal Spray, Y 2 O 3 In order to form a thermal sprayed coating, the atmospheric plasma spraying method or the plasma spraying method in the atmosphere which does not contain oxygen substantially is suitable, but a high speed frame spraying or an explosion spraying method is also applicable.

이들 용사 직후의 막은, 매우 요철이 많은 상태이며, 이것을 그 상태로 플라즈마 처리 용기 내부에서 사용하면, 특히 볼록부의 파쇄층(크랙층)에 있어서 플라즈마 중의 이온의 충돌에 의해 파티클이 발생하기 쉽고, 막의 열화의 원인으로 될 가능성이 있다.The film immediately after these sprays is very uneven, and when it is used inside the plasma processing vessel in such a state, particles are likely to generate due to collision of ions in the plasma, particularly in the fracture layer (crack layer) of the convex portion. It may cause deterioration.

그래서, 도 2b에 도시하는 바와 같이, 용사 직후의 막을 CO2 블라스트하면, 표면의 요철이 평탄화되고, 플라즈마 처리 용기 내부 부재를 플라즈마 처리 용기 내에서 일정 시간 사용한 것과 같은 상태를 실현할 수 있으며, 초기 파티클 발생을 억제할 수 있다. 또한, 이 공정에 의해 도 2a의 용사막 표면(131)은 두께(t1) 만큼 깎여지게 된다.Therefore, as shown in FIG. 2B, when the film immediately after the thermal spraying is CO 2 blasted, the unevenness of the surface is flattened, and a state in which the plasma processing container inner member is used for a predetermined time in the plasma processing container can be realized, and the initial particle It can suppress occurrence. In addition, the thermal sprayed coating surface 131 of FIG. 2A is cut by the thickness t1 by this process.

CO2 블라스트는, 예컨대 압력 2.5 내지 4.2kgf/㎠, 노즐 직경 16㎜, 노즐로부터 용사면까지의 거리 15㎜, 드라이아이스 입경 0.3 내지 2.0㎜, 드라이아이스 레이트 0.5㎏/min의 조건하에서 실행한다. 예컨대 Y2O3 용사막을 사용하는 경우, CO2 블라스트에 의한 막 두께 감소량(t1)은 10㎛ 이하가 바람직하다.The CO 2 blast is performed under the conditions of, for example, a pressure of 2.5 to 4.2 kgf / cm 2, a nozzle diameter of 16 mm, a distance of 15 mm from the nozzle to the sprayed surface, a dry ice particle diameter of 0.3 to 2.0 mm, and a dry ice rate of 0.5 kg / min. Y 2 O 3 When using sprayed film, and the film thickness loss (t1) by blasting CO 2 is preferably less than 10㎛.

도 3은, 본 제 1 실시 형태에 따른 플라즈마 처리 용기 내부 부재(100)가 재 생되는 과정을 모식적으로 나타내는 단면도이다. 도 3a는 초기 상태(사용 전의 CO2 블라스트 완료), 도 3b는 플라즈마 처리 용기내에서 사용 후, 도 3c는 재생하기 위한 CO2 블라스트 후, 도 3d는 재용사 후의 상태를 나타낸다. 여기서 재용사란 플라즈마 처리 용기내에서의 사용 후, 플라즈마 처리 전에 실시된 용사막상에, 다시 용사하는 것을 말한다.3 is a cross-sectional view schematically showing a process in which the plasma processing vessel inner member 100 according to the first embodiment is reproduced. FIG. 3A shows the initial state (CO 2 blast completed before use), FIG. 3B shows the CO 2 for regeneration after use in a plasma processing vessel. After blasting, FIG. 3D shows the state after respray. The respraying here means spraying again on the thermal sprayed coating after use in a plasma processing container and before plasma processing.

도 3a는, 예컨대 Al을 재료로 한 플라즈마 처리 용기 내부 부재(100)의 기재(120)의 표면에 용사막(110)을 형성하여, CO2 블라스트에 의해 표면을 평탄화한 것이다. 용사막(110)에는, 알루미나, 희토류 산화물, 폴리이미드 또는 폴리벤조이미다졸 등을 사용할 수 있다.FIG. 3A shows a thermal sprayed film 110 formed on the surface of the substrate 120 of the plasma processing vessel inner member 100 made of Al, for example, to planarize the surface by CO 2 blasting. Alumina, rare earth oxides, polyimides, polybenzoimidazoles, or the like can be used for the thermal sprayed film 110.

희토류 산화물인 Y2O3는, 예컨대 두께 t=50 내지 2000㎛, 폴리이미드 또는 폴리벤조이미다졸은, 예컨대 두께 t=2 내지 3㎜로 되도록 용사된다. 이들은, 손상 방지의 효과와 경제성을 감안하여 타당하다고 고려되는 값이다. 이것을 플라즈마 중에서 사용한 경우, 도 3b에 도시하는 바와 같이, 도 3a의 용사막 표면(133)이 두께(t2)만큼 소모되게 된다.Y 2 O 3, which is a rare earth oxide, is thermally sprayed such that the thickness t = 50 to 2000 μm, and the polyimide or polybenzoimidazole is, for example, a thickness t = 2 to 3 mm. These values are considered to be reasonable in view of the effects of damage prevention and economics. When this is used in plasma, as shown in FIG. 3B, the thermal sprayed coating surface 133 of FIG. 3A is consumed by the thickness t2.

표 1에, 플라즈마 처리 장치 중에 각종 재료로 피복한 플라즈마 처리 용기 내부 부재를 방치한 경우의, 막 두께의 감소량(t2)을 나타낸다. 또한, 사용한 플라즈마 처리 장치는 평행 평판형의 플라즈마 에칭 장치로서, 챔버 압력은 40mTorr, RF 전력은 1500W, 에칭 가스는 CF4/Ar/O2= 100/20/200의 혼합 가스라는 조건 하에 서, 20시간 방치한 것이다.Table 1 shows the reduction amount t2 of the film thickness when the plasma processing vessel inner member coated with various materials is left in the plasma processing apparatus. In addition, the used plasma processing apparatus is a parallel plate type plasma etching apparatus, provided that the chamber pressure is 40 mTorr, RF power is 1500 W, and the etching gas is a mixed gas of CF 4 / Ar / O 2 = 100/20/200. It was left for 20 hours.

막의 종류Type of membrane 소모량 t2(㎛)Consumption t2 (㎛) Y2O3 용사막Y 2 O 3 Thermal Spray 30.030.0 Al2O3 용사막Al 2 O 3 Thermal Spray 109.0109.0 Al2O3 세라믹Al 2 O 3 Ceramic 88.588.5 SiO2 SiO 2 355.0355.0

표 1에 나타낸 바와 같이, 할로겐 화합물을 포함하는 분위기하에 있어서도, Y2O3, Al2O3의 내플라즈마 부식성은 양호하다는 것을 알 수 있다. 특히 상기 조건 하에서, 4종류의 막 중에서는, Y2O3 용사막이 가장 소모량이 적고, 내플라즈마 특성이 우수하다.As shown in Table 1, even under an atmosphere containing a halogen compound, the plasma corrosion resistance of the Y 2 O 3, Al 2 O 3 it can be seen that it is good. In particular, under the above conditions, among the four kinds of films, Y 2 O 3 The thermal spray coating is the least consumed and the plasma resistance is excellent.

다음에, 이 Y2O3 용사막에 대하여, CO2 블라스트를 실행한 경우에 대하여 설명한다. CO2 블라스트는 압력 2.5 내지 4.2kgf/㎠, 노즐 직경 16㎜, 노즐로부터 용사면까지의 거리 15㎜, 드라이아이스 입경 0.3 내지 2.0㎜, 드라이아이스 레이트 0.5kg/min 조건 하에서 실행했다.Next, this Y 2 O 3 With respect to the sprayed coating it will be described in the case of running a CO 2 blasting. CO 2 Blast was performed under the conditions of the pressure of 2.5-4.2 kgf / cm <2>, the nozzle diameter of 16 mm, the distance of 15 mm from a nozzle to a sprayed surface, the dry ice particle diameter of 0.3-2.0 mm, and the dry ice rate of 0.5 kg / min.

블라스트 시간을 30sec. 및 60sec.로 했을 때, 블라스트량은 각각 5㎛, 및 10㎛였다. 이 공정에 의해, 도 3c에 나타내는 바와 같이, 도 3b에서의 용사막 표면(135)이 두께(t3)만큼 깎이고, 표면에 발생한 요철을 평탄화하며, 이물질을 제거할 수 있다. 또한, CO2 블라스트에 의한 막 두께 감소량(t3)은, Y2O3 용사막의 경우, 10㎛ 이상, 바람직하게는 20㎛ 이상이 적절하다.Blast time 30sec. And 60 sec., The blast amounts were 5 µm and 10 µm, respectively. By this process, as shown in FIG. 3C, the thermal sprayed coating surface 135 in FIG. 3B is cut by thickness t3, the unevenness | corrugation which generate | occur | produced on the surface can be removed, and a foreign material can be removed. Further, it is, Y 2 O 3 film thickness loss (t3) according to the CO 2 blasting In the case of a thermal sprayed coating, 10 micrometers or more, Preferably 20 micrometers or more are suitable.

다음에, 도 3d에 도시하는 바와 같이, 용사막(110)과 동일한 재료를 재용사한다. 알루미나, 희토류 산화물, 폴리이미드 또는 폴리벤조이미다졸 용사막에서는, 시간 경과에 따른 변화에 의한 막내의 결정 변화가 없고, 재용사함으로써 신구 결정이 접합면에 있어서 연속적으로 형성되어, 신품과 같이 재생된다. 또한 이 다음, 다시 CO2 블라스트를 실행하여, 용사막 표면의 요철을 평탄화할 수도 있다.Next, as shown in FIG. 3D, the same material as that of the thermal sprayed coating 110 is resprayed. In the alumina, rare earth oxide, polyimide, or polybenzoimidazole thermal sprayed coating, there is no crystal change in the film due to the change over time, and by respraying, new and old crystals are continuously formed at the bonding surface and regenerated as new products. . After this, CO 2 blasting may be performed again to planarize irregularities on the surface of the thermal sprayed coating.

이상 설명한 바와 같이, 본 제 1 실시 형태에 따른 초기 파티클 대책을 실시한 재생 가능한 플라즈마 처리 용기 내부 부재와 그 제조 방법, 및 플라즈마 처리 용기 내부 부재의 재생 방법에 의하면, 초기 파티클 발생을 억제하고, 사용 후에도 신품과 같이 재생할 수 있는 플라즈마 처리 용기 내부 부재를 제공할 수 있다. As described above, according to the reproducible plasma processing vessel inner member and the manufacturing method thereof, and the method of regenerating the plasma processing vessel inner member which performed the initial particle countermeasure according to the first embodiment, the initial particle generation can be suppressed, even after use. It is possible to provide a plasma processing container inner member which can be reproduced as new.

또한, 예컨대, 사용 후의 플라즈마 처리 용기 내부 부재의 표면을 제거하는 방법은 이물질이 표면에 잔류하지 않는 CO2 블라스트가 바람직하지만 이에 한정되지 않는다. 용사막이나 기재에 손상을 주지 않고 약액 등으로 표면을 세정하여 청정화할 수 있으면, 알루미나나 SiC를 사용한 블라스트나, 모래마찰 등의 지립(砥粒)에 의한 연마도 가능하다. 또한, 약액으로의 에칭에 의한 화학적 연마도 적용할 수 있는 가능성이 있다.Further, for example, the method of removing the surface of the inner member of the plasma processing vessel after use is preferably, but not limited to, a CO 2 blast in which foreign matter does not remain on the surface. As long as the surface can be cleaned and cleaned with a chemical solution or the like without damaging the thermal sprayed coating or the base material, polishing by abrasives such as blast using alumina or SiC, sand friction, or the like is also possible. In addition, there is a possibility that chemical polishing by etching with a chemical liquid can also be applied.

도 4는, 제 2 실시 형태에 따른 플라즈마 처리 용기 내부 부재(100)가 재생되는 과정을 모식적으로 나타내는 단면도이다. 도 4a는 초기 상태, 도 4b는 플라즈마 처리 용기 내에서의 사용 후, 도 4c는 재용사 후의 상태를 나타낸다.4 is a cross-sectional view schematically showing a process in which the plasma processing vessel inner member 100 according to the second embodiment is regenerated. 4A shows an initial state, FIG. 4B shows a state after use in a plasma processing vessel, and FIG. 4C shows a state after respraying.

본 제 2 실시 형태에서는, 제 1 실시 형태와 같이 플라즈마 처리 용기 내에서의 사용 후에 CO2 블라스트를 실행하지 않고, 플라즈마 처리 용기 내에서의 사용 후에 사용 전의 용사막과 동일한 용사막을 사용하여 다시 용사(재용사)를 실행하고 있다. 본 제 2 실시 형태의 실시 조건은, CO2 블라스트를 실행하는 것 이외의 점에 있어서 제 1 실시 형태와 동일하다.In the second embodiment, as in the first embodiment, the CO 2 blast is not performed after use in the plasma processing container, and the thermal spraying is performed again using the same spray film as the spray film before use after use in the plasma processing container. Re-spawn). Operating conditions of the second embodiment is the same as that of the first embodiment in the points other than to execute the CO 2 blasting.

CO2 블라스트를 실행하지 않고, 플라즈마 처리 전의 용사막과 동일한 재료를 사용하여 재용사를 실행함으로써, 재용사시의 용사막이 보다 접근하기 쉬워진다는 효과가 있다. 그것은, 플라즈마 처리 후의 요철이 있는 상태일 때인 편이 비교적 평평한 상태일 때보다, 재용사시의 용사막이 보다 부착되기 쉬워지기 때문이다. 이로써, 플라즈마 중에서의 사용에 의해 표면이 열화된 플라즈마 처리 용기를 신품과 같이 재생 가능하게 된다.By carrying out the respray using the same material as the sprayed film before the plasma treatment without performing the CO 2 blast, there is an effect that the sprayed film at the time of respraying becomes more accessible. This is because the thermal sprayed coating at the time of respraying becomes more likely to adhere than when the unevenness after the plasma treatment is relatively flat. Thereby, the plasma processing container whose surface was deteriorated by use in plasma can be reproduced like a new article.

(제 3 실시 형태)(Third embodiment)

다음에, 본 발명의 제 3 실시 형태를 도면에 기초하여 상세히 설명한다.Next, a third embodiment of the present invention will be described in detail with reference to the drawings.

도 5는 플라즈마 처리 장치로서의 플라즈마 에칭 장치의 내부 구조로서, 상기 플라즈마 에칭 장치의 장치 본체(201)의 내부, 즉 처리실(221)내에는 소정 형상으로 형성된 다수의 각종 장치 부품이 소정 위치에 설치되어 있다.FIG. 5 shows an internal structure of a plasma etching apparatus as a plasma processing apparatus, in which a plurality of various apparatus components formed in a predetermined shape are provided in a predetermined position in the apparatus main body 201 of the plasma etching apparatus, that is, in the processing chamber 221. have.

구체적으로는, 처리실(221)의 하방에는 도전성 재료료 형성된 하부 전극(202)이 설치되고, 또한 피처리물로서의 반도체 웨이퍼(W)를 흡착 유지하는 정전 척(204)이 상기 하부 전극(202)에 탑재되며, 또한 상기 하부 전극(202)은 화살표(A) 방향으로 승강 가능한 승강축(205)에 지지되어 있다. 그리고, 승강축(205)은 정합기(206)를 거쳐 고주파 전원(207)에 접속되고, 또한 승강축(205)은 도전성 재료로 형성된 고리 형상 부재(209)에 관통 삽입되어 있다.Specifically, the lower electrode 202 is provided below the processing chamber 221 and the electrostatic chuck 204 which adsorbs and holds the semiconductor wafer W as a to-be-processed object is provided in the lower electrode 202. The lower electrode 202 is supported by a lifting shaft 205 that can be lifted in the direction of arrow A. The lifting shaft 205 is connected to the high frequency power supply 207 via the matching unit 206, and the lifting shaft 205 is inserted through the annular member 209 made of a conductive material.

또한, 하부 전극(202)은 전극 유지 부재(229)에 의해 보호되는 동시에, 상기 전극 보호 부재(229)와 장치 본체(201)의 바닥면의 사이에는 스테인리스 등의 도전성 재료로 형성된 신축 가능한 벨로즈(208)가 착좌되어 있다. 또한, 하부 전극(202)의 상부 측면에는 도전성 부재 또는 절연성 부재로 형성된 포커스링(210)이 설치되고, 또한 상기 포커스 링(210)의 바닥면에는 제 1 벨로즈 커버(211)가 수직으로 설치되며, 또한 장치 본체(201)의 바닥면으로부터는 제 1 벨로즈 커버(211)와 일부가 중첩되도록 제 2 벨로즈 커버(212)가 설치되어 있다.In addition, the lower electrode 202 is protected by the electrode holding member 229, and a flexible bellows formed of a conductive material such as stainless steel between the electrode protecting member 229 and the bottom surface of the apparatus main body 201. 208 is seated. In addition, a focus ring 210 formed of a conductive member or an insulating member is installed on an upper side of the lower electrode 202, and a first bellows cover 211 is installed vertically on a bottom surface of the focus ring 210. In addition, a second bellows cover 212 is provided from the bottom surface of the apparatus main body 201 so that a part of the first bellows cover 211 overlaps.

처리실(221)의 상방에는 도전성 재료로 형성된 상부 전극(213)이 상기 하부 전극(202)과 대향하여 설치되고, 또한 상기 상부 전극(213)은 정합기(214)를 거쳐 고주파 전원(215)에 접속되어 있다. 또한, 상부 전극(213)에는 다수의 가스 토출 구멍(216)이 설치되며, 장치 본체(201)의 상면에 설치된 가스 공급구(217)로부터 CF(플루오로카본)계 가스를 포함하는 반응성 가스가 가스 토출 구멍(216)을 거쳐 처리실(221)에 공급된다. 즉, 가스 공급구(217)는 유량 조정 밸브(218) 및 개폐 밸브(219)를 거쳐 가스 공급원(220)에 접속되고, 가스 공급원(220)으로부터의 반응 가스가 개폐 밸브(219) 및 유량 조절 밸브(218)를 거쳐 가스 공급구(217)에 공급되며, 가스 토출 구멍(216)으로부터 토출되어 처리실(221)에 도입된다. An upper electrode 213 formed of a conductive material is provided above the processing chamber 221 so as to face the lower electrode 202, and the upper electrode 213 is connected to the high frequency power source 215 via the matching unit 214. Connected. In addition, a plurality of gas discharge holes 216 are provided in the upper electrode 213, and reactive gas containing CF (fluorocarbon) gas is supplied from the gas supply port 217 provided on the upper surface of the apparatus main body 201. It is supplied to the process chamber 221 via the gas discharge hole 216. That is, the gas supply port 217 is connected to the gas supply source 220 via the flow rate control valve 218 and the on / off valve 219, and the reaction gas from the gas supply source 220 controls the open / close valve 219 and the flow rate control. It is supplied to the gas supply port 217 via the valve 218, is discharged from the gas discharge hole 216, and is introduced into the processing chamber 221.

또한, 상부 전극(213)은 절연성 부재로 형성된 실드 링(222)으로 유지되고, 또한 실드 링(222)에는 보호 링(223)이 가장자리에 설치되며, 또한 상기 보호 링(223)의 외주로부터는 실드 부재(224)가 수직으로 설치된다.In addition, the upper electrode 213 is held by a shield ring 222 formed of an insulating member, and the shield ring 222 is provided with a protective ring 223 at the edge, and from the outer circumference of the protective ring 223. Shield member 224 is installed vertically.

또한, 장치 본체(201)의 바닥부에는 배출 구멍(225)이 설치되는 동시에, 상기 배출 구멍(225)은 진공 펌프(226)에 접속되고, 또한 장치 본체(201)의 하방 측면에는 피처리물 반송 구멍(227)이 설치되어, 반도체 웨이퍼(W)의 반입·반출이 실행된다.In addition, a discharge hole 225 is provided at the bottom of the apparatus main body 201, and the discharge hole 225 is connected to the vacuum pump 226, and a workpiece to be processed below the apparatus main body 201. The conveyance hole 227 is provided and carrying in and out of the semiconductor wafer W is performed.

이와 같이 구성된 플라즈마 에칭 장치에 있어서는, 도시하지 않은 구동 기구에 의해 승강축(205)을 화살표(A) 방향으로 이동시켜서 반도체 웨이퍼(W)의 위치 조정을 실행한 후, 상기 승강축(205)은 급전 막대로서의 작용을 이루고, 고주파 전원(207, 215)으로부터, 예컨대, 13.56㎒의 고주파 전력이 하부 전극(202) 및 상부 전극(213)에 인가되면, 글로우 방전이 발생한다.In the plasma etching apparatus configured as described above, the lifting shaft 205 is moved after the lifting shaft 205 is moved in the direction of the arrow A by a driving mechanism (not shown) to adjust the position of the semiconductor wafer W. Glow discharge occurs when the high frequency power of, for example, 13.56 MHz is applied to the lower electrode 202 and the upper electrode 213 from the high frequency power supplies 207 and 215.

한편, 처리실(221)이 진공 펌프(226)에 의해 소정의 진공 분위기로 감압되고, 가스 공급원(220)으로부터의 반응성 가스가 처리실(221)에 공급되면, 상기 글로우 방전을 거쳐 반응성 가스가 플라즈마화하고, 포커스 링(210) 및 실드 링(222)에 의해 하부 전극(210)과 상부 전극(213)의 사이에 플라즈마가 유폐되어, 그 결과, 소정의 마스킹이 이루어진 반도체 웨이퍼(W)에 소망하는 미세 가공이 실시된다.On the other hand, when the processing chamber 221 is depressurized to a predetermined vacuum atmosphere by the vacuum pump 226, and the reactive gas from the gas supply source 220 is supplied to the processing chamber 221, the reactive gas is converted into plasma through the glow discharge. The plasma is confined between the lower electrode 210 and the upper electrode 213 by the focus ring 210 and the shield ring 222, and as a result, the semiconductor wafer W, which has a predetermined masking, is desired. Micromachining is performed.

그런데, 이와 같이 반도체 웨이퍼(W)는 건식 에칭 처리에 의해 미세 가공되지만, 한편, 포커스 링(210)이나 실드 링(222) 등, 플라즈마 분위기에 노출되는 각종 장치 부품의 표면도 에칭되어 소모되기 때문에, 그 소모 정도에 따라 이들 소모된 장치 부품을 신규 부품과 교환할 필요가 있다.By the way, the semiconductor wafer W is finely processed by the dry etching process. On the other hand, the surface of various device components exposed to the plasma atmosphere, such as the focus ring 210 and the shield ring 222, are also etched and consumed. In addition, depending on the degree of consumption, it is necessary to replace these consumed device parts with new ones.

그러나, 이러한 소모된 장치 부품을 항상 신규 부품으로 교환하는 것으로 하면, 생산 비용의 앙등을 초래하거나, 혹은 상기 신규 부품의 재고가 없을 때는 생산 라인의 정지를 할 수 밖에 없게 된다.However, if such consumed device parts are always replaced with new parts, the production cost will rise or if the new parts are out of stock, the production line will be stopped.

따라서, 본 제 3 실시 형태에서는, 각 구성 부품의 일부 형상으로 변형한 경우는, 상기 변형 부분을 절단하고, 변형 전의 형상으로 형성된 부품을 상기 변형 부분이 절단 제거된 개소에 용착 접합하고 있다.Therefore, in this 3rd Embodiment, when deform | transforming into the partial shape of each component, the said deformation | transformation part is cut | disconnected, and the part formed in the shape before deformation | transformation is welded and bonded to the location where the said deformation | transformation part was cut off.

도 6은 상기 포커스 링(210)의 단면도로서, 상기 포커스 링(210)은 통상의 신규 부품의 경우는, 내경(D1) 및 외경(D2)으로 이루어지는 링 형상으로 되며, 내주면에 단 부착부(230)를 갖고 있다.6 is a cross-sectional view of the focus ring 210, in which the focus ring 210 has a ring shape consisting of an inner diameter D1 and an outer diameter D2 in the case of ordinary new parts, and has an end portion attached to the inner circumferential surface ( 230).

상기 포커스 링(210)은 Al 등의 도전성 재료 또는 SiO2 등의 절연성 재료로 형성되고, 도전성 재료로 형성된 경우는 반도체 웨이퍼(W) 주변의 플라즈마의 균일성을 향상시키는 작용을 이루며, 절연성 재료로 형성된 경우는 반도체 웨이퍼(W)상에 고밀도 플라즈마를 형성하는 작용을 이루지만, 어떻게 해도 상기 포커스 링(210)은 플라즈마 분위기에 노출되기 때문에, 상기 플라즈마에 의해 그 표면이 에칭되어 깎이고, 그 결과 도 7a에 도시하는 바와 같이, 포커스 링(210)은 그 일부가 변형되고 변형 부분(210a)이 형성된다.The focus ring 210 is a conductive material such as Al or SiO 2 In the case of an insulating material, the conductive material improves the uniformity of the plasma around the semiconductor wafer W. In the case of the insulating material, a high density plasma is formed on the semiconductor wafer W. Although the focus ring 210 is exposed to a plasma atmosphere in any way, its surface is etched and shattered by the plasma, and as a result, as shown in FIG. 7A, the focus ring 210 is part of it. Is deformed and the deformable portion 210a is formed.

따라서, 본 실시 형태에서는 도 7b에 도시하는 바와 같이, 변형 전의 치수 형상을 갖는 신규 부품(210b)을 별도로 제조하는 한편, 도 7a의 커트라인(C1)을 따라 포커스 링(210)을 절단하여 변형 부분(210a)을 제거하여, 도 7c의 「B」로 도시하는 바와 같이, 변형 부분(210a)에 상당하는 개소에 신규 부품(210b)을 용착 접합하고, 도 6과 같은 단 부착부(230)를 내주면에 갖는 포커스 링(210)을 제조한다. 그리고, 이렇게 하여 보수·제조된 포커스 링(210)을 플라즈마 에칭 장치의 소정 위치에 설치하여, 이로써 소망하는 에칭 처리를 재개하고 있다. Therefore, in the present embodiment, as shown in Fig. 7B, a new part 210b having a dimensional shape before deformation is separately manufactured, while the focus ring 210 is cut along the cut line C1 of Fig. 7A to deform the portion. As shown by "B" in FIG. 7C, the new component 210b is welded and bonded to a position corresponding to the deformable portion 210a, and the end attachment portion 230 as shown in FIG. 6 is removed. The focus ring 210 which has on the inner peripheral surface is manufactured. And the focus ring 210 repaired and manufactured in this way is provided in the predetermined position of a plasma etching apparatus, and the desired etching process is restarted by this.

이와 같이 본 실시 형태에 의하면, 포커스 링(210)이 에칭되어 일부 형상이 변형된 경우에도, 변형 부분(210a)을 제거하여 신규 부품(210b)으로 교체하기만 함으로써, 소망하는 포커스 링(210)을 다시 얻을 수 있고, 따라서 변형된 포커스 링을 항상 신품의 포커스 링으로 교환할 필요도 없어져서, 간단한 방법으로 대체품으로서의 장치 부품을 수복할 수 있어, 비용의 저감화를 도모할 수 있다.As described above, according to the present embodiment, even when the focus ring 210 is etched and a part of the shape is deformed, the desired focus ring 210 is removed by only removing the deformed portion 210a and replacing it with a new part 210b. Therefore, it is not necessary to replace the deformed focus ring with a new focus ring at all times, so that a device part as a substitute can be repaired by a simple method, and the cost can be reduced.

또한, 본 제 3 실시 형태는 플라즈마 에칭 장치에 설치되어 있는 그 밖의 장치 부품, 예컨대 실드 링(222), 보호 링(223), 실드 부재(224) 등에 대해서도 동일하게 적용할 수 있는 것은 말할 필요도 없다. It should be noted that the third embodiment can be similarly applied to other device components provided in the plasma etching apparatus, such as the shield ring 222, the protective ring 223, the shield member 224, and the like. none.

도 8 및 도 9는 본 제 3 실시 형태의 재생 방법을 실드 링(222)에 적용한 경우를 나타내고 있다. 8 and 9 show a case where the reproducing method of the third embodiment is applied to the shield ring 222.

즉, 도 8은 상기 실드 링(222)의 단면도로서, 상기 실드 링(222)은 통상의 신규 부품의 경우는 내경(D3) 및 외경(D4)으로 이루어지는 링 형상으로 되고, 또한 얇은 부분(231)을 갖고 형성되어 있다. That is, FIG. 8 is a cross-sectional view of the shield ring 222. The shield ring 222 has a ring shape including an inner diameter D3 and an outer diameter D4 in the case of a normal new component, and a thin portion 231. FIG. ) Is formed.

그리고, 상기 실드 링(222)도, 상기 포커스 링(210)과 마찬가지로, 플라즈마 분위기에 노출되기 때문에, 도 9a에 도시하는 바와 같이, 경시적 변화에 의해 얇은 부분(231)의 일부가 에칭되어 변형 부분(222a)이 형성된다.Since the shield ring 222 is exposed to a plasma atmosphere similarly to the focus ring 210, as shown in FIG. 9A, a part of the thin portion 231 is etched and deformed by a change over time. Portion 222a is formed.

그래서, 본 실시 형태에서는, 포커스 링(210)의 경우(도 7)와 마찬가지로, 도 9b에 도시하는 바와 같이, 변형 전의 치수 형상을 갖는 신규 부품(222b)을 별도로 제조하는 한편, 도 9a의 커트라인(C2)을 따라 실드 링(222)을 절단하여 변형 부분(222a)을 제거하고, 도 9c의 「E」로 도시하는 바와 같이, 변형 부분(222a)에 상당하는 개소에 신규 부품(222b)을 용착하여 접합하여, 도 8과 같은 얇은 부분(231)을 갖는 실드 링(222)을 제조하고 있다. 그리고, 이렇게 하여 보수 제조된 실드 링(222)을 다시 플라즈마 에칭 장치의 소정 위치에 설치하고, 이로써 소망하는 에칭 처리를 재개할 수 있다. Therefore, in the present embodiment, as in the case of the focus ring 210 (FIG. 7), as shown in FIG. 9B, a new part 222b having a dimension shape before deformation is separately manufactured, while the cut line of FIG. 9A is produced. The shield ring 222 is cut along (C2) to remove the deformed portion 222a, and as shown by "E" in FIG. 9C, the new part 222b is placed at a position corresponding to the deformed portion 222a. By welding and joining, a shield ring 222 having a thin portion 231 as shown in FIG. 8 is manufactured. And the shield ring 222 which was repaired and manufactured in this way is again provided in the predetermined position of a plasma etching apparatus, and a desired etching process can be restarted by this.

이렇게 하여, 포커스 링(210)의 경우와 마찬가지로, 실드 링(222)이 에칭되어 일부 형상이 변형된 경우에도, 변형 부분(222a)을 제거하여 신규 부품(222b)으로 치환하기만 함으로써 소망하는 실드 링(222)을 얻을 수 있고, 변형된 포커스 링을 항상 신품의 포커스 링으로 교환할 필요도 없어져서, 간단한 방법으로 대체품으로서의 장치 부품을 제조할 수 있어, 비용의 저감화를 도모할 수 있다.In this manner, similarly to the case of the focus ring 210, even when the shield ring 222 is etched and a part of the shape is deformed, the desired shield is merely removed by removing the deformed portion 222a and replacing it with a new part 222b. The ring 222 can be obtained, and the deformed focus ring does not always need to be replaced with a new focus ring, so that an apparatus part as a substitute can be manufactured by a simple method, and the cost can be reduced.

또한, 본 제 3 실시 형태는 상기 실시 형태에 한정되는 것이 아니다. 상기 실시 형태에서는 이른바 이온 어시스트 방식의 플라즈마 에칭장치를 예시하여 설명했지만, 예컨대 자기장 어시스트 방식의 플라즈마 에칭 장치일 수도 있는 것은 말할 필요도 없다. In addition, this 3rd Embodiment is not limited to the said embodiment. In the above embodiment, a so-called ion assist plasma etching apparatus has been described as an example, but needless to say, the plasma etching apparatus may be, for example, a magnetic field assist system.

이상 설명한 바와 같이 본 발명에 의하면, 기재의 표면이 알루미나, 희토류 산화물, 폴리이미드 또는 폴리벤조이미다졸 중 어느 용사막에 의해 피복된 플라즈마 처리 용기의 내부 부재의, 플라즈마 중에서의 사용에 의해 열화된 용사막에, 상기 용사막과 동일한 재료를 재용사함으로써, 플라즈마 중에서의 사용에 의해 표면이 열화된 플라즈마 처리 용기를 신품과 같이 재생하는 것이 가능하게 된다.As described above, according to the present invention, a surface deteriorated by use in plasma of an inner member of a plasma processing vessel coated with a thermal sprayed coating of alumina, rare earth oxide, polyimide or polybenzoimidazole. By respraying the same material as the thermal sprayed coating in the desert, it becomes possible to regenerate a plasma processing container whose surface has been degraded by use in a plasma like a new product.

또한, 플라즈마 처리에 의해, 플라즈마 처리 용기내의 소정 위치에 설치되는 부품의 일부 형상이 변형된 경우는, 상기 변형 부분을 제거한 후, 변형 전의 형상으로 형성된 부품을 변형 부분이 제거된 개소에 접합하고 있기 때문에, 장치 부품의 일부 형상이 변형된 경우에도, 변형된 장치 부품을 항상 새로운 장치 부품으로 교환할 필요가 없어지고, 간단한 방법으로 대체품으로서의 장치 부품을 제조할 수 있어, 비용의 저감화를 도모할 수 있고, 또한 신규 부품의 재고가 없기 때문에 생산 라인이 장시간 정지하는 것을 회피할 수 있다. In addition, when the shape of a part of a part installed at a predetermined position in the plasma processing container is deformed by the plasma process, after the deformed part is removed, the part formed in the shape before deformation is joined to a location where the deformed part is removed. Therefore, even when a part of the shape of the device part is deformed, it is not necessary to always replace the deformed device part with a new device part, and the device part as a substitute can be manufactured by a simple method, and the cost can be reduced. Moreover, since there is no stock of new parts, it can avoid that a production line stops for a long time.

또한, 플라즈마 처리 용기 내부 부재의 기재의 표면을 알루미나, 희토류 산화물, 폴리이미드 또는 폴리벤조이미다졸의 용사막으로 피복하고, 사용 전에 CO2 플라즈마에 의해 표면을 평활하게 함으로써, 초기 파티클 발생을 억제하는 것이 가능해진다. In addition, by coating the surface of the substrate of the inner member of the plasma processing vessel with a thermal sprayed coating of alumina, rare earth oxide, polyimide or polybenzoimidazole, and smoothing the surface by CO 2 plasma before use, initial particle generation is suppressed. It becomes possible.

이상, 첨부 도면을 참조하면서 본 발명에 따른 플라즈마 처리 용기 내부 부재의 재생 방법 및 재생 가능한 플라즈마 처리 용기 내부 부재의 적절한 실시 형태에 대하여 설명했지만, 본 발명은 이러한 예에 한정되지 않는다. 당업자라면, 특허청구의 범위에 기재된 기술적 사상의 범주 내에 있어서 각종의 변경예 또는 수정예에 이를 수 있는 것은 분명하고, 그것들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.As mentioned above, although preferred embodiment of the regeneration method of the plasma processing container inner member and the reproducible plasma processing container inner member which concerns on this invention was described referring an accompanying drawing, this invention is not limited to this example. It will be apparent to those skilled in the art that various changes or modifications can be made within the scope of the technical idea described in the claims, and that they naturally belong to the technical scope of the present invention.

본 발명은, 플라즈마 중에서의 사용에 의해 표면이 열화된 플라즈마 처리 용기의, 내부 부재의 신품과 같은 재생에 적용 가능하고, 특히 반도체 장치나, LCD 기판 등의 제조 공정에 적용 가능하다. INDUSTRIAL APPLICABILITY The present invention is applicable to the regeneration of a plasma processing container whose surface has been degraded by use in a plasma, such as a new article of an internal member, and is particularly applicable to manufacturing processes such as semiconductor devices and LCD substrates.

도 1은 본 제 1 및 제 2 실시 형태에 따른 플라즈마 처리 장치의 구성도이다.1 is a configuration diagram of a plasma processing apparatus according to the first and second embodiments.

도 2a 및 2b는 본 제 1 실시 형태에 따른 플라즈마 처리 용기 내부 부재의 모식적인 단면도이다.2A and 2B are schematic cross-sectional views of the plasma processing vessel inner member according to the first embodiment.

도 3a 내지 3d는 본 제 1 실시 형태에 따른 플라즈마 처리 용기 내부 부재가 재생되는 과정을 모식적으로 나타내는 단면도이다.3A to 3D are cross-sectional views schematically showing a process of regenerating the inner member of the plasma processing vessel according to the first embodiment.

도 4a 내지 4c는 본 제 2 실시 형태에 따른 플라즈마 처리 용기 내부 부재가 재생되는 과정을 모식적으로 나타내는 단면도이다.4A to 4C are cross-sectional views schematically showing a process of regenerating the plasma processing vessel inner member according to the second embodiment.

도 5는 본 제 3 실시 형태에 따른 플라즈마 장치로서의 에칭 장치의 내부 구조도이다.5 is an internal structural diagram of an etching apparatus as a plasma apparatus according to the third embodiment.

도 6은 포커스 링의 단면도이다.6 is a cross-sectional view of the focus ring.

도 7a 내지 7c는 본 제 3 실시 형태에 따른 플라즈마 장치용 부품의 재생방법의 일 실시 형태를 나타내는 도면이다.7A to 7C are diagrams showing one embodiment of a method for regenerating a component for a plasma device according to the third embodiment.

도 8은 실드 링의 단면도이다.8 is a cross-sectional view of the shield ring.

도 9a 내지 9c는 본 제 3 실시 형태에 따른 플라즈마 장치용 부품의 재생 방법의 다른 실시 형태를 나타내는 도면이다.9A to 9C are diagrams showing another embodiment of the method of reproducing a component for a plasma device according to the third embodiment.

Claims (1)

기재의 표면이 알루미나, 희토류 산화물, 폴리이미드 또는 폴리벤조이미다졸 중 어느 용사막에 의해 피복된 플라즈마 처리 용기의 내부 부재의, 플라즈마 중에서의 사용에 의해 열화된 용사막에, 상기 용사막과 동일한 재료를 재용사하는 것을 특징으로 하는 The same material as that of the thermal spray coating for the thermal spray coating deteriorated by use in plasma of the inner member of the plasma processing vessel whose surface of the substrate is coated with any of alumina, rare earth oxide, polyimide or polybenzoimidazole. Characterized by re-spray 플라즈마 처리 용기의 재생 방법. Regeneration method of a plasma processing vessel.
KR1020097014969A 2000-12-12 2001-12-07 Method for regenerating apparatus for plasma treatment, method for regenerating member inside container for plasma treatment, and apparatus for plasma treatment KR101005983B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000377100 2000-12-12
JPJP-P-2000-377100 2000-12-12
JPJP-P-2001-059985 2001-03-05
JP2001059985 2001-03-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020037007810A Division KR100945315B1 (en) 2000-12-12 2001-12-07 Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment

Publications (2)

Publication Number Publication Date
KR20090081446A true KR20090081446A (en) 2009-07-28
KR101005983B1 KR101005983B1 (en) 2011-01-05

Family

ID=26605649

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020097014969A KR101005983B1 (en) 2000-12-12 2001-12-07 Method for regenerating apparatus for plasma treatment, method for regenerating member inside container for plasma treatment, and apparatus for plasma treatment
KR1020037007810A KR100945315B1 (en) 2000-12-12 2001-12-07 Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020037007810A KR100945315B1 (en) 2000-12-12 2001-12-07 Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment

Country Status (4)

Country Link
JP (1) JP4440541B2 (en)
KR (2) KR101005983B1 (en)
CN (1) CN100386467C (en)
WO (1) WO2002048421A1 (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
JP4503270B2 (en) * 2002-11-28 2010-07-14 東京エレクトロン株式会社 Inside the plasma processing vessel
CN100418187C (en) 2003-02-07 2008-09-10 东京毅力科创株式会社 Plasma processing device, annular element and plasma processing method
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR100859955B1 (en) * 2005-04-22 2008-10-06 주식회사 코미코 Internal memeber of plasma processing container and method for preparing the same
JP5019811B2 (en) * 2006-07-20 2012-09-05 東京エレクトロン株式会社 Repair method of electrostatic adsorption electrode
JP2008103403A (en) * 2006-10-17 2008-05-01 Tokyo Electron Ltd Substrate mount table and plasma treatment apparatus
JP5248038B2 (en) * 2007-05-22 2013-07-31 東京エレクトロン株式会社 Mounting table and plasma processing apparatus using the same
KR100872328B1 (en) * 2008-02-11 2008-12-05 주식회사 코미코 Internal member of plasma processing apparatus and method for manufacturing the same
KR100933433B1 (en) * 2008-11-07 2009-12-23 주식회사 코미코 Method of manufacturing baffle plate for plasma processing apparatus
JP5623722B2 (en) * 2009-09-28 2014-11-12 東京エレクトロン株式会社 Method for recycling silicon parts for plasma etching equipment
JP2012049220A (en) * 2010-08-25 2012-03-08 Mitsui Eng & Shipbuild Co Ltd Plasma resistant member and method for recycling the same
JP5183779B2 (en) * 2011-07-29 2013-04-17 東京エレクトロン株式会社 Repair method of electrostatic adsorption electrode
JP6034156B2 (en) 2011-12-05 2016-11-30 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR101301940B1 (en) * 2012-12-05 2013-08-30 (주)오씨티 Reproducing apparatus and reproducing method for battery
JP6598132B1 (en) * 2019-06-13 2019-10-30 株式会社アドマップ Method for regenerating film forming structure and regenerating film forming structure

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA927990A (en) * 1970-03-03 1973-06-05 J. Durmann George High temperature plastic flame spray powder
JPH065155B2 (en) * 1984-10-12 1994-01-19 住友金属工業株式会社 Furnace wall repair device for kiln
JPS644083A (en) * 1987-06-25 1989-01-09 Sanyo Electric Co Photovoltaic device
JPH0730468B2 (en) * 1988-06-09 1995-04-05 日電アネルバ株式会社 Dry etching equipment
JPH04238882A (en) * 1991-01-10 1992-08-26 Denki Kagaku Kogyo Kk High-temperature insulated article
US5223550A (en) * 1991-12-19 1993-06-29 Hoechst Celanese Corp. Fine polybenzimidazole-containing particles
CA2097222A1 (en) * 1992-06-01 1993-12-02 Somyong Visaisouk Particle blasting utilizing crystalline ice
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH0841309A (en) * 1994-07-28 1996-02-13 Hoechst Japan Ltd Polybenzimidazole resin article for dry etching apparatus
KR100497879B1 (en) * 1997-01-23 2005-09-08 동경 엘렉트론 주식회사 Plasma treatment apparatus
JPH11191555A (en) * 1997-12-26 1999-07-13 Gunze Ltd Plasma cvd apparatus
JP2001226741A (en) * 2000-02-15 2001-08-21 Kawasaki Steel Corp High strength cold rolled steel sheet excellent in stretch flanging workability and producing method therefor

Also Published As

Publication number Publication date
WO2002048421A1 (en) 2002-06-20
JP4440541B2 (en) 2010-03-24
KR101005983B1 (en) 2011-01-05
JPWO2002048421A1 (en) 2004-04-15
CN1479801A (en) 2004-03-03
KR100945315B1 (en) 2010-03-05
KR20030063415A (en) 2003-07-28
CN100386467C (en) 2008-05-07

Similar Documents

Publication Publication Date Title
KR101005983B1 (en) Method for regenerating apparatus for plasma treatment, method for regenerating member inside container for plasma treatment, and apparatus for plasma treatment
US20040081746A1 (en) Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
JP4657824B2 (en) Substrate mounting table, substrate processing apparatus, and method for manufacturing substrate mounting table
KR101261706B1 (en) Substrate mounting table and method for manufacturing the same, and substrate processing apparatus
JP4532479B2 (en) A barrier layer for a processing member and a method of forming the same.
JP2002313898A5 (en)
KR20020066198A (en) Substrate supporting table, method for manufacturing the same and processing system
JP2006501645A (en) Method and apparatus for improved upper electrode plate in plasma processing system
JP2007332462A (en) Method for regenerating plasma treatment container, member inside the plasma treatment container, method for manufacturing the member inside the plasma treatment container and apparatus for plasma treatment
KR20140019812A (en) Method and apparatus for refurbishing gas distribution plate surfaces
WO2009116588A1 (en) Surface treatment method, showerhead, treatment container, and treatment apparatus using same
KR102507527B1 (en) System for treating substrate with the electro-static chuck
KR101323645B1 (en) Regeneration method for side of electrostatic chuck using aerosol coating and electrostatic chuck regenerated by the same
CN113097042A (en) Method and apparatus for plasma etching
TWI816448B (en) Recycling method of interior wall components
JP2004119475A (en) Manufacturing method of component to be used in plasma processing apparatus and component used in plasma prossing apparatus
WO2023086165A1 (en) Coated part for capacitively coupled chamber
JP5349805B2 (en) Semiconductor device manufacturing apparatus manufacturing method and semiconductor device manufacturing apparatus cleaning method
CN115836378A (en) Process kit with protective ceramic coating for hydrogen and ammonia plasma applications
CN116391062A (en) Method and apparatus for preventing component cracking using stress relief layers
KR20220116599A (en) Masking structure and method for regenerating plasma etching electrode using the same
KR20240046578A (en) Processed ceramic chamber parts
KR101071248B1 (en) ElectroStatic Chuck and manufacturing process for ElectroStatic Chuck
JP2022030376A (en) Plasma processing apparatus
JP2004260201A (en) Treatment apparatus

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131210

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141205

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151201

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161129

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee