KR20090063170A - 질화 규소막 증착 방법 - Google Patents

질화 규소막 증착 방법 Download PDF

Info

Publication number
KR20090063170A
KR20090063170A KR1020080126782A KR20080126782A KR20090063170A KR 20090063170 A KR20090063170 A KR 20090063170A KR 1020080126782 A KR1020080126782 A KR 1020080126782A KR 20080126782 A KR20080126782 A KR 20080126782A KR 20090063170 A KR20090063170 A KR 20090063170A
Authority
KR
South Korea
Prior art keywords
supplying
reactor
gas
nitride film
silicon nitride
Prior art date
Application number
KR1020080126782A
Other languages
English (en)
Inventor
윤태호
박형상
권학용
김영재
Original Assignee
에이에스엠지니텍코리아 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠지니텍코리아 주식회사 filed Critical 에이에스엠지니텍코리아 주식회사
Publication of KR20090063170A publication Critical patent/KR20090063170A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 한 실시예에 따른 질화 규소막 증착 방법은 기판이 장착되어 있는 반응기에 실리콘 원료 기체를 공급하는 단계, 상기 반응기에 퍼지 기체를 공급하는 단계, 그리고 상기 반응기에 암모니아 플라즈마를 발생하는 단계를 포함한다. 본 발명의 실시예에 따른 플라즈마 강화 원자층 증착법(PEALD)에 의한 질화 규소막 증착 방법에 의하면, 낮은 온도에서 높은 증착률을 가지고, 불필요한 입자 발생 없이 우수한 성분비의 질화 규소막을 얻을 수 있다. 또한, 원자층 증착법(ALD)을 이용하기 때문에 고단차갭에서 요구하는 단차 피복성 만족시킬 수 있다.
질화 규소막, 플라즈마, 단차 피복성, 헥사클로로디실란,

Description

질화 규소막 증착 방법{METHOD OF DEPOSITING SILICON NITRIDE FILM}
본 발명은 박막 증착에 관한 것으로서, 더욱 상세하게는 질화 규소막 증착 방법에 관한 것이다.
질화 규소막은 우수한 내산화성 및 우수한 절연 특성을 가지고 있어서, 마이크로 전자 장치를 제조할 때, ONO(oxide/nitride/oxide) 스택, 식각 정지(etch-stop)층, 산소 확산 방지막, 게이트 절연층, 배선 공정, 하드마스크 등에 이용되고 있다.
현재 질화 규소막을 형성하기 위하여 사용되는 주요 기술은 실란 등의 규소원과 질소(N2), 암모니아(NH3) 등의 질소원을 혼합하여 동시에 공급하고, 고주파 에너지를 인가하는 플라즈마 강화 화학 기상 증착법(PECVD) 또는 디클로로신란(dichlorosilane: DCS), 비스테라부틸아미노실란(bis-tert-butylaminosilane: BTBAS), 헥사클로로디실란(hexachlorosilane:HCDS) 등의 규소원과 암모니아(NH3) 등의 질소원을 혼합하여 동시에 공급하여 약 0.1 내지 5torr의 저압과 약 800℃ 내지 900℃의 고온에서 질화 규소막을 증착하는 저압 화학 기상 증착법(LPCVD)등이다.
그러나 플라즈마 강화 화학 증착법(PECVD)을 이용하여 증착한 질화 규소막의 경우, 낮은 온도에서 증착 가능하고, 증착 속도는 빠르나, 많은 수소를 포함하고, 막 밀도가 낮고, 열 안정성이 부족하고, 또한 단차 피복성도 낮은 특성을 가진다.
저압 화학 증착법을 이용한 경우, 위에 언급한 규소원과 암모니아 기체의 반응에 의하여 염화 암모늄(NH4Cl4)이 부차적으로 생성되어, 화학 기상 증착 장치의 배기 시스템 내부에 축적되고, 증착 속도가 매우 낮다. 또한, 고온에서 증착이 이루어지기 때문에 고온에 의한 질화 규소막의 계면 산화로 인하여, 질화 규소막을 게이트 절연막으로 이용할 경우에는 누설 전류가 증가할 수 있으며, 배선공정에 이용하는 경우에도 산소 확산에 의해 배선의 전기적 특성을 저하할 수 있다.
한편, 반도체 소자가 초고집적화 되면서 반도체 소자들이 미세해지고, 종횡비가 커지고 있다. 따라서, 초미세 패턴 사이의 고 단차 갭 사이에 우수한 단차 피복성을 가지고, 얇은 두께에서 균일하고 우수한 특성을 갖을 수 있는 질화 규소막의 증착이 요구되고 있다.
그러나 원료 기체들을 동시에 공급하는 화학 증착법은 미세하고 종횡비가 큰 표면에 단차 피복성이 우수한 막을 형성하기 어렵다.
본 발명이 이루고자 하는 기술적 과제는 낮은 온도에서 높은 증차율로 고품질의 질화 규소막을 증착할 수 있는 방법을 제공하는 데 있다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 한 실시예에 따른 질화 규소막 증착 방법은 기판이 장착되어 있는 반응기에 실리콘 원료 기체, 할로 실란(halo-silane)를 공급하는 단계, 상기 반응기에 퍼지 기체를 공급하는 단계, 그리고 상기 실리콘 원료 기체를 공급하지 않으면서 상기 반응기에 암모니아 플라즈마를 발생하는 단계를 복수 회 반복한다.
상기 질화 규소막 증착 방법은 플라즈마 강화 원자층 증착 방법일 수 있다.
상기 실리콘 원료 기체는 규소(Si)를 포함하는 염화물(chloride)일 수 있다.
상기 실리콘 원료 기체는 헥사클로로디실란(hexachlorosilane:HCDS)일 수 있다.
상기 반응기의 온도는 100℃ 이상 내지 500℃ 이하일 수 있다.
상기 반응기의 압력은 0.1torr 내지 10torr일 수 있다.
상기 암모니아 플라즈마를 발생하는 단계에서, 암모니아는 약 50sccm 내지 2000sccm의 유량으로 공급할 수 있다.
상기 암모니아 플라즈마를 발생하는 단계에서, 약 100W 내지 약 3000W의 전력을 공급할 수 있다.
상기 암모니아 플라즈마를 발생하는 단계 후에 상기 반응기에 퍼지 기체를 공급하는 단계를 더 포함할 수 있다.
상기 질화 규소막은 박막 내 불순물을 거의 포함하지 않을 수 있다.
상기 질화 규소막은 1.2 atomic% 보다 적은 양의 염소(chlorine) 원자를 포함할 수 있다.
본 발명의 실시예에 따른 플라즈마 강화 원자층 증착법(PEALD)에 의한 질화 규소막 증착 방법에 의하면, 낮은 온도에서 높은 증착률을 가지고, 불필요한 입자 발생 없이 우수한 성분비의 질화 규소막을 얻을 수 있다. 또한, 원자층 증착법(ALD)을 이용하기 때문에 고 단차 갭에서 요구하는 단차 피복성 만족시킬 수 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술 되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다.
이하, 첨부한 도면을 참조하여 본 발명의 실시예에 대해 설명한다.
먼저, 도 1을 참고로 하여, 본 발명의 한 실시예에 다른 질화 규소막 증착 방법에 대하여 설명한다. 도 1은 본 발명의 한 실시예에 따른 질화 규소막 증착 방법을 설명하기 위한 흐름도이다.
도 1에 도시한 바와 같이, 본 발명의 한 실시예에 따른 질화 규소막 증착 방법은 먼저 질화 규소막을 형성할 기판을 반응기에 장착한다(100). 반응기는 플라즈마 강화 원자층 증착기일 수 있고, 화학 증착기일 수도 있다.
그 후, 아래의 증착 사이클을 복수 회 반복하는데, 각 사이클은 아래의 단계를 포함한다. 먼저, 반응기에 선구 물질인 실리콘 소스 기체를 공급한다(110). 이때, 선구 물질은 실란 화합물(silane compound)과 같은 실리콘을 포함하는 화합물일 수 있다. 실란 화합물은 염화 실란(chlorinated silane), 특히 헥사클로로디실란(hexachlorosilane:HCDS)과 같은 할로 실란(halo-silane)을 포함할 수 있다. 헥사클로로디실란은 아래의 그림과 같은 규소(Si)를 포함하는 염화물(chloride)이다.
Figure 112008085799875-PAT00001
실란 화합물은 디클로로실란(dichlorosilane; DCS)과 비스터틀부틸아미노실란(bis-tert-butylaminosilane; BTBAS) 등을 포함할 수 있으며, 이에 한정되지 않는다.
실리콘 원료 기체는 2초 내지 10초의 기체 공급 주기 동안 100sccm 내지 1000sccm의 유량으로 공급될 수 있다.
다음으로, 퍼지 기체 (Ar)를 공급한다(120). 퍼지 기체는 아르곤(Ar)과 같은 불활성 기체일 수 있다. 퍼지 기체는 0.5초 내지 10초의 기체 공급 주기 동안 100sccm 내지 1000sccm의 유량으로 공급될 수 있다. 퍼지 기체는 초과 공급된 원료 기체와 부산물을 제거하기 위한 것이다.
그 후, 암모니아 원료 기체로서, 암모니아 플라즈마를 발생한다(130). 이때, 암모니아 플라즈마 발생은 반응기에 예를 들어 RF(radio frequency) 전원과 같은 전원을 이용하여, 수watt 내지 수Kwatt의 전력을 인가하면서, 반응기에 암모니아 기체를 공급함으로써, 인시츄(in-situ)로 발생할 수 있다. 이때, 전원은 용량 결합 형태의 전극에 연결된 주파수 13.56 MHz 또는 27.12MHz를 포함할 수 있고, 전력의 크기는 약 100W 내지 3000W일 수 있다.
다른 실시예에 의할 경우, 암모니아 플라즈마 발생 단계(130)에서, 반응기에 암모니아를 공급하는 방법은 반응기로 연속하여 공급하는 방법과, 플라즈마 발생시에만 공급하는 방법 모두 사용가능하다.
구체적으로, 암모니아 플라즈마는 반응기에 연속적으로 암모니아 기체를 공급하면서, 실리콘 원료 기체와 퍼지 기체를 공급하는 단계(110, 120) 후에, 실리콘 원료 기체를 공급하지 않으면서, 반응기 내에 전력을 공급하여 발생할 수 있다.
또한, 암모니아 플라즈마는 실리콘 원료 기체와 퍼지 기체를 공급하는 단계(110, 120) 후에, 실리콘 원료 기체를 공급하지 않으면서, 반응기 내에 전력을 공급하고, 전력을 공급하는 동안, 반응기에 암모니아 기체를 공급함으로써, 발생할 수 있다.
또한, 암모니아 플라즈마는 반응기에 원격으로 발생한 암모니아 플라즈마를 공급할 수도 있다.
암모니아 플라즈마 발생(130) 후, 다시 퍼지 기체를 공급한다. 퍼지 기체는 아르곤(Ar)과 같은 불활성 기체일 수 있다. 퍼지 기체는 0.5초 내지 10초의 기체 공급 주기 동안 100sccm 내지 1000sccm의 유량으로 공급될 수 있다. 퍼지 기체는 초과 공급된 암모니아 기체와 부산물을 제거하기 위한 것이다. 이때, 암모니아 플라즈마 발생(130) 후의 퍼지 기체를 공급하는 단계(140)는 생략될 수도 있다.
본 발명의 실시예에 따른 증착 과정은, 약 100℃ 내지 약 500℃의 온도, 약 0.1 torr 내지 약 10 torr의 압력하에서 이루어지는 것이 바람직하다. 각 기체 공급 단계의 공급 주기는 0.2초 내지 10초일 수 있다.
이러한 기체 공급 주기(단계 110 내지 140)를 원하는 두께를 가진 질화 규소막이 증착될 때까지 반복한다(단계 150). 본 발명의 한 실시예에서, 기체 공급 주기(단계 110 내지 140)는 약 100회 내지 500회 반복될 수 있다.
원하는 두께의 질화 규소막이 형성되면, 기판을 반응기로부터 꺼낸다(단계 160).
그러면, 본 발명의 실시예에 따른 질화 규소막 증착 방법에 의하여 증착된 질화 규소막의 증착률 및 질화 규소막의 막 특성에 대하여, 본 발명의 실험예에 따라 설명한다
<실험예 1>
본 실험예에서는 도 1에 도시한 질화 규소막의 증착 방법에 따라 질화 규소 막을 증착하였다. 이때, 서로 다른 질소 원료 기체를 공급하였으며, 다른 조건은 동일하였다. 도 1에 도시한 순서도에서 질소 스스 기체를 공급하는 단계(130)에서, 질소 플라즈마를 발생한 경우(A-1), 플라즈마 없이 암모니아 기체를 공급한 경우(A-2), 그리고 본원 발명의 실시예와 같이 암모니아 플라즈마를 발생한 경우(A-3)에 대하여 각기 질화 규소막을 증착하였다.
각 경우(A-1, A-2, A-3)에서, 실리콘 원료 기체는 헥사클로로디실란을 이용하였으며, 질화 규소막 증착은 300℃의 온도와 3torr의 압력하여서 수행하였고, 질소 원료 기체는 400sccm의 유량으로 공급하였다. 또한 플라즈마 발생 시, 600W의 전력을 인가하였다.
본 실험예에 따른 질화 규소막의 증착율을 아래의 표 1에 나타내었다.
실리콘 원료 기체 질소 원료 기체 증착률(Å/cycle)
A-1 헥사클로로디실란 질소 플라즈마 0
A-2 헥사클로로디실란 암모니아 0.09
A-3 헥사클로로디실란 암모니아 플라즈마 0.54
표 1을 참고하면, 질소 플라즈마를 발생한 경우(A-1) 증착이 이루어지지 않았으며, 암모니아 플라즈마를 발생한 경우(A-3) 증착율이 가장 높았으며, 플라즈마 없이 암모니아를 사용한 경우(A-2)에 비하여 증착율이 매우 높았다. 따라서, 암모니아에 비해 암모니아 플라즈마가 반응성이 높다는 것을 알 수 있었다. 이처럼, 본원 발명의 실시예에 따른 질화 규소막 증착 방법과 같이, 암모니아 플라즈마를 발생하여 원자층 증착 방법을 이용하여 질화 규소막을 증착하면, 증착 속도가 향상됨을 알 수 있다.
<실험예 2>
본 실험예에서는 도 1에 도시한 질화 규소막 증착 방법을 이용하여, 증착 조건을 변화시켜 질화 규소막을 증착하였다. 본 실험예에서, 실리콘 원료 기체는 헥사클로로디실란을 이용하였으며, 질소 원료 기체는 암모니아 플라즈마를 이용하다.
먼저, 다른 조건은 모두 동일한 상태에서, 증착 온도를 변화시켜 질화 규소막을 증착하였는데, 증착 온도가 200℃인 경우(B-1)와 증착 온도가 300℃인 경우(B-2)의 증착률을 아래 표 2에 나타내었다. 이때, 플라즈마를 발생하기 위한 전력은 600W이었고, 암모니아 기체의 유량은 400sccam이었고, 증착 압력은 3torr였다.
다음으로, 인가하는 전력의 크기를 변화시켜 질화 규소막을 증착하였는데, 경우(B-3)에서, 플라즈마를 발생하기 위한 전력은 1000W이었으며, 다른 증착 조건은 앞의 경우(B-2)와 동일하였다. 구체적으로 증착 온도는 300℃이었고, 암모니아 기체의 유량은 400sccam이었고, 증착 압력은 3torr였다. 이때의 질화 규소막의 증착률을 아래의 표 2에 나타내었다.
또한, 암모니아 유량을 변화시켜 질화 규소막을 증착하였는데, 경우(B-4)d에서, 암모니아 기체의 유량은 100sccm이었으며, 증착 조건은 앞의 경우(B-2)와 동일하였다. 구체적으로 증착 온도는 300℃이었고, 플라즈마를 발생하기 위한 전력은 600W이었고, 증착 압력은 3torr였다. 이때의 질화 규소막의 증착률을 아래의 표 2에 나타내었다.
증착 온도 (℃) 전력 (W) 암모니아 유량 (sccm) 증착 압력 (torr) 증착률 (Å/cycle)
B-1 200 600 400 3 0.48
B-2 300 600 400 3 0.56
B-3 300 1000 400 3 0.65
B-4 300 600 100 3 0.51
표 3을 참고하면, 실험예 2의 각 경우(B-1 내지 B-4)의 증착률은 앞의 실험예 1 중 질소 원료 기체로 암모니아 플라즈마를 사용하지 않는 경우(A-1, A-2)에 비하여 높았다.
경우(B-1)과 경우(B-2)를 비교하면, 다른 조건을 동일하게 유지하면서, 증착 온도를 200℃에서 300℃로 올린 경우, 증착률이 높다는 것을 알 수 있다. 또한, 경우(B-2)과 경우(B-3)를 비교하면, 다른 조건을 동일하게 유지하면서, 플라즈마 전력을 600W에서 1000W로 올린 경우, 증착률이 높아지는 것을 알 수 있다. 또한, 경우(B-4)과 경우(B-2)를 비교하면, 다른 조건을 동일하게 유지하면서, 암모니아 유량을 100sccm에서 약 400sccm으로 높인 경우, 증착률이 향상되었음을 알 수 있었다.
앞서 설명한 바와 같이, 본원 발명의 실시예에 따른 질화 규소막의 증착 방법은 약 100℃ 내지 약 500℃의 온도, 약 0.1 torr 내지 약 10 torr의 압력하에서, 약 50sccm 내지 2000sccm의 유량으로 암모니아(NH4)를 공급하고, 약 100W 내지 약 3000W의 전력을 공급하는 것이 바람직하다. 표 2에 나타나 있는 조건들은 본원 발명의 실시예에 따른 증착 조건 범위 내에서 있으며, 모든 경우 증착률을 나타냄을 알 수 있었다.
그러면, 도 2 및 도 3을 참고하여, 본 발명의 실시예에 따른 질화 규소막 증착 방법에 의하여 증착된 질화 규소막의 막 특성에 대하여 설명한다.
먼저, 도 2를 참고로 하여, 본 발명의 실험예에서, 본 발명의 실시예에 따른 질화 규소막 증착 방법에 의하여 증착된 질화 규소막의 오염 입자 분포에 대하여 설명한다.
<실험예 3>
본 실험예에서는, 본 발명의 실시예에 따른 플라즈마 강화 원자층 증착법(PEALD)에 따른 질화 규소막 증착 방법을 이용하여 질화 규소막을 증착하였는데, 이때, 약 300℃의 증착 온도, 약 3torr의 증착 압력, 약 400sccm의 유량으로 암모니아 공급하고, 약 600W의 전력을 가하여 암모니아 플라즈마를 발생하였다. 이러한 조건하에서 증착한 질화규소막 기판에서, 0.14마이크로미터 이상의 오염입자 측정 결과를 도 2에 도시하였다. 도 2는 본 발명의 한 실험예에서, 본 발명의 실시예에 따른 질화 규소막 증착 방법에 의하여 증착된 질화 규소막의 오염입자 수는 입자 검출기(particle counter)를 통하여 측정한 결과이다. 본 입자 검출기(particle counter)는 0.14마이크로미터 크기 이상의 반응 잔류 입자(particle) 혹은 기판 표면상 긁힘 자국(scratch) 등을 검출할 수 있다.
도 2에 도시한 바와 같이, 0.14마이크로미터 이상의 오염 입자의 수가 약 29개로 오염 입자 수가 매우 적음을 알 수 있었다.
다음으로, 도 3을 참고로 하여, 본 발명의 실험예에서, 본 발명의 실시예에 따른 질화 규소막 증착 방법에 의하여 증착된 질화 규소막의 성분비에 대하여 설명한다.
<실험예 4>
본 실험예에서는 본 발명의 실시예에 따른 플라즈마 강화 원자층 증착법(PEALD)을 이용하여 질화 규소막을 증착하였는데, 약 300℃의 증착 온도, 약 3torr의 증착 압력, 약 400sccm의 유량으로 암모니아 공급하고, 약 600W의 전력을 가하여 암모니아 플라즈마를 발생하였다.
도 3은 본 발명의 한 실험예에서, 본 발명의 실시예에 따른 질화 규소막 증착 방법에 의하여 증착된 질화 규소막의 AES(atomic emission spectroscopy) 분석 결과를 나타내는 뎁스 프로파일(depth profile) 그래프이다.
도 3을 참고하면, 본 실험예에서 증착한 질화 규소막의 AES 분석 결과, 본 발명의 실시예에 따른 플라즈마 강화 원자층 증착법(PEALD)에 의할 경우, 탄소원자(C), 염소원자(Cl) 또는 산소원자(O)와 같은 불순물은 수 % 미만으로 존재하고 실리콘(Si)과 질소 원자(N)만 함유함을 수 있었다.
보다 구체적으로, 증착된 질화 규소막 내에 포함된 총 불순물은 2atomic%이내로 존재하고, 경우에 따라 1.6atomic%이내로 존재함을 알 수 있다. 또한, 증착된 질화 규소막 내에는 1.2atomic%이내의 염소 원자를 포함한다는 것을 알 수 있다. 이에 의하여, 기존의 화학 기상 증착 방법에 의한 질화 규소막에 비하여, 불순물의 발생률이 낮은 고품질의 질화 규소막이 형성됨을 알 수 있다. 즉, 화학량적으로 순수한 질화 규소막(Si3N4)에 가까운 질화 규소막이 증착됨을 알 수 있었고, 이러한 질화 규소막은 계면 산화와 같은 문제점이 발생하지 않는다.
이처럼, 본 발명의 실시예에 따른 플라즈마 강화 원자층 증착법(PEALD)에 의한 질화 규소막 증착 방법에 의하면, 낮은 온도에서 높은 증착률을 가지며, 불필요한 입자 생성을 억제함과 동시에, 고단차 갭에서의 단차 피복성을 만족시키는 동시에 두께조절이 용이하다.
도 1은 본 발명의 한 실시예에 따른 질화 규소막 증착 방법을 설명하기 위한 흐름도이다.
도 2는 본 발명의 한 실험예에서, 본 발명의 실시예에 따른 질화 규소막 증착 방법에 의하여 증착된 질화 규소막의 오염입자 측정결과를 나타낸 그림이다.
도 3은 본 발명의 한 실험예에서, 본 발명의 실시예에 따른 질화 규소막 증착 방법에 의하여 증착된 질화 규소막의 AES(atomic emission spectroscopy) 분석 결과를 나타내는 뎁스 프로파일(depth profile) 그래프이다.

Claims (18)

  1. 기판이 장착되어 있는 반응기에 실리콘 원료 기체로, 할로 실란을 공급하는 단계,
    상기 반응기에 퍼지 기체를 공급하는 단계, 그리고
    상기 실리콘 원료 기체 공급과 상기 퍼지 기체 공급 후에, 실리콘 원료 기체를 공급하지 않으면서, 상기 반응기에 암모니아 플라즈마를 발생하는 단계를 복수 회 반복하는 질화 규소막 증착 방법.
  2. 제1항에서,
    상기 암모니아 플라즈마 발생 후에, 퍼지 기체를 공급하는 단계를 더 포함하는 질화 규소막 증착 방법.
  3. 제2항에서,
    상기 실리콘 원료 기체인 할로 실란은 염화실란을 포함하는 질화 규소막 증착 방법.
  4. 제3항에서,
    상기 염화실란은 헥사클로로디실란(hexachlorosilane:HCDS)을 포함하는 질화 규소막 증착 방법.
  5. 제1항에서,
    상기 반응기의 온도는 100℃ 이상 내지 500℃ 이하인 질화 규소막 증착 방법.
  6. 제1항에서,
    상기 반응기의 압력은 0.1torr 내지 10torr인 질화 규소막 증착 방법.
  7. 제1항에서,
    상기 암모니아 플라즈마는 상기 반응기에 인시츄로 암모니아 플라즈마를 발생하는 단계를 포함하는 질화 규소막 증착 방법.
  8. 제7항에서,
    상기 암모니아 플라즈마를 발생하는 단계에서, 암모니아는 약 50sccm 내지 2000sccm의 유량으로 공급하는 질화 규소막 증착 방법.
  9. 제7항에서,
    상기 암모니아 플라즈마를 발생하는 단계에서, 약 100W 내지 약 3000W의 전력을 공급하는 질화 규소막 증착 방법.
  10. 제7항에서,
    암모니아 플라즈마 공급 단계는
    상기 반응기에 연속하여 암모니아 기체를 공급하는 단계, 그리고
    실리콘 원료 기체와 퍼지 기체를 공급한 후에, 실리콘 원료 기체를 공급하지 않으면서, 반응기 내에 전력을 공급하는 단계를 포함하는 질화 규소막 증착 방법.
  11. 제10항에서,
    암모니아 플라즈마 공급 단계는
    실리콘 원료 기체와 퍼지 기체를 공급한 후에, 실리콘 원료 기체를 공급하지 않으면서, 반응기 내에 전력을 공급하는 단계, 그리고
    상기 실리콘 원료 기체 공급 단계 후에, 상기 전력을 공급하는 동안, 상기 반응기에 암모니아 기체를 공급하는 단계를 포함하는 질화 규소막 증착 방법.
  12. 제1항에서,
    상기 암모니아 플라즈마 공급 단계는 상기 반응기에 원격으로 발생한 암모니아 플라즈마를 공급하는 단계를 포함하는 질화 규소막 증착 방법.
  13. 제1항에서,
    원하는 두께의 질화 규소막이 증착될 때까지, 상기 기체 공급 단계 중 적어도 하나를 복수 회 반복하는 단계를 포함하는 증착 사이클을 반복하는 질화 규소막 증착 방법.
  14. 반응기에 기판을 장착하는 단계, 그리고
    복수 회의 원자층 증착 사이클을 수행하는 단계를 포함하고,
    상기 원자층 증착 사이클은
    상기 반응기에 실리콘 원료 기체로, 할로 실란을 공급하는 단계,
    상기 실리콘 원료 기체 공급 후에, 상기 반응기에 퍼지 기체를 공급하는 단계,
    상기 퍼지 기체 공급 후에, 상기 반응기에 암모니아 기체를 공급하는 단계, 그리고
    상기 실리콘 원료 기체 공급과 상기 퍼지 기체 공급 후에, 실리콘 원료 기체를 공급하지 않으면서, 상기 반응기에 전력을 인가하는 단계를 포함하는 질화 규소막 증착 방법.
  15. 제14항에서,
    상기 전력 인가 후에, 퍼지 기체를 공급하는 단계를 더 포함하는 질화 규소막 증착 방법.
  16. 제14항에서,
    상기 실리콘 원료 기체인 할로 실란은 염화실란을 포함하는 질화 규소막 증 착 방법.
  17. 제14항에서,
    상기 염화실란은 헥사클로로디실란(hexachlorosilane:HCDS)을 포함하는 질화 규소막 증착 방법.
  18. 제14항에서,
    상기 암모니아 기체 공급 단계는 상기 반응기에 전력을 인가하는 동안에만 상기 반응기에 암모니아 기체를 공급하는 단계를 포함하는 질화 규소막 증착 방법.
KR1020080126782A 2007-12-13 2008-12-12 질화 규소막 증착 방법 KR20090063170A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20070130386 2007-12-13
KR1020070130386 2007-12-13

Publications (1)

Publication Number Publication Date
KR20090063170A true KR20090063170A (ko) 2009-06-17

Family

ID=40753680

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080126782A KR20090063170A (ko) 2007-12-13 2008-12-12 질화 규소막 증착 방법

Country Status (2)

Country Link
US (1) US20090155606A1 (ko)
KR (1) KR20090063170A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014073892A1 (ko) * 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘-함유 박막의 제조 방법
US10290493B2 (en) 2012-11-07 2019-05-14 Up Chemical Co., Ltd. Method for manufacturing silicon-containing thin film

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5202372B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012061593A2 (en) 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
TW201408810A (zh) * 2012-07-12 2014-03-01 Applied Materials Inc 用於沉積貧氧金屬膜的方法
US20140030444A1 (en) * 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
TWI595112B (zh) * 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
KR102109679B1 (ko) * 2013-11-07 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US11035039B2 (en) 2015-07-31 2021-06-15 Versum Materials Us, Llc Compositions and methods for depositing silicon nitride films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10985010B2 (en) 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
WO2020072625A1 (en) 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11605536B2 (en) * 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
US11710631B2 (en) 2020-10-23 2023-07-25 Applied Materials, Inc. Tensile nitride deposition systems and methods
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN115074825A (zh) * 2022-06-10 2022-09-20 厦门紫硅半导体科技有限公司 碳化硅外延结构、脉冲式生长方法及其应用

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5801104A (en) * 1995-10-24 1998-09-01 Micron Technology, Inc. Uniform dielectric film deposition on textured surfaces
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
FR2900276B1 (fr) * 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014073892A1 (ko) * 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘-함유 박막의 제조 방법
US10290493B2 (en) 2012-11-07 2019-05-14 Up Chemical Co., Ltd. Method for manufacturing silicon-containing thin film

Also Published As

Publication number Publication date
US20090155606A1 (en) 2009-06-18

Similar Documents

Publication Publication Date Title
KR20090063170A (ko) 질화 규소막 증착 방법
US11996284B2 (en) Formation of SiOCN thin films
US10186420B2 (en) Formation of silicon-containing thin films
US20210066075A1 (en) Structures including dielectric layers and methods of forming same
US10991573B2 (en) Uniform deposition of SiOC on dielectric and metal surfaces
US8329599B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
TWI398925B (zh) 氮化硼及氮化硼衍生材料之沉積方法
US6991959B2 (en) Method of manufacturing silicon carbide film
US7655567B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
US7294582B2 (en) Low temperature silicon compound deposition
US7629267B2 (en) High stress nitride film and method for formation thereof
US8563443B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US20050100670A1 (en) Methods for producing silicon nitride films and silicon oxynitride films by thermal chemical vapor deposition
US20140273529A1 (en) PEALD of Films Comprising Silicon Nitride
US20130244446A1 (en) Method for Forming Si-Containing Film Using Two Precursors by ALD
US20050186731A1 (en) Atomic layer deposition method of forming an oxide comprising layer on a substrate
KR20090016403A (ko) 실리콘 산화막 증착 방법
US9613908B2 (en) Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
KR20150088185A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2019523344A (ja) プラズマ原子層蒸着法を用いたシリコン窒化薄膜の製造方法
CN104752165A (zh) 用于形成薄膜的循环沉积法、半导体制造方法和半导体器件
CN113316835A (zh) 用于形成具有低漏电流的含硅硼膜的方法
JP6110420B2 (ja) 窒化膜の製造方法及び窒化膜の圧縮応力の制御方法
King Plasma enhanced atomic layer deposition of SiN: H using N2 and Silane
US10128150B2 (en) Process of filling the high aspect ratio trenches by co-flowing ligands during thermal CVD

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application