KR20090033556A - Method of forming metal oxide - Google Patents

Method of forming metal oxide Download PDF

Info

Publication number
KR20090033556A
KR20090033556A KR1020070098626A KR20070098626A KR20090033556A KR 20090033556 A KR20090033556 A KR 20090033556A KR 1020070098626 A KR1020070098626 A KR 1020070098626A KR 20070098626 A KR20070098626 A KR 20070098626A KR 20090033556 A KR20090033556 A KR 20090033556A
Authority
KR
South Korea
Prior art keywords
source
oxide film
metal oxide
plasma
substrate
Prior art date
Application number
KR1020070098626A
Other languages
Korean (ko)
Inventor
박종완
김태섭
고명균
김웅선
Original Assignee
한양대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한양대학교 산학협력단 filed Critical 한양대학교 산학협력단
Priority to KR1020070098626A priority Critical patent/KR20090033556A/en
Publication of KR20090033556A publication Critical patent/KR20090033556A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments

Abstract

A metal oxidation film deposition method is provided that the effect that the reliability and satisfaction to the semiconductor device become maximized can be brought. A metal oxidation film deposition method comprises the steps of: a step for absorbing the organometallic source in the surface of substrate it supplies the organometallic source(S1); a step for purging the organometallic source which is not absorbed(S2); a step for injecting plasma(S3); a step forming the metal oxide layer on the surface of substrate(S5); a step purging the oxygen inclusion source, which does not form the metal oxide layer the organometallic source and reaction by-product(S6).

Description

금속 산화막 증착 방법 {Method of forming metal oxide} {Method of forming metal oxide}

본 발명은 유기금속(metal organic : MO) 소스를 이용한 원자층 증착(ALD) 방식으로 박막을 증착하는 방법에 관한 것으로, 보다 상세하게는 불순물 및 계면 생성을 억제하는 금속 산화막 증착 방법에 관한 것이다. The present invention relates to a method for depositing a thin film by an atomic layer deposition (ALD) method using a metal organic (MO) source, and more particularly to a metal oxide film deposition method for suppressing the generation of impurities and interfaces.

반도체 소자에 사용되는 실리콘 산화막(SiO2)과 실리콘 질화막(SiNx)은 우수한 열적 안정성, 절연성, 유전성 및 내화학성의 특성으로 장기간 게이트 산화막 및 커패시터 유전막으로 사용되어 왔다. 그러나 실리콘 산화막과 실리콘 질화막의 유전율은 각각 3.5와 7.0으로 상대적으로 낮기 때문에, 반도체 소자의 크기가 감소됨에 따라 충분한 커패시턴스를 확보하기 위해서는 막의 두께가 매우 얇게 형성되어야 한다. 그러나, 실리콘 산화막과 실리콘 질화막은 두께가 감소함에 따라 누설 전류가 지수적으로 증가하는 문제점이 발생되어, 고집적도의 반도체 소자에는 사용이 불가능하다. Silicon oxide film (SiO 2 ) and silicon nitride film (SiNx) used in semiconductor devices have been used as gate oxide films and capacitor dielectric films for a long time due to their excellent thermal stability, insulation, dielectric property, and chemical resistance. However, since the dielectric constants of the silicon oxide film and the silicon nitride film are relatively low at 3.5 and 7.0, respectively, the thickness of the film must be made very thin in order to secure sufficient capacitance as the size of the semiconductor device is reduced. However, a problem arises in that the leakage current increases exponentially as the thickness of the silicon oxide film and the silicon nitride film decreases, and thus the silicon oxide film and the silicon nitride film cannot be used in a semiconductor device of high density.

반도체 소자가 고집적화 되어감에 따라 게이트 산화막의 스케일링(scaling)도 요구되고 있는데, 스케일링에 의해 그 두께가 얇아지더라도 우수한 전기적 특성 을 유지할 것이 요구되고 있다. 차후 65nm급 세대에서의 게이트 산화막의 경우 10~15Å의 두께가 요구되는데, 이 경우 실리콘 산화막은 1A/cm2 이상의 높은 누설 전류를 가지게 되어 소자의 성능을 저하시키는 원인이 된다. As semiconductor devices have been highly integrated, scaling of gate oxide films has also been required. Even though the thickness thereof becomes thin due to scaling, it is required to maintain excellent electrical characteristics. In the case of the gate oxide film in the next 65nm class generation, a thickness of 10 to 15 mA is required. In this case, the silicon oxide film has a high leakage current of 1 A / cm 2 or more, which causes deterioration of device performance.

이러한 문제점을 해결하기 위하여, 실리콘 산화막과 실리콘 질화막을 대체할 수 있는 고유전율 물질(high-k 물질)로서 탄탈륨 산화막(Ta2O5), 알루미늄 산화막(Al2O3), 이트륨 산화막(Y2O3), 란타늄 산화막(La2O3), 타이타늄 산화막(TiO2), 지르코늄 산화막(ZrO2), 하프늄 산화막(HfO2), 그리고, 강유전체인 BST 등을 사용하는 방법이 제안되었다. 고유전율 물질을 게이트 산화막으로 사용하기 위해서는 매우 얇고 정밀하게 증착해야 하며, 또한 커패시터 유전막으로 사용하기 위해서는 우수한 단차 피복율(step coverage)이 요구되어진다. In order to solve this problem, as a high-k material (high-k material) that can replace the silicon oxide film and silicon nitride film, tantalum oxide film (Ta 2 O 5 ), aluminum oxide film (Al 2 O 3 ), yttrium oxide film (Y 2 A method using O 3 ), a lanthanum oxide film (La 2 O 3 ), a titanium oxide film (TiO 2 ), a zirconium oxide film (ZrO 2 ), a hafnium oxide film (HfO 2 ), and a ferroelectric BST has been proposed. In order to use the high-k material as a gate oxide film, it is necessary to deposit very thin and precisely, and to use as a capacitor dielectric film, an excellent step coverage is required.

그러나, 화학기상 증착(CVD)과 같은 선행 증착 기술은 점차 진보한 박막에 대한 요구를 충족시킬 수 없으며, 초박막의 두께와 함량을 정확히 조절하는 능력이나 막 전체에 함량의 균일성을 가지고 재현성 있게 증착하는 데 한계를 가지고 있다. CVD 방식이 진보된 단차 피복율을 갖는 컨포멀(conformal)한 막을 제공하기 위해 변형 적용될 수도 있지만, CVD 공정은 종종 높은 공정 온도를 필요로 하고, 높은 불순물 농도의 혼입을 초래한다. However, advance deposition techniques such as chemical vapor deposition (CVD) cannot meet the demand for progressive thin films, and are capable of precisely controlling the thickness and content of ultra thin films or depositing reproducibly with uniformity of content throughout the film. There is a limit to this. Although the CVD scheme may be modified to provide a conformal film with advanced step coverage, CVD processes often require high process temperatures and result in incorporation of high impurity concentrations.

진보한 박막에 대한 요구를 충족시키기 위해서는 ALD 방식으로 고유전율 물질이 증착되어져야 한다. 일반적인 ALD 공정은 MO 소스의 공급 및 퍼지, 그리고 MO 소스의 분해를 위한 반응가스의 공급 및 퍼지로 이루어지는 사이클을 여러 번 반복 하여 진행된다. 이러한 사이클의 반복 횟수에 의해 두께가 조절되므로 초박막의 두께도 정확하게 조절할 수 있다. 또한, 기존의 증착 방식보다 낮은 온도에서 증착이 가능하고, 기판 표면에서의 화학적 흡착과 탈착 특성에 크게 의존하는 공정으로 화학적 흡착에 의한 자기-제한 기구(self-limiting mechanism)에 의해 높은 종횡비를 가지는 패턴이나 대면적에 균일한 박막을 증착할 수 있다는 장점이 있다.To meet the demand for advanced thin films, high dielectric constant materials must be deposited in an ALD manner. A typical ALD process is repeated several times with a cycle of supplying and purging the MO source, and supplying and purging the reaction gas for decomposition of the MO source. Since the thickness is controlled by the number of repetitions of the cycle, the thickness of the ultra-thin film can be accurately adjusted. In addition, it is possible to deposit at a lower temperature than the conventional deposition method, and has a high aspect ratio by a self-limiting mechanism by chemical adsorption, which is a process that is highly dependent on chemical adsorption and desorption characteristics on the substrate surface. There is an advantage in that a uniform thin film can be deposited on a pattern or a large area.

그러나, ALD 방식은 CVD 방식에 비하여 박막의 증착 속도가 느리다는 단점이 있으며, 특히 MO 소스는 그 리간드(ligand)가 무척 복잡하게 연결되어 있어 반응가스가 금속 리간드에 흡착이 될 때 불순물이 생성되기 쉽고 따라서 원하지 않는 계면이 생기기 쉽다. 이 계면 및 불순물은 소자의 특성에 심각한 영향을 미치고 제어하기가 어려워 더욱 문제가 되고 있다. However, the ALD method has a disadvantage in that the deposition rate of the thin film is slower than that of the CVD method. In particular, the MO source has a very complex ligand, and impurities are generated when the reaction gas is adsorbed onto the metal ligand. It is easy and therefore unwanted interface is likely to occur. These interfaces and impurities are more problematic because they severely affect the characteristics of the device and are difficult to control.

본 발명이 해결하고자 하는 과제는 불순물 및 계면 생성을 억제하는 금속 산화막 증착 방법을 제공하는 것이다. The problem to be solved by the present invention is to provide a metal oxide film deposition method that suppresses the generation of impurities and interfaces.

상기 과제를 해결하기 위한 본 발명에 따른 금속 산화막 증착 방법은, (a) 유기금속 소스를 공급하여 기판의 표면에 상기 유기금속 소스를 흡착시키는 단계; (b) 흡착되지 않은 유기금속 소스를 퍼지하는 단계; (c) 산소 포함 소스를 공급하여 상기 기판의 표면에 흡착된 유기금속 소스 내 금속과 반응시켜 상기 기판의 표면에 금속 산화막을 형성하는 단계; 및 (d) 금속 산화막을 형성하지 않은 산소 포 함 소스와 유기금속 소스 및 반응 부산물을 퍼지하는 단계를 포함하며, 상기 (b) 단계와 (c) 단계 사이에 플라즈마 주입 공정을 더 포함하는 것을 특징으로 한다.Metal oxide film deposition method according to the present invention for solving the above problems, (a) supplying an organometallic source to adsorb the organometallic source on the surface of the substrate; (b) purging the unsorbed organometallic source; (c) supplying an oxygen-containing source to react with metal in the organometallic source adsorbed on the surface of the substrate to form a metal oxide film on the surface of the substrate; And (d) purging the oxygen-containing source, the organometallic source, and the reaction by-product not forming the metal oxide layer, further comprising a plasma injection process between the steps (b) and (c). It is done.

추가적으로, 상기 (d) 단계 이후에 플라즈마 주입 공정을 더 포함할 수 있으며, 상기 (b) 단계와 (c) 단계 사이 및/또는 상기 (d) 단계 이후의 플라즈마 주입 공정 다음에 불활성 가스를 이용한 퍼지 단계를 더 포함할 수도 있다. 상기 (b) 단계와 (c) 단계 사이 및/또는 상기 (d) 단계 이후의 플라즈마 주입 공정은 Ar, N2, Ne, Xe, H2 및 NH3로 이루어진 군에서 선택되는 적어도 어느 하나의 가스를 플라즈마와 함께 주입하는 것일 수 있다. Additionally, the method may further include a plasma injection process after the step (d), wherein the purge using an inert gas is performed between the steps (b) and (c) and / or after the step (d). It may further comprise a step. At least one gas selected from the group consisting of Ar, N 2 , Ne, Xe, H 2, and NH 3 between the steps (b) and (c) and / or after the step (d) May be injected together with the plasma.

상기 산소 포함 소스는 O2, O3, H2O, H2O2, N2O, CH3OH, C2H5OH, 및 C3H7OH로 이루어진 군에서 선택되는 적어도 어느 하나일 수 있으며, 상기 (c) 단계 개시와 동시에 또는 (c) 단계 중간에 플라즈마를 주입할 수도 있다. 상기 산소 포함 소스와 함께 N2, H2 및 Ar로 이루어진 군에서 선택되는 적어도 어느 하나를 더 주입하여도 된다. The oxygen-containing source is at least one selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , N 2 O, CH 3 OH, C 2 H 5 OH, and C 3 H 7 OH. The plasma may be injected at the same time as the start of step (c) or in the middle of step (c). At least one selected from the group consisting of N 2 , H 2 and Ar may be further injected together with the oxygen-containing source.

본 발명에 따르면, MOSFET에서 기존의 실리콘 산화막을 대신하여 유전 상수의 비율만큼 더 두꺼운 박막을 증착하여 누설 전류를 줄일 수 있고, 커패시턴스를 유지하면서 소자의 신뢰성을 향상시킬 수 있는 효과를 가진 고유전율 금속 산화막을 증착할 수 있다. According to the present invention, a high-k dielectric metal having the effect of reducing leakage current and improving the reliability of a device while maintaining capacitance by depositing a thin film thicker by the ratio of dielectric constant in the MOSFET instead of the conventional silicon oxide film. An oxide film can be deposited.

ALD 방식을 통해 박막의 정확한 두께 조절과 함량 조절, 그리고 막 전체에 함량의 균일성과 재현성 등의 장점을 얻고, 기존의 방법과는 다르게 플라즈마를 주입함으로써 증착시 기판과 고유전율 금속 산화막 사이에서 발생되는 계면 및 불순물로 인한 문제를 해결할 수 있다. 이 문제가 해결됨으로써 소자의 각종 전기적 특성이 향상되고 재현성이 보장되는 등, 반도체 소자에 대한 사용상의 신뢰도 및 만족도가 극대화되는 효과를 가져올 수 있다. 따라서, 고집적 반도체 소자에 보다 적합하다. The ALD method provides the advantages of accurate thickness control and content control, uniformity and reproducibility of the entire film, and unlike the conventional method, plasma is injected to induce deposition between the substrate and the high-k metal oxide film during deposition. The problem caused by the interface and impurities can be solved. By solving this problem, various electrical characteristics of the device may be improved and reproducibility may be guaranteed, thereby maximizing reliability and satisfaction in use of the semiconductor device. Therefore, it is more suitable for highly integrated semiconductor devices.

본 발명은 반도체 소자에서 특히, MOS 커패시터에서 산화물 증착시 계면 및 불순물 생성을 억제하여 소자 특성을 향상시킬 수 있다. 소자의 핵심 부품인 트랜지스터의 초고속화, 초집적화에 따른 차세대 나노미터급 반도체 집적 소자를 제조하는 분야에 본 발명의 효율적인 적용이 가능하다. The present invention can improve the device characteristics by suppressing the generation of interfaces and impurities during oxide deposition, particularly in semiconductor devices. Efficient application of the present invention is possible in the field of manufacturing next-generation nanometer-class semiconductor integrated devices according to the high speed and super integration of transistors, which are the core components of the device.

이하 첨부된 도면들을 참조하여 본 발명을 더욱 상세히 설명하고자 한다. 다음에 설명되는 실시예는 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술되는 실시예에 한정되는 것은 아니다. 본 발명의 실시예는 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되는 것이다. 본 발명의 실시예를 설명하는 도면에 있어서, 도면 상의 동일한 부호는 동일한 요소를 지칭한다. Hereinafter, the present invention will be described in more detail with reference to the accompanying drawings. The embodiments described below may be modified in many different forms, and the scope of the present invention is not limited to the embodiments described below. The embodiments of the present invention are provided to more completely explain the present invention to those skilled in the art. In the drawings illustrating embodiments of the present invention, like numerals in the drawings refer to like elements.

우선, 본 발명에 따른 금속 산화막 증착 방법은 도 1에 도시한 것과 같은 박막 증착 장치를 이용하여 수행할 수 있다. First, the metal oxide film deposition method according to the present invention can be performed using a thin film deposition apparatus as shown in FIG.

도 1의 박막 증착 장치(100)는 반응기(10) 내의 웨이퍼 블럭(12) 상에 안착 된 실리콘 웨이퍼와 같은 기판(w) 상에 박막을 증착하기 위한 것이다. The thin film deposition apparatus 100 of FIG. 1 is for depositing a thin film on a substrate w such as a silicon wafer seated on the wafer block 12 in the reactor 10.

여기서, 박막 증착 장치(100)는, 박막 증착이 진행되는 반응기(10)와, 반응기(10)로 유기금속 소스, 반응가스 및 불활성 가스를 공급하는 가스 공급 장치(20)를 포함한다. Here, the thin film deposition apparatus 100 includes a reactor 10 through which thin film deposition is performed, and a gas supply device 20 for supplying an organometallic source, a reaction gas, and an inert gas to the reactor 10.

반응기(10)는, 그 내부 상부에 설치되어 유기금속 소스, 반응가스 및 불활성 가스가 분사되는 샤워헤드(11)와, 샤워헤드(11) 하부에 설치되며 기판(w)이 안착되는 웨이퍼 블럭(12)과, 웨이퍼 블럭(12) 외주에 설치되어 유기금속 소스, 반응가스, 불활성 가스 및 반응 부산물의 원활하고 균일한 펌핑을 위한 펌핑 배플(13)과, 샤워헤드(11) 외주에 불활성 가스를 분사하는 가스커튼블럭(14)을 포함한다. The reactor 10 may include a shower head 11 installed above the shower head in which an organometallic source, a reaction gas and an inert gas are injected, and a wafer block installed below the shower head 11 and on which the substrate w may be seated. 12) and a pumping baffle 13 installed at the outer periphery of the wafer block 12 for smooth and uniform pumping of the organometallic source, the reaction gas, the inert gas and the reaction by-product, and the inert gas at the outer periphery of the shower head 11. And a gas curtain block 14 for injection.

유기금속 소스는 불활성 가스인 Ar 혹은 He에 의하여 버블링된 형태로 반응기(10) 내로 공급될 수도 있고, 액상공급장치(LDS : Liquid Delivery System) 또는 기화기(vaporization System)를 거쳐 반응기(10) 내로 공급될 수도 있다. The organometallic source may be supplied into the reactor 10 in a bubbled form by Ar or He, which is an inert gas, and into the reactor 10 through a liquid delivery system (LDS) or a vaporization system. It may be supplied.

웨이퍼 블럭(12)의 내부에는 히터(12a)가 내장되어 있으며, 히터(12a)는 기판(w)을 100 ℃ ~ 700 ℃ 범위로 가열시킨다. 펌핑 배플(13)은, 박막의 두께 균일성과 조성을 최적으로 확보할 수 있도록 하며, 반응공간을 최적화한다. A heater 12a is built in the wafer block 12, and the heater 12a heats the substrate w in a range of 100 ° C. to 700 ° C. FIG. The pumping baffle 13 can ensure optimal thickness uniformity and composition of the thin film, and optimize the reaction space.

가스커튼블럭(14)은 불활성 가스를 기판(w)의 가장자리측으로 분사하여 그 기판(w) 가장자리의 조성 변화를 조절하며, 또한 반응기(10), 상세하게는 펌핑 배플(13) 내벽이 유기금속 소스에 의하여 오염되는 것을 최소화한다.The gas curtain block 14 injects an inert gas toward the edge of the substrate w to control the compositional change of the edge of the substrate w. In addition, the inner wall of the reactor 10, specifically, the pumping baffle 13 is formed of an organic metal. Minimize contamination by sources.

한편, 박막 증착 장치(100)에는 미도시의 리모트(remote) 플라즈마 발생기 또는 다이렉트(direct) 플라즈마 발생기가 더 구비되어 있을 수 있다.The thin film deposition apparatus 100 may further include a remote plasma generator or a direct plasma generator (not shown).

도 2는 본 발명의 일 실시예에 따른 금속 산화막 증착 방법의 순서도이다. 도 3은 본 발명의 일 실시예에 따른 금속 산화막 증착 방법의 가스 펄싱 다이아그램이다. 본 발명에 따라 금속 산화막을 증착하는 방법에 대해 도 1 내지 도 3을 참조하여 설명하면 다음과 같다. 2 is a flowchart of a metal oxide film deposition method according to an embodiment of the present invention. 3 is a gas pulsing diagram of a metal oxide film deposition method according to an embodiment of the present invention. A method of depositing a metal oxide film according to the present invention will be described with reference to FIGS. 1 to 3 as follows.

먼저, 박막을 증착할 기판(w)을 박막 증착 장치(100)의 반응기(10) 내로 로딩한다. 이 때 기판(w)은 DHF 클리닝(불산과 탈이온수의 혼합 용액을 이용한 세정) 등을 통해 자연 산화막 등이 제거된 상태로 로딩한다. 그 후 반응기(10) 내에 설치된 히터(12a)를 이용하여 기판(w)의 온도가 막 증착에 적합한 공정 온도로 예열한다. 이 때, 기판(w)의 예열은 반응기(10)로부터의 배기와 동시에 이루어지며, 반응기(10) 내의 압력은 10 Torr 이하로 유지되어진다. 기판(w)이 원하는 공정 온도까지 승온되면, 박막 증착을 개시한다. First, the substrate w on which the thin film is to be deposited is loaded into the reactor 10 of the thin film deposition apparatus 100. At this time, the substrate w is loaded while the natural oxide film or the like is removed through DHF cleaning (cleaning using a mixed solution of hydrofluoric acid and deionized water). The temperature of the substrate w is then preheated to a process temperature suitable for film deposition using a heater 12a installed in the reactor 10. At this time, the preheating of the substrate w is performed simultaneously with the exhaust from the reactor 10, and the pressure in the reactor 10 is maintained at 10 Torr or less. When the substrate w is raised to a desired process temperature, thin film deposition is started.

도 2의 단계 s1 및 도 3을 참조하여, 기판(w)이 반응기(10) 내부에 로딩된 상태에서 반응기(10) 내부로 유기금속 소스를 공급한다. 유기금속 소스는 기판(w) 표면에 화학적 흡착된 후 그 위에 물리적 흡착된다. 예컨대 하프늄 산화막 형성을 위해서는 HfCl4, Hf(OtBu)4, Hf(N(C2H5)2)4, TDMAHf(tetra dimethyl amino hafnium, Hf[N(CH3)2]4), TDEAHf(tetra diethyl amino hafnium, Hf[N(C2H5)2]4), 및 TEMAHf(tetra ethyl methyl amino hafnium, Hf[N(C2H5)CH3]4) 중에서 선택되는 어느 하나를 사용하여 불활성 가스인 Ar 혹은 He에 의하여 버블링된 형태로 반응기(10) 내로 공급한다. 아니면, 소스 원액을 n-부틸아세테이트, n-헥산, 테트라하이드로푸 란, 에틸시클로헥산 등과 같은 용매에 희석하여 액상공급장치 또는 기화기를 통과시켜 기상상태로 변화된 소스를 사용한다. Referring to steps s1 and 3 of FIG. 2, the organometallic source is supplied into the reactor 10 while the substrate w is loaded inside the reactor 10. The organometallic source is chemically adsorbed on the surface of the substrate w and then physically adsorbed thereon. For example, to form hafnium oxide, HfCl 4 , Hf (OtBu) 4 , Hf (N (C 2 H 5 ) 2 ) 4 , TDMAHf (tetra dimethyl amino hafnium, Hf [N (CH 3 ) 2 ] 4 ), TDEAHf (tetra inert using any one selected from diethyl amino hafnium, Hf [N (C 2 H 5 ) 2 ] 4 ), and TEMAHf (tetra ethyl methyl amino hafnium, Hf [N (C 2 H 5 ) CH 3 ] 4 ) It is supplied into the reactor 10 in a bubbled form by the gas Ar or He. Alternatively, the source stock solution may be diluted with a solvent such as n-butyl acetate, n-hexane, tetrahydrofuran, ethylcyclohexane, etc., and then changed into a gaseous state through a liquid feeder or a vaporizer.

다음으로, 도 2의 단계 s2 및 도 3을 참조하여, 반응기(10) 내부로 불활성 가스인 퍼지 가스를 공급하여 앞의 단계 s1에서 기판(w)에 흡착되지 않은 유기금속 소스 및 물리적 흡착되어 있는 유기금속 소스를 제거한다. 이것은 유기금속 소스를 퍼지하는 단계로, 바람직하게 Ar 또는 N2 등의 반응성이 없는 가스를 공급하여 수행한다. 진공펌프를 사용하여 잉여 가스를 펌핑(pumping)하는 것으로 퍼지 단계를 수행할 수도 있다. Next, referring to steps s2 and 3 of FIG. 2, an inert gas purge gas is supplied into the reactor 10 to physically adsorb the organometallic source not adsorbed to the substrate w in the previous step s1. Remove the organometallic source. This is a step of purging the organometallic source, preferably by supplying an unreactive gas such as Ar or N 2 . The purge step may be performed by pumping excess gas using a vacuum pump.

이 후 도 2의 단계 s3 및 도 3을 참조하여, 본 발명 특유의 플라즈마 주입 공정을 실시한다. 플라즈마 주입 공정은 Ar, N2, Ne, Xe, H2과 같은 불활성 가스 또는 이를 포함하는 NH3 등의 혼합 가스를 플라즈마와 함께 주입하는 것으로, 기판(w)에 흡착된 유기금속 소스에서 금속을 제외한 리간드를 깨끗이 분해시키는 기능을 하게 된다. Thereafter, referring to steps s3 and 3 of FIG. 2, a plasma injection process peculiar to the present invention is performed. The plasma injection process injects an inert gas such as Ar, N 2 , Ne, Xe, or H 2 or a mixed gas such as NH 3 containing the same together with the plasma, and removes metal from the organometallic source adsorbed onto the substrate (w). It will function to cleanly decompose the ligands.

도 3에는 퍼지 단계(S2) 종료 후 플라즈마 주입이 개시되는 예를 도시하였으나, 플라즈마 주입 공정에서 사용하는 가스가 앞 단계의 퍼지에서와 동일한 종류라면, 퍼지 가스를 계속 공급하는 상태(즉, 퍼지 상태의 유지)에서 플라즈마 파워를 턴-온(turn-on)하는 것에 의해 본 단계를 수행할 수 있다. 플라즈마 주입은 박막 증착 장치(100)의 사양에 따라 리모트 플라즈마 방식 또는 다이렉트 플라즈마 방식에 의할 수 있으며, 인가되는 플라즈마는 50 ~ 2000W의 파워에 300~500KHz의 저주 파 및/또는 13.56MHz ~ 21.12MHz의 고주파일 수 있다.3 illustrates an example in which plasma injection is started after the purge step S2 is terminated, but if the gas used in the plasma injection process is the same kind as in the previous purge, the purge gas is continuously supplied (that is, the purge state). This step can be performed by turning on the plasma power. Plasma injection may be by a remote plasma method or a direct plasma method according to the specification of the thin film deposition apparatus 100, the plasma applied is a low frequency of 300 ~ 500KHz and / or 13.56MHz ~ 21.12MHz at a power of 50 ~ 2000W It may be a high frequency of.

다음으로, 퍼지 가스를 공급하여 분해된 리간드들을 배출시키는 퍼지 단계를 수행한다(도 2 및 도 3의 단계 s4). 이로써 불순물 및 계면 생성 원인인 리간드들을 미리 반응기(10)로부터 제거하는 효과가 있다. 도 3에는 플라즈마 주입 단계(S3) 종료 후 다시 퍼지가 개시되는 예를 도시하였으나, 플라즈마 주입 공정에서 사용하는 가스가 퍼지에서와 동일한 종류라면, 퍼지 가스를 계속 공급하는 상태에서 플라즈마 파워를 턴-오프(turn-off)하는 것에 의해 본 단계를 수행할 수 있다. Next, a purge step of supplying a purge gas to discharge the decomposed ligands is performed (step s4 of FIGS. 2 and 3). This has the effect of removing impurities and ligands that cause interfacial generation from the reactor 10 in advance. 3 shows an example in which purge is started again after the plasma injection step S3 is finished, but if the gas used in the plasma injection process is the same type as in the purge, the plasma power is turned off while the purge gas is continuously supplied. This step can be performed by turning off.

이제 금속 산화막을 형성하기 위해, 도 2의 단계 s5 및 도 3에서와 같이 O2, O3, H2O, H2O2, N2O, CH3OH, C2H5OH, 및 C3H7OH로 이루어진 군에서 선택되는 적어도 어느 하나의 가스인 산소 포함 소스를 주입하여, 기판(w)의 표면에 흡착된 유기금속 소스 내 금속과 반응시켜 기판(w)의 표면에 금속 산화막을 형성한다. 산소 포함 소스와 함께 N2, H2 및 Ar로 이루어진 군에서 선택되는 적어도 어느 하나를 더 주입할 수도 있다. 앞의 단계 s3에서 리간드가 분해된 유기금속 소스에 산소 포함 소스가 흡착이 되므로 효과적으로 금속 산화막을 형성하게 된다. Now to form a metal oxide film, O 2 , O 3 , H 2 O, H 2 O 2 , N 2 O, CH 3 OH, C 2 H 5 OH, and C as in steps s5 and 3 of FIG. 2. 3 H 7 the OH at least one of a metal oxide film on the surface of the injected gas is an oxygen containing source, a substrate (w) to the organic metal source in the metal and the reaction adsorbed on the surface of the substrate (w) of which is selected from the group consisting of Form. At least one selected from the group consisting of N 2 , H 2 and Ar may be further injected together with the oxygen containing source. Since the oxygen-containing source is adsorbed to the organometallic source from which the ligand is decomposed in the previous step s3, the metal oxide film is effectively formed.

한편, 도 3에 도시한 바와 같이 산소 포함 소스의 주입과 동시에 앞의 단계 s3과 마찬가지로 반응기(10)에 플라즈마를 주입할 수 있는데, 이 때의 플라즈마 주입 효과는 산소 포함 소스의 활성화이다. 단계 s5에서의 플라즈마 주입은 이처럼 산소 포함 소스의 주입 개시와 동시에 이루어질 수도 있으나, 산소 포함 소스의 주입 개시와 소정 시간의 순차를 두고 중간에 이루어질 수도 있다. Meanwhile, as shown in FIG. 3, the plasma can be injected into the reactor 10 at the same time as the step S3 at the same time as the injection of the oxygen-containing source. In this case, the plasma injection effect is the activation of the oxygen-containing source. The plasma injection in step s5 may be performed at the same time as the start of the injection of the oxygen-containing source, but may be performed in the middle of the start of the injection of the oxygen-containing source in a predetermined time.

그런 다음, 도 2의 단계 s6을 참조하여, 반응기(10) 내부로 불활성 가스인 퍼지 가스를 공급하여 금속 산화막을 형성하지 않은 산소 포함 소스와 유기금속 소스 및 산화 반응에 의해 형성된 CO, CO2, H2O 등의 반응 부산물을 제거한다. 이것 또한 퍼지 단계로, 바람직하게 Ar 또는 N2 등의 반응성이 없는 가스를 공급하여 수행한다. 진공펌프를 사용하여 잉여 가스를 펌핑하는 것으로 수행할 수도 있다. Next, referring to step s6 of FIG. 2, an inert gas purge gas is supplied into the reactor 10 to form an oxygen-containing source that does not form a metal oxide film, an organometallic source, and CO, CO 2 , Remove reaction by-products such as H 2 O. This is also carried out as a purge step, preferably by supplying an unreactive gas such as Ar or N 2 . It may also be carried out by pumping excess gas using a vacuum pump.

이상의 단계 s1 내지 s6이 한 사이클을 이루어, 기판(w) 표면에 예컨대 Hf-O로 이루어지는 HfO2의 단일층(monolayer)이 형성된다. 이렇게 단계 s1 내지 s6으로 이루어진 과정을 1 사이클로 하되, 원하는 두께의 박막이 증착되었는지를 판단하여(단계 s9), 원하는 두께가 될 때까지 이 사이클을 반복하여 박막을 증착한다. 본 실시예에서는 한 사이클에서 두 단계의 역할이 서로 다른 플라즈마 주입 과정(단계 s3, s5)을 통해 양질의 박막을 ALD 방식으로 증착할 수 있다. 즉, 첫 번째는 불활성 기체를 플라즈마와 함께 주입함으로써 유기금속 소스의 복잡한 리간드를 분해하고, 두 번째로 산소 포함 가스를 플라즈마와 함께 주입하여 산화막을 생성함으로써, 불순물 및 계면의 생성을 억제하여 우수한 성능을 갖는 양질의 소자를 위한 금속 산화막을 증착하는 것이다. The above steps s1 to s6 perform one cycle, and a monolayer of HfO 2 made of, for example, Hf-O is formed on the surface of the substrate w. Thus, the process consisting of steps s1 to s6 is 1 cycle, and it is determined whether a thin film having a desired thickness is deposited (step s9), and the cycle is repeated until the desired thickness is deposited to deposit a thin film. In this embodiment, two steps in one cycle may be deposited in a high quality thin film by the ALD method through different plasma injection processes (steps s3 and s5). That is, the first is to decompose the complex ligand of the organometallic source by injecting the inert gas with the plasma, and the second is to inject the oxygen-containing gas with the plasma to form the oxide film, thereby suppressing the generation of impurities and interfaces, excellent performance It is to deposit a metal oxide film for a high quality device having a.

이상의 방법으로 형성된 박막은 불순물 및 계면 생성이 방지되고 매우 치밀하고 단차 피복율이 좋은 막으로 성장되기 때문에 고집적 반도체 소자의 제조 공정에서 다양하게 적용될 수 있다. 예를 들면, 상기의 방법으로 형성한 하프늄 산화막은 MOSFET 제조시 게이트 산화막으로 구성될 수 있다. 또한, 기판 상에 커패시터 형성시에 커패시터 유전막으로 구성될 수 있다.Since the thin film formed by the above method is prevented from generating impurities and interfaces and is grown into a very dense film having a high step coverage, it may be variously applied in the manufacturing process of the highly integrated semiconductor device. For example, the hafnium oxide film formed by the above method may be constituted by a gate oxide film during MOSFET production. It may also be composed of a capacitor dielectric film at the time of capacitor formation on the substrate.

한편, 앞의 실시예에서는 1종의 유기금속 소스와 이 유기금속 소스와의 반응을 위한 산소 포함 소스를 이용하여 박막을 증착하는 경우, 즉, 단일 금속의 산화물(예를 든 것이 HfO2)을 증착하는 경우에 대하여 설명하였으나, 제1 유기금속 소스(예컨대 Hf 전구체)와 제2 유기금속 소스(예컨대 Si 전구체), 그리고 이들 유기금속 소스와의 반응을 위한 산소 포함 소스를 이용하여 다성분계 박막(예컨대 HfSiO)을 증착하는 경우에도 유기금속 소스 주입과 퍼지 후에 플라즈마 주입 단계를 포함한다면 본 발명의 변형예에 해당한다 할 것이다. On the other hand, in the previous embodiment when the thin film is deposited using one organometallic source and an oxygen-containing source for the reaction of the organometallic source, that is, a single metal oxide (for example, HfO 2 ) Although the deposition process has been described, the multi-component thin film may be formed using a first organometallic source (eg, Hf precursor) and a second organometallic source (eg, Si precursor), and an oxygen-containing source for reacting these organometallic sources. For example, even in the case of depositing HfSiO, a plasma injection step after the injection and purge of the organometallic source will correspond to a modification of the present invention.

도 4는 본 발명의 다른 실시예에 따른 금속 산화막 증착 방법의 가스 펄싱 다이아그램이다. 4 is a gas pulsing diagram of a metal oxide film deposition method according to another embodiment of the present invention.

도 2를 참조하여 설명한 단계 s1 내지 s6까지의 과정은 동일하나, 도 4에 도시한 바와 같이, 단계 s6 이후, 즉 산소 포함 소스 공급 이후의 퍼지 단계 실시 후에 본 발명 특유의 플라즈마 주입(단계 s7)과 불활성 가스를 이용한 퍼지 단계(단계 s8)를 한 번씩 더 포함하는 것이 차이점이다. 즉, 단계 s1 내지 s8로 이루어진 과정을 1 사이클로 하되, 원하는 두께의 박막이 증착되었는지를 판단하여(도 2의 단계 s9 참조), 원하는 두께가 될 때까지 이 사이클을 반복하여 박막을 증착하는 것이다. 본 실시예에서는 한 사이클에서 세 단계의 역할이 서로 다른 플라즈마 주입 과정(단계 s3, s5, s7)을 통해 양질의 박막을 ALD 방법으로 증착할 수 있다. 즉, 첫 번째는 불활성 기체를 플라즈마와 함께 주입함으로써 유기금속 소스의 복잡 한 리간드를 분해하고, 두 번째로 산소 포함 가스를 플라즈마와 함께 주입하여 산화막을 생성하는 것이고, 세 번째는 다시 한 번 불활성 기체를 플라즈마와 함께 주입함으로써 앞에서 미처 제거되지 못하고 남아있을 수 있는 유기금속 소스의 복잡한 리간드를 제거함으로써, 불순물 및 계면의 생성을 더욱 확실히 억제하여 우수한 성능을 갖는 양질의 소자를 만드는 것이다. The process from steps s1 to s6 described with reference to FIG. 2 is the same, but as shown in FIG. 4, the plasma injection (step s7) peculiar to the present invention after step s6, that is, after the purge step is performed after supplying the oxygen-containing source (step s7). The difference is that it further includes a purge step (step s8) using an inert gas. That is, the process consisting of steps s1 to s8 is one cycle, and it is determined whether a thin film having a desired thickness is deposited (see step s9 of FIG. 2), and the thin film is repeatedly deposited until the desired thickness is reached. In this embodiment, the thin film of good quality can be deposited by the ALD method through the plasma injection process (steps s3, s5, s7) having three roles in one cycle. That is, the first is to decompose the complex ligand of the organometallic source by injecting the inert gas with the plasma, and the second is to inject the oxygen-containing gas with the plasma to form the oxide film, and the third is the inert gas once again. By injecting together with the plasma, the complex ligands of the organometallic source, which may have been left unremoved in the past, are removed, thereby more reliably suppressing the generation of impurities and interfaces, thereby creating a high-quality device having excellent performance.

단계 s7에서의 플라즈마 주입도 Ar, N2, Ne, Xe, H2과 같은 불활성 가스 또는 이를 포함하는 NH3 등의 혼합 가스를 플라즈마와 함께 주입할 수 있으며, 전단계(s2 내지 s6)를 수행하였어도 미처 제거하지 못한 리간드들을 제거하는 효과가 있다. Plasma injection in step s7 may also inject an inert gas such as Ar, N 2 , Ne, Xe, H 2 , or a mixed gas such as NH 3 containing the same together with the plasma, even if the previous steps (s2 to s6) are performed. It is effective in removing ligands that could not be removed.

이상, 본 발명의 상세한 설명을 하였으나, 본 발명의 범위에서 벗어나지 않은 한도 내에서 여러 가지 변형이 가능함은 본 발명이 속하는 기술분야에서 통상적 지식을 가진 자에게는 자명할 것이다. 본 발명은 청구항의 범주에 의해 정의될 뿐이다. Although the detailed description of the present invention has been made, it will be apparent to those skilled in the art that various modifications may be made without departing from the scope of the present invention. The invention is only defined by the scope of the claims.

도 1은 본 발명에 따른 금속 산화막 증착 방법을 수행할 수 있는 박막 증착 장치의 개략적인 단면도이다. 1 is a schematic cross-sectional view of a thin film deposition apparatus capable of performing a metal oxide film deposition method according to the present invention.

도 2는 본 발명의 일 실시예에 따른 금속 산화막 증착 방법의 순서도이다.2 is a flowchart of a metal oxide film deposition method according to an embodiment of the present invention.

도 3은 본 발명의 일 실시예에 따른 금속 산화막 증착 방법의 가스 펄싱 다이아그램이다. 3 is a gas pulsing diagram of a metal oxide film deposition method according to an embodiment of the present invention.

도 4는 본 발명의 다른 실시예에 따른 금속 산화막 증착 방법의 가스 펄싱 다이아그램이다. 4 is a gas pulsing diagram of a metal oxide film deposition method according to another embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

10...반응기 11...샤워헤드10 ... reactor 11 ... showerhead

12...웨이퍼 블럭 12a...히터12 ... wafer block 12a ... heater

13...펌핑 배플 14...가스커튼블럭13 ... pumping baffle 14 ... gas curtain block

20...가스 공급 장치 100...박막 증착 장치20 ... Gas Supply Unit ... Thin Film Deposition Equipment

Claims (7)

(a) 유기금속 소스를 공급하여 기판의 표면에 상기 유기금속 소스를 흡착시키는 단계;(a) supplying an organometallic source to adsorb the organometallic source on the surface of the substrate; (b) 흡착되지 않은 유기금속 소스를 퍼지하는 단계;(b) purging the unsorbed organometallic source; (c) 산소 포함 소스를 공급하여 상기 기판의 표면에 흡착된 유기금속 소스 내 금속과 반응시켜 상기 기판의 표면에 금속 산화막을 형성하는 단계; 및(c) supplying an oxygen-containing source to react with metal in the organometallic source adsorbed on the surface of the substrate to form a metal oxide film on the surface of the substrate; And (d) 금속 산화막을 형성하지 않은 산소 포함 소스와 유기금속 소스 및 반응 부산물을 퍼지하는 단계를 포함하며,(d) purging the oxygen-containing source, the organometallic source, and the reaction by-products that do not form a metal oxide layer, 상기 (b) 단계와 (c) 단계 사이에 플라즈마 주입 공정을 더 포함하는 것을 특징으로 하는 금속 산화막 증착 방법. And a plasma injection process between the steps (b) and (c). 제1항에 있어서, 상기 (d) 단계 이후에 플라즈마 주입 공정을 더 포함하는 것을 특징으로 하는 금속 산화막 증착 방법. The method of claim 1, further comprising a plasma injection process after the step (d). 제1항 또는 제2항에 있어서, 상기 플라즈마 주입 공정 다음에 불활성 가스를 이용한 퍼지 단계를 더 포함하는 것을 특징으로 하는 금속 산화막 증착 방법.The method of claim 1 or 2, further comprising a purge step using an inert gas after the plasma injection process. 제1항 또는 제2항에 있어서, 상기 플라즈마 주입 공정은 Ar, N2, Ne, Xe, H2 및 NH3로 이루어진 군에서 선택되는 적어도 어느 하나의 가스를 플라즈마와 함께 주입하는 것을 특징으로 하는 금속 산화막 증착 방법.The plasma injection process of claim 1 or 2, wherein at least one gas selected from the group consisting of Ar, N 2 , Ne, Xe, H 2 and NH 3 is injected together with the plasma. Metal oxide film deposition method. 제1항에 있어서, 상기 산소 포함 소스는 O2, O3, H2O, H2O2, N2O, CH3OH, C2H5OH, 및 C3H7OH로 이루어진 군에서 선택되는 적어도 어느 하나인 것을 특징으로 하는 금속 산화막 증착 방법.The method of claim 1, wherein the oxygen-containing source is O 2 , O 3 , H 2 O, H 2 O 2 , N 2 O, CH 3 OH, C 2 H 5 OH, and C 3 H 7 OH. Metal oxide film deposition method, characterized in that at least one selected. 제5항에 있어서, 상기 (c) 단계 개시와 동시에 또는 (c) 단계 중간에 플라즈마를 주입하는 것을 특징으로 하는 금속 산화막 증착 방법.The method of claim 5, wherein the plasma is injected at the same time as the start of step (c) or in the middle of step (c). 제5항에 있어서, 상기 산소 포함 소스와 함께 N2, H2 및 Ar로 이루어진 군에서 선택되는 적어도 어느 하나를 더 주입하는 것을 특징으로 하는 금속 산화막 증착 방법. The method of claim 5, wherein at least one selected from the group consisting of N 2 , H 2, and Ar is further injected together with the oxygen-containing source.
KR1020070098626A 2007-10-01 2007-10-01 Method of forming metal oxide KR20090033556A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070098626A KR20090033556A (en) 2007-10-01 2007-10-01 Method of forming metal oxide

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070098626A KR20090033556A (en) 2007-10-01 2007-10-01 Method of forming metal oxide

Publications (1)

Publication Number Publication Date
KR20090033556A true KR20090033556A (en) 2009-04-06

Family

ID=40759781

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070098626A KR20090033556A (en) 2007-10-01 2007-10-01 Method of forming metal oxide

Country Status (1)

Country Link
KR (1) KR20090033556A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190086640A (en) * 2019-07-09 2019-07-23 삼성디스플레이 주식회사 Vapor deposition apparatus and method for manufacturing organic light emitting display apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190086640A (en) * 2019-07-09 2019-07-23 삼성디스플레이 주식회사 Vapor deposition apparatus and method for manufacturing organic light emitting display apparatus

Similar Documents

Publication Publication Date Title
JP4158975B2 (en) Atomic layer deposition of nanolaminate films
US10804098B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7205247B2 (en) Atomic layer deposition of hafnium-based high-k dielectric
US6818517B1 (en) Methods of depositing two or more layers on a substrate in situ
TWI554636B (en) Methods of fabricating dielectric films from metal amidinate precursors
US8012442B2 (en) Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
KR101639464B1 (en) Method for forming a high-k gate stack with reduced effective oxide thickness
US8097300B2 (en) Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US7166541B2 (en) Method of forming dielectric layer using plasma enhanced atomic layer deposition technique
KR20180041083A (en) A method for passivating a surface of a semiconductor and related systems
US20060258078A1 (en) Atomic layer deposition of high-k metal oxides
KR101696957B1 (en) Pulsed chemical vapor deposition of metal-silicon-containing films
WO2007115029A2 (en) Method of forming mixed rare earth oxide and mixed rare earth aluminate films by atomic layer deposition
JP2004256916A (en) Atomic layer deposition of oxide film
WO2003041124A2 (en) Method of fabricating a gate stack at low temperature
JP2008147636A (en) Manufacturing method of semiconductor device and substrate processing device
WO2007117991A1 (en) Semiconductor device with gate dielectric containing mixed rare earth elements
KR20080064259A (en) Thin film deposition method comprising improved metal precursor feeding and purging step
KR20090033556A (en) Method of forming metal oxide
JP2004296820A (en) Method of manufacturing semiconductor device and substrate treatment equipment
KR20040059878A (en) Method of forming insulating thin film for semiconductor device
KR100770276B1 (en) Method of forming mim capacitor in semiconductor device
KR100511914B1 (en) Method for fabricating of semiconductor device using PECYCLE-CVD
KR20070114519A (en) Dielectric layer in capacitor and fabricating using the same and capacitor in semiconductor device and fabricating using the same
KR20020003003A (en) A method for forming hafnium oxide film using atomic layer deposition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application