KR20080094381A - Non-volatile memory integrate circuit device - Google Patents

Non-volatile memory integrate circuit device Download PDF

Info

Publication number
KR20080094381A
KR20080094381A KR1020070038758A KR20070038758A KR20080094381A KR 20080094381 A KR20080094381 A KR 20080094381A KR 1020070038758 A KR1020070038758 A KR 1020070038758A KR 20070038758 A KR20070038758 A KR 20070038758A KR 20080094381 A KR20080094381 A KR 20080094381A
Authority
KR
South Korea
Prior art keywords
film
gate
insulating
layer
dielectric layer
Prior art date
Application number
KR1020070038758A
Other languages
Korean (ko)
Inventor
김홍석
이인선
최시영
전인상
황기현
현상진
김혜민
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020070038758A priority Critical patent/KR20080094381A/en
Publication of KR20080094381A publication Critical patent/KR20080094381A/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

A non-volatile memory integrated circuit device is provided to reduce a leakage current by using a high dielectric material in a dielectric layer. A source region and a drain region are formed on a substrate. A channel region is formed between the source region and the drain region. A tunnel dielectric layer(110) is formed on the channel region. A floating gate(120) is formed on the tunnel dielectric layer. An intergate dielectric layer structure(130) is formed on the floating gate, including a first insulation layer(131) having metal and oxygen and a second insulation layer(135) having metal, oxygen and nitrogen. A control gate(140) is formed on the intergate dielectric layer structure. The first insulation layer can be an aluminum oxide layer, and the second insulation layer can be a hafnium silicate nitride layer.

Description

비휘발성 메모리 집적 회로 장치{Non-volatile memory integrate circuit device}Non-volatile memory integrated circuit device

도 1은 본 발명의 일 실시예에 따른 비휘발성 메모리 집적 회로 장치의 단면도이다.1 is a cross-sectional view of a nonvolatile memory integrated circuit device according to an embodiment of the present invention.

도 2a 내지 도 3c는 본 발명의 다른 실시예들에 있어 비휘발성 메모리 집적 회로 회로 장치의 게이트간 유전막 구조체의 단면도이다.2A-3C are cross-sectional views of an inter-gate dielectric film structure of a nonvolatile memory integrated circuit circuit device in other embodiments of the present invention.

도 4는 본 발명의 또 다른 실시예에 따른 비휘발성 메모리 집적 회로 장치의 단면도이다.4 is a cross-sectional view of a nonvolatile memory integrated circuit device according to another embodiment of the present invention.

도 5a는 본 발명의 실시예들이 적용되는 NAND형 비휘발성 메모리 집적 회로 장치의 셀 어레이 영역의 회로도이며, 도 5b는 셀 어레이 영역의 레이아웃이다.FIG. 5A is a circuit diagram of a cell array region of a NAND type nonvolatile memory integrated circuit device to which embodiments of the present invention are applied, and FIG. 5B is a layout of the cell array region.

도 6은 도 5a와 도 5b에 도시되어 있는 회로도와 레이아웃을 따라 형성된 본 발명의 실시예들이 적용되는 NAND형 비휘발성 메모리 집적 회로 장치의 단면도이다. FIG. 6 is a cross-sectional view of a NAND type nonvolatile memory integrated circuit device to which embodiments of the present invention, which are formed along the circuit diagram and the layout shown in FIGS. 5A and 5B, are applied.

도 7은 본 발명의 일 실시예에 따른 NAND형 비휘발성 메모리 집적 회로 장치의 효과를 나타내는 그래프이다.7 is a graph showing the effect of a NAND type nonvolatile memory integrated circuit device according to an embodiment of the present invention.

(도면의 주요부분에 대한 부호의 설명)(Explanation of symbols for the main parts of the drawing)

110, 110_1, 610: 터널 유전막 120, 620: 플로팅 게이트110, 110_1, and 610: tunnel dielectric layers 120 and 620: floating gates

130, 130_1, 730a: 게이트간 유전막 구조체131, 631: 제1 절연막130, 130_1, and 730a: inter-gate dielectric film structures 131 and 631: first insulating film

135, 635: 제2 절연막 140, 640: 컨트롤 게이트135, 635: second insulating film 140, 640: control gate

650: 다마신 금속막 패턴650: damascene metal film pattern

본 발명은 비휘발성 메모리 집적 회로 장치에 관한 것으로, 더욱 상세하게는 고유전율(high-k) 물질을 이용한 유전막을 사용하는 비휘발성 메모리 집적 회로 장치에 관한 것이다. The present invention relates to a nonvolatile memory integrated circuit device, and more particularly, to a nonvolatile memory integrated circuit device using a dielectric film using a high-k material.

비휘발성 메모리 집적 회로 장치는 전원 공급이 차단될지라도 저장된 데이터를 유지할 수 있다. 따라서, 비휘발성 메모리 집적 회로 장치는 디지털카메라, 휴대폰, PDA, MP3 플레이어 등의 정보 통신 장치에 널리 사용된다.The nonvolatile memory integrated circuit device can retain stored data even when the power supply is cut off. Therefore, nonvolatile memory integrated circuit devices are widely used in information communication devices such as digital cameras, mobile phones, PDAs, MP3 players, and the like.

그런데, 정보 통신 장치의 다기능화 및 고기능화에 의해 비휘발성 메모리 집적 회로 장치의 대용량화 및 고집적화가 필수적으로 요구되고 있으며, 이에 따라 비휘발성 메모리 집적 회로 장치를 구성하는 메모리 셀 크기의 축소가 급속히 진행되고 있다. 그래서 비휘발성 메모리 집적 회로 장치의 성능 향상을 위해, 비휘발성 메모리 집적 회로 장치의 메모리 셀에 있어, 터널 유전막과 게이트간 유전막 구조체, 각각의 유전막이 보다 높은 캐패시턴스를 가지는 것을 요구하게 되었다. 캐패시턴스(C)는

Figure 112007030039994-PAT00001
(ε:유전율, A:유전막의 면적, T:유전막의 두께)이므로 유전막 의 두께를 조절하여 캐피시턴스를 조절하였으나, 유전막의 두께가 얇아질수록 유전막에서의 누설전류 문제가 생겼다. 그래서 유전막의 면적 내지 유전막을 이루는 물질의 유전율을 변화시켜 캐패시턴스를 조절하게 되었다. 유전막의 면적을 조절하는 것에는 집적회로 내에서 한계가 있으므로, 현재는 유전율(ε)이 높은 고유전율 물질을 도입하여 캐패시턴스를 높이는 추세이다. 하지만 고유전율 물질을 도입함에 있어, 고유전율 물질의 결정화와 전자 트랩(electron trap) 문제가 대두되고 있다.However, due to the multifunctionality and high functionality of the information communication apparatus, a large capacity and high integration of the nonvolatile memory integrated circuit device are required. Accordingly, the size of the memory cells constituting the nonvolatile memory integrated circuit device is rapidly progressing. . Thus, in order to improve performance of a nonvolatile memory integrated circuit device, a memory cell of a nonvolatile memory integrated circuit device requires a tunnel dielectric film and an inter-gate dielectric film structure, each dielectric film having a higher capacitance. Capacitance (C) is
Figure 112007030039994-PAT00001
(ε: dielectric constant, A: dielectric film area, T: dielectric film thickness), the capacitance was adjusted by controlling the thickness of the dielectric film. However, as the thickness of the dielectric film became thinner, a leakage current problem in the dielectric film occurred. Therefore, the capacitance is adjusted by changing the dielectric constant of the material of the dielectric film and the area of the dielectric film. Since controlling the area of the dielectric film has a limitation in the integrated circuit, it is currently a trend to increase capacitance by introducing a high-k material having a high dielectric constant?. However, in introducing high dielectric constant materials, crystallization and electron trap problems of high dielectric constant materials have emerged.

본 발명이 이루고자 하는 기술적 과제는 고유전율 물질로 이루어진 유전막을 사용하여, 향상된 성능을 가진 비휘발성 메모리 집적 회로 장치를 제공하는 것이다.An object of the present invention is to provide a nonvolatile memory integrated circuit device having improved performance by using a dielectric film made of a high dielectric constant material.

본 발명의 기술적 과제는 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해되어 질 수 있을 것이다.The technical problem of the present invention is not limited to the technical problem mentioned above, and other technical problems not mentioned will be clearly understood by those skilled in the art from the following description.

상기 기술적 과제를 달성하기 위한 본 발명의 일 태양에 따른 비휘발성 메모리 집적 회로 장치는 기판상에 형성된 소스 및 드레인 영역, 소스 및 드레인 영역 사이에 형성된 채널 영역, 채널 영역 상에 형성된 터널 유전막, 터널 유전막 상에 형성된 플로팅 게이트, 플로팅 게이트 상에 형성된 게이트간 유전막 구조체로, 상기 게이트간 유전막 구조체는 금속과 산소를 포함하는 제1 절연막과, 금속, 산소, 및 질소를 포함하는 제2 절연막을 포함하는 게이트간 유전막 구조체, 및 게이트간 유전막 구조체 상에 형성된 컨트롤 게이트를 포함한다.In accordance with one aspect of the present invention, a nonvolatile memory integrated circuit device includes a source and drain region formed on a substrate, a channel region formed between the source and drain regions, a tunnel dielectric layer formed on the channel region, and a tunnel dielectric layer. A floating gate formed on the floating gate, the inter-gate dielectric film structure formed on the floating gate, wherein the inter-gate dielectric film structure includes a first insulating film containing metal and oxygen and a second insulating film including metal, oxygen, and nitrogen An inter dielectric layer structure, and a control gate formed on the inter-gate dielectric layer structure.

상기 기술적 과제를 달성하기 위한 본 발명의 다른 태양에 따른 비휘발성 메모리 집적 회로 장치는 기판 상에 형성된 소스 및 드레인 영역, 소스 및 드레인 영역 사이에 형성된 채널 영역, 채널 영역 상에 형성된 터널 유전막으로, 터널 유전막은 금속과 산소를 포함하는 제1 절연막과, 금속, 산소, 및 질소를 포함하는 제2 절연막을 포함하는 터널 유전막, 터널 유전막 상에 형성된 플로팅 게이트, 플로팅 게이트 상에 형성된 게이트간 유전막 구조체 및 게이트간 유전막 구조체 상에 형성된 컨트롤 게이트를 포함한다.According to another aspect of the present invention, a nonvolatile memory integrated circuit device includes a source and drain region formed on a substrate, a channel region formed between the source and drain regions, and a tunnel dielectric layer formed on the channel region. The dielectric film includes a tunnel dielectric film including a first insulating film including metal and oxygen, a second insulating film including metal, oxygen, and nitrogen, a floating gate formed on the tunnel dielectric film, an inter-gate dielectric film structure and a gate formed on the floating gate, and the like. And a control gate formed on the liver dielectric layer structure.

본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.Other specific details of the invention are included in the detailed description and drawings.

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 이하 제조 방법 설명시 본 발명의 기술분야에서 통상의 지식을 가진 자에게 널리 알려진 공정 단계들에 따라 형성될 수 잇는 공정에 대해서는 본 발명이 모호하게 해석되는 것을 피하기 위해 개략적으로 설명한다. 또한 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.Advantages and features of the present invention and methods for achieving them will be apparent with reference to the embodiments described below in detail with the accompanying drawings. However, the present invention is not limited to the embodiments disclosed below, but can be implemented in various different forms, and only the embodiments make the disclosure of the present invention complete, and the general knowledge in the art to which the present invention belongs. It is provided to fully inform the person having the scope of the invention, which is defined only by the scope of the claims. In the following description of the manufacturing method, a process that can be formed according to process steps well known to those skilled in the art will be briefly described in order to avoid being construed as obscuring the present invention. Also, like reference numerals refer to like elements throughout the specification.

도 1 내지 도 6c를 참조하여, 본 발명의 실시예들에 따른 비휘발성 메모리 집적 회로 장치에 대하여 설명한다.1 to 6C, a nonvolatile memory integrated circuit device according to embodiments of the present invention will be described.

도 1은 본 발명의 일 실시예에 따른 비휘발성 메모리 집적 회로 장치의 단면도이다.1 is a cross-sectional view of a nonvolatile memory integrated circuit device according to an embodiment of the present invention.

도 1을 참조하면, 메모리 셀은 기판(101) 상에 형성된 소스 및 게이트 영역(105a, 105b)과 채널 영역(107)을 포함한다. 소스 및 게이트 영역(105a, 105b)은 N형 또는 P형으로 도핑될 수 있으며, 채널 영역(107)은 비휘발성 메모리 집적 회로 장치 작동시 소스 및 게이트 영역(105a, 105b) 간의 전류 이동 통로로서 역할을 한다.Referring to FIG. 1, a memory cell includes source and gate regions 105a and 105b and a channel region 107 formed on a substrate 101. Source and gate regions 105a and 105b may be doped with N-type or P-type, and channel region 107 serves as a current transfer path between source and gate regions 105a and 105b in operation of a nonvolatile memory integrated circuit device. Do it.

채널 영역(107) 상에는 터널 유전막(110), 플로팅 게이트(120), 게이트간 유전막 구조체(130), 컨트롤 게이트(140)가 형성된다. 비휘발성 메모리 집적 회로 장치에서 데이터의 저장은 컨트롤 게이트(140)와 기판(101)에 적절한 전압을 인가하여, 터널 유전막(110)을 통하여 플로팅 게이트(120)에 전자를 집어넣거나 빼냄으로써 이루어진다. 이때, 게이트간 유전막 구조체(130)는 플로팅 게이트(120)에 충전된 전하 특성을 유지시키고, 컨트롤 게이트(140)의 전압을 플로팅 게이트(120)에 전달하는 역할을 한다.The tunnel dielectric layer 110, the floating gate 120, the inter-gate dielectric layer structure 130, and the control gate 140 are formed on the channel region 107. In the nonvolatile memory integrated circuit device, data is stored by applying an appropriate voltage to the control gate 140 and the substrate 101 to insert or draw electrons into the floating gate 120 through the tunnel dielectric layer 110. In this case, the inter-gate dielectric layer structure 130 maintains charge characteristics charged in the floating gate 120 and transfers the voltage of the control gate 140 to the floating gate 120.

구체적으로, 게이트간 유전막 구조체(130)는 제1 절연막(131) 사이에 제2 절연막(135)이 있는 3층의 적층 구조로 구성된다. 제1 절연막(131)은 금속과 산소를 포함하며, AlxOy, HfxOy, HfSixOy , ZrxOy, ZrSixOy , TaxOy 등일 수 있다. 제2 절연막(135)은 금속, 산소, 질소를 포함하며, AlxOyNz , HfxOyNz, HfSixOyNz, ZrxOyNz , ZrSixOyNz, TaxOyNz 등일 수 있다. 제2 절연막(135)을 구성하는 물질은 금속산화물(MOx) 내지 실리콘금속산화물(MSixOy)이 질화된 것으로서, 제1 절연막(131)을 구성하는 물질보다 유전율이 더 높은 물질이다. 또한 제2 절연막(135)을 구성하는 물질은 제1 절연막(131)을 구성하는 물질에 비하여 전자를 트랩할 여지가 적다.(이에 대한 자세한 설명은 실험예를 이용하여 후술한다.)Specifically, the inter-gate dielectric film structure 130 has a three-layer stacked structure in which the second insulating film 135 is disposed between the first insulating film 131. The first insulating layer 131 includes metal and oxygen, and may be Al x O y , Hf x O y , HfSi x O y , Zr x O y , ZrSi x O y , Ta x O y, or the like. The second insulating layer 135 includes metal, oxygen, and nitrogen, and Al x O y N z , Hf x O y N z , HfSi x O y N z , Zr x O y N z , ZrSi x O y N z , Ta x O y N z And the like. The material constituting the second insulating layer 135 is a nitride of metal oxide (MO x ) to silicon metal oxide (MSi x O y ), and has a higher dielectric constant than the material constituting the first insulating layer 131. In addition, the material constituting the second insulating film 135 has less room to trap electrons than the material constituting the first insulating film 131. (The detailed description thereof will be described later using experimental examples.)

제1 절연막(131)은 금속과 산소를 포함하는 물질을 CVD(Chemical Vapor Deposition), PVD(Physical Vapor Deposition), ALD(Atomic Layer Deposition) 등의 공정에 의하여 증착시켜서 만들 수 있다. 또한 금속 물질을 우선 증착시킨 후 금속막을 산화시켜 만들 수도 있다. 여기서 산화공정은 O2, H2O 가스가 제공되는 분위기에서 진행되는 습식 산화공정일 수도 있고, O2, H2 가스가 제공되는 분위기에서 진행되는 라디칼 산화공정일 수도 있다. The first insulating layer 131 may be formed by depositing a material containing a metal and oxygen by a process such as chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or the like. It may also be made by first depositing a metal material and then oxidizing the metal film. The oxidation process may be a wet oxidation process performed in an atmosphere in which O 2 , H 2 O gas is provided, or a radical oxidation process proceeding in an atmosphere in which O 2 , H 2 gas is provided.

제2 절연막(135)은 CVD, PVD, ALD 등의 공정에 의하여 금속과 산소가 포함된 물질을 증착시킨후, 암모니아(NH3) 어닐링, 플라즈마 질화 공정 등에 의해 금속산화막을 질화시켜 만들 수도 있다. 또한, 암모니아(NH3)를 일산화질소(NO) 또는 이산화질소(NO2)로 대체하여 질화시킬 수도 있다. 한편, 제2 절연막은 금속 물질을 우선 증착시킨 후, 금속막을 순차적으로 산화시키고 질화시켜서 형성할 수도 있다. 또는, 금속 물질을 우선 증착시킨 후, 산소와 질소 분위기에서 산·질화 반응을 함께 수행하여 형성할 수도 있다. The second insulating layer 135 may be made by depositing a metal and oxygen-containing material by a process such as CVD, PVD, ALD, and the like and nitriding the metal oxide film by an ammonia (NH 3 ) annealing, plasma nitridation process, or the like. Ammonia (NH 3 ) may also be nitrided by replacing with nitrogen monoxide (NO) or nitrogen dioxide (NO 2 ). The second insulating film may be formed by first depositing a metal material and then sequentially oxidizing and nitriding the metal film. Alternatively, the metal material may be deposited first, and then formed by performing an acid-nitridation reaction in an oxygen and nitrogen atmosphere.

예를 들어, 제1 절연막(131)이 AlxOy, 제2 절연막(135)이 HfSixOyNz인 경우, 우선 제1 절연막(131)인 AlxOy 막을 CVD, PVD, ALD 등의 공정에 의하여 증착시켜 형성할 수 있다. 또는 Al을 먼저 증착시킨 후 Al 막을 산화시켜서 AlxOy를 만들 수도 있다. 여기서 AlxOy 막의 두께는 10~100Å일 수 있다. 다음으로 제2 절연막(135)인 HfSixOyNz 막을 만들기 위해, 우선 HfO2, SiO2를 CVD, PVD, ALD 등의 공정에 의해 증착시켜 HfSixOy막을 형성한 뒤, 암모니아(NH3) 어닐링에 의하여 질화시킬 수 있다. 400~1200℃의 온도 및 10초에서 3시간 범위 내에서, 암모니아(NH3) 어닐링에 의한 질화를 수행할 수 있다. 여기서, 어닐링 과정의 온도를, HfSixOy 막의 결정화 온도 이내로 조절할 수도 있으며, HfSixOy 층의 두께는 10~200Å일 수도 있다.For example, when the first insulating film 131 is Al x O y and the second insulating film 135 is HfSi x O y N z , first, the first insulating film 131 is Al x O y. The film can be formed by evaporation by a process such as CVD, PVD, ALD, or the like. Alternatively, Al may be deposited first, followed by oxidation of the Al film to make Al x O y . The Al x O y film may have a thickness of about 10 to about 100 microns. Next, HfSi x O y N z which is the second insulating layer 135. In order to make a film, HfO 2 , SiO 2 may be first deposited by a process such as CVD, PVD, ALD, etc. to form an HfSi x O y film, and then nitrided by ammonia (NH 3 ) annealing. Nitriding by ammonia (NH 3 ) annealing can be carried out within a temperature range of 400-1200 ° C. and 10 seconds for 3 hours. Here, the temperature of the annealing process may be adjusted within the crystallization temperature of the HfSi x O y film, and the thickness of the HfSi x O y layer may be 10 to 200 kPa.

도 2a 내지 도 3c를 참조하여 본 발명의 다른 실시예들에 대해 설명한다.Other embodiments of the present invention will be described with reference to FIGS. 2A to 3C.

도 2a 내지 도 3c는 본 발명의 다른 실시예들에 있어 비휘발성 메모리 집적 회로 장치의 게이트간 유전막 구조체의 단면도이다. 앞의 구조에서 설명한 각 구성요소들의 크기, 형상, 재질 등에 대해서는 설명의 중복을 피하기 위해 생략한다.2A-3C are cross-sectional views of an inter-gate dielectric film structure of a nonvolatile memory integrated circuit device in other embodiments of the present invention. The size, shape, material, etc. of each component described in the above structure are omitted to avoid duplication of description.

도 2a를 참조하면, 본 발명의 다른 실시예에서 게이트간 유전막 구조체(130_1)는 제1 절연막(131)과 제2 절연막(135)이 3층 이상으로 적층된다. 제1 절연막(131) 사이에 제2 절연막(135)이 적층되며, 이때 각 절연막(131, 115)의 두께는 상기 일 실시예에서 보다 얇은 형태로 3층 이상으로 적층된다. 여기서 각 절연 막(131, 135)의 두께가 얇아지는바, 물질층 증착시 발생하는 물질층의 결정화를 억제할 수 있다. 물질층 증착시 발생하는 결정화는 물질이 결정화되는 온도와 증착되는 층의 두께에 의해 발생하는데, 온도에 의한 영향보다 두께에 의한 영향이 더 크기 때문이다. 유전율이 높은 물질을 절연막으로 사용할 경우, 이론적으로 등가산화막두께(Equivalent Oxide Thickness)가 커짐에 따라 절연막을 통한 누설전류가 작아져야 함에도 불구하고, 실제로는 그렇지 않은 경우가 있다. 이의 원인 중 하나로 물질층의 결정화에 따른 표면 조도(surface roughness)와 이에 의해 입자 경계에 농축된 전기장의 영향을 들 수 있다. 따라서 결정화가 억제될수록 누설전류의 양을 줄일 수 있는 효과가 있으며, 이는 비휘발성 메모리 집적 회로 장치의 신뢰성을 향상시킬 수 있다.Referring to FIG. 2A, in the inter-gate dielectric film structure 130_1, the first insulating film 131 and the second insulating film 135 are stacked in three or more layers. The second insulating layer 135 is stacked between the first insulating layer 131, and the thickness of each of the insulating layers 131 and 115 is laminated in three or more layers in a thinner form than in the above embodiment. Since the thicknesses of the insulating films 131 and 135 become thinner, the crystallization of the material layer generated during the deposition of the material layer can be suppressed. Crystallization that occurs during material layer deposition is caused by the temperature at which the material is crystallized and the thickness of the deposited layer, because the effect of thickness is greater than the effect of temperature. When a material having a high dielectric constant is used as the insulating film, although the leakage current through the insulating film must decrease as the equivalent oxide thickness increases, in practice, this may not be the case. One of the causes of this is surface roughness due to the crystallization of the material layer and the influence of the electric field concentrated on the grain boundary. Therefore, as the crystallization is suppressed, the amount of leakage current can be reduced, which can improve the reliability of the nonvolatile memory integrated circuit device.

도 2b를 참조하면, 본 발명의 또 다른 실시예에서 게이트간 유전막 구조체(130_2)는 제1 절연막(131)과 제2 절연막(135)이 교대로 ALD 공정에 의하여 적층된다. 상기 본 발명의 다른 실시예에서와 다른 점은 제1 및 제2 절연막(131, 135)이 분자수준의 두께로 적층된다는 것이다. 본 발명의 또 다른 실시예에서 제1 절연막(131)은 금속산화물을 ALD 공정에 의해 증착하여 형성할 수도 있으며, 금속 물질을 먼저 증착시킨 후 금속막을 산화시켜 형성할 수도 있다. 제2 절연막(135)은 금속산화물을 ALD 공정에 의해 증착시킨 후 금속산화막을 질화 시켜서 형성할 수도 있으며, 금속 물질을 증착시킨 후 금속막을 순차적으로 산화, 질화시켜 형성할 수도 있다. 또한 증착에 의해 금속 막을 만든 후, 금속막에 산·질화 반응을 함께 가하여 제2 절연막(135)을 형성할 수도 있다.Referring to FIG. 2B, in another embodiment of the present invention, in the inter-gate dielectric layer structure 130_2, the first insulating layer 131 and the second insulating layer 135 are alternately stacked by an ALD process. The difference from other embodiments of the present invention is that the first and second insulating layers 131 and 135 are stacked to a molecular thickness. In another embodiment of the present invention, the first insulating layer 131 may be formed by depositing a metal oxide by an ALD process, or may be formed by first depositing a metal material and then oxidizing the metal film. The second insulating layer 135 may be formed by depositing a metal oxide by an ALD process and nitriding a metal oxide layer. Alternatively, the second insulating layer 135 may be formed by sequentially oxidizing and nitriding a metal layer after depositing a metal material. After the metal film is formed by vapor deposition, an acid-nitridation reaction may be applied to the metal film to form the second insulating film 135.

도 3a 내지 도 3c를 참조하면, 본 발명의 또 다른 실시예들에 따른 게이트간 유전막 구조체(130_3, 130_4, 130_5)들은 제2 절연막(135) 사이에 제1 절연막(131)이 적층된다. 도 3a를 참조하면, 게이트간 유전막 구조체(130_3)는 제2 절연막(135) 사이에 제1 절연막(131)이 있는 3층의 적층 구조일 수 있다. 도 3b를 참조하면, 게이트간 유전막 구조체(130_4)는 제2 절연막(135) 사이에 제1 절연막(131)이 있는 복수층의 구조일 수도 있으며, 도 3c를 참조하면 ALD 공정에 의하여 복수층의 구조를 가진 게이트간 유전막 구조체(130_5)를 형성할 수도 있다. 동일 효과를 수행하는 게이트간 유전막 구조체에서, 제2 절연막(135) 사이에 제1 절연막(131)이 있는 적층 구조의 경우, 제1 절연막(131) 사이에 제2 절연막(135)이 있는 적층 구조에 비해 각 절연막(131, 135)의 두께가 달라질 수 있다.3A through 3C, the inter-gate dielectric layer structures 130_3, 130_4 and 130_5 according to still another embodiment of the present invention may have a first insulating layer 131 stacked between the second insulating layers 135. Referring to FIG. 3A, the inter-gate dielectric film structure 130_3 may have a stacked structure of three layers in which the first insulating film 131 is interposed between the second insulating films 135. Referring to FIG. 3B, the inter-gate dielectric film structure 130_4 may have a structure of a plurality of layers having a first insulating film 131 between the second insulating film 135. Referring to FIG. 3C, a plurality of layers may be formed by an ALD process. An inter-gate dielectric film structure 130_5 having a structure may be formed. In the inter-gate dielectric film structure having the same effect, in the case of the stacked structure in which the first insulating film 131 is disposed between the second insulating films 135, the stacked structure in which the second insulating film 135 is provided between the first insulating films 131. In comparison, the thicknesses of the insulating layers 131 and 135 may vary.

도 4는 본 발명의 또 다른 실시예에 따른 비휘발성 메모리 집적 회로 장치의 단면도이다. 앞의 구조에서 설명한 각 구성요소들의 크기, 형상, 재질 등에 대해서는 설명의 중복을 피하기 위해 생략한다.4 is a cross-sectional view of a nonvolatile memory integrated circuit device according to another embodiment of the present invention. The size, shape, material, etc. of each component described in the above structure are omitted to avoid duplication of description.

도 4를 참조하면, 터널 유전막(110_1)은 제1 절연막(111) 및 제2 절연막(115)을 포함하는 구조로 형성된다. 터널 유전막(110_1)은 제1 절연막(131) 사이에 제2 절연막(135)이 있는 3층의 적층 구조이다. 다만 게이트간 유전막 구조체(132)는 ONO, SiO2, AlxOy 등으로 이루어질 수도 있으며, 상기 실시예들에서 설명한 형태로 구성될 수도 있다.Referring to FIG. 4, the tunnel dielectric layer 110_1 is formed in a structure including a first insulating layer 111 and a second insulating layer 115. The tunnel dielectric layer 110_1 has a three-layer stacked structure in which a second insulating layer 135 is provided between the first insulating layers 131. However, the inter-gate dielectric film structure 132 may be formed of ONO, SiO 2 , Al x O y , or the like, and may be configured in the form described in the above embodiments.

또한 도면에서는 표시하지 않았으나, 터널 유전막은 제1 절연막 사이에 제2 절연막이 있는 복수층의 구조일 수도 있으며, 제2 절연막 사이에 제1 절연막이 있는 적층구조일 수도 있다. 다만, 터널 유전막이 제1 절연막 및 제2 절연막을 포함하는 적층구조인 경우, 상기의 게이트간 유전막 구조체가 제1 절연막 및 제2 절연막을 포함하는 적층구조인 경우보다 두께가 얇을 수도 있다.Although not shown in the drawings, the tunnel dielectric film may have a structure having a plurality of layers having a second insulating film between the first insulating films, or a stacked structure having a first insulating film between the second insulating films. However, when the tunnel dielectric layer is a laminated structure including the first insulating layer and the second insulating layer, the inter-gate dielectric layer structure may be thinner than when the tunnel dielectric layer is the laminated structure including the first insulating layer and the second insulating layer.

도 5a 내지 도 6을 참조하여, NAND형 비휘발성 메모리 집적 회로 장치에서 본 발명에 따른 실시예들이 활용되는 구체적인 예를 설명한다. 다만 본 발명의 메모리 셀 구조는 NOR형, NAND형 비휘발성 메모리 집적 회로 장치에 모두 적용될 수 있으며, NAND형 비휘발성 메모리 집적 회로 장치에 한정하는 것은 아니다.5A to 6, a specific example in which embodiments according to the present invention are utilized in a NAND type nonvolatile memory integrated circuit device will be described. However, the memory cell structure of the present invention can be applied to both NOR type and NAND type nonvolatile memory integrated circuit devices, and is not limited to the NAND type nonvolatile memory integrated circuit devices.

도 5a는 본 발명의 일 태양에 따른 실시예들이 적용되는 NAND형 비휘발성 메모리 집적 회로 장치의 셀 어레이 영역의 회로도이며, 도 5b는 셀 어레이 영역의 레이아웃이다.5A is a circuit diagram of a cell array region of a NAND type nonvolatile memory integrated circuit device to which embodiments according to an aspect of the present invention is applied, and FIG. 5B is a layout of the cell array region.

도 5a와 도 5b를 참조하면, NAND형 비휘발성 메모리 집적 회로 장치의 셀 어레이 영역에는 다수의 활성영역(AR)이 배열되고, 활성영역(AR)과 수직하게 스트링 선택 라인(SSL), 접지 선택 라인(GSL) 및 공통 소스 라인(CSL)이 배열된다. 스트링 선택 라인(SSL)과 접지 선택 라인(GSL) 사이에는 다수의 워드 라인(WL0~WLm-1)이 배열된다. 그리고, 다수의 비트 라인(BL0~BLn-1)이 다수의 워드 라인(WL0~WLm-1)과 교차하도록 배열된다. 비트 라인(BL0~BLn-1)과 워드 라인(WL0~WLm-1)이 교차하는 영역에는 각각 메모리 셀 트랜지스터들(MC)이 정의되고, 비트 라인(WL0~WLm-1)과 스트링 선택 라인(SSL) 및 접지 선택 라인(GSL)이 교차하는 영역에는 각각 스트링 선택 트랜지스터(SST)와 접지 선택 트랜지스터(GST)가 정의된다. 스트링 선택 트랜 지스터(SST), 다수의 메모리 셀 트랜지스터들(MC) 및 접지 선택 트랜지스터(GST)가 직렬로 연결되어 하나의 스트링(S)을 구성한다. 비트 라인(BL)별로 각 셀 블록(BLK0~BLKl-1)마다 형성된 스트링(S)이 병렬로 연결된다. 즉, 각 스트링(S)의 스트링 선택 트랜지스터(SST)의 드레인은 비트 라인(BL)과 연결된다. 접지 선택 트랜지스터(GST)의 소오스는 공통 소오스 라인(CSL)에 연결된다. 5A and 5B, a plurality of active regions AR are arranged in a cell array region of a NAND type nonvolatile memory integrated circuit device, and a string select line SSL and a ground select are perpendicular to the active region AR. Line GSL and common source line CSL are arranged. A plurality of word lines WL0 to WLm−1 are arranged between the string select line SSL and the ground select line GSL. The plurality of bit lines BL0 to BLn-1 are arranged to intersect the plurality of word lines WL0 to WLm-1. Memory cell transistors MC are defined in regions where bit lines BL0 to BLn-1 and word lines WL0 to WLm-1 cross each other, and bit lines WL0 to WLm-1 and string select lines The string select transistor SST and the ground select transistor GST are defined in regions where the SSL and the ground select line GSL cross each other. The string select transistor SST, the plurality of memory cell transistors MC, and the ground select transistor GST are connected in series to form one string S. The strings S formed for each of the cell blocks BLK0 to BLK1-1 for each bit line BL are connected in parallel. That is, the drain of the string select transistor SST of each string S is connected to the bit line BL. The source of the ground select transistor GST is connected to the common source line CSL.

도 6은 도 5a와 도 5b에 도시되어 있는 회로도와 레이아웃을 따라 형성된 본 발명의 일 실시예에 따른 비휘발성 메모리 집적 회로 장치의 단면도이다. 여기서 도 6의 셀 어레이 영역은 도 5b의 Ⅵ'-Ⅵ를 따라 절단한 부분이다.6 is a cross-sectional view of a nonvolatile memory integrated circuit device according to an embodiment of the present invention formed along the circuit diagram and layout shown in FIGS. 5A and 5B. Here, the cell array region of FIG. 6 is a portion cut along VI′-VI of FIG. 5B.

도 6을 참조하면, 셀 어레이 영역 내에는 다수의 활성 영역(AR)이 반복하여 배열되며, 셀 어레이 영역 상에는 다수의 제1 및 제2 적층 게이트 구조(604, 605)가 형성된다. 또한 다수의 제1 및 제2 적층 게이트 구조(604, 605) 상에는 층간절연막(660)이 형성되며, 비트라인 정션 영역(605a) 상에는 비트라인(BL)과의 연결을 위한 비트라인 콘택홀(BLC)이 형성된다. 여기서 제1 적층 게이트 구조(604)는 메모리 셀 트랜지스터(MC)의 게이트에 해당할 수 있으며, 제2 적층 게이트 구조(606)는 스트링 선택 트랜지스터(SST) 또는 접지 선택 트랜지스터(GST)의 게이트에 해당할 수 있다. 각각의 스트링(도 5a의 S 참조)에서 메모리 셀 트랜지스터(도 5a의 MC 참조)는 복수개가 직렬로 연결되어 있는바, 제1 적층 게이트 구조(604)들은 각각의 제1 적층 게이트 구조(604)의 드레인 및 소스 영역(도1의 105a, 105b 참조)이 정션 영역(605)을 공유하여 배열되어 있다.Referring to FIG. 6, a plurality of active regions AR are repeatedly arranged in a cell array region, and a plurality of first and second stacked gate structures 604 and 605 are formed on the cell array region. In addition, an interlayer insulating layer 660 is formed on the plurality of first and second stacked gate structures 604 and 605, and a bit line contact hole BLC on the bit line junction region 605a for connection with the bit line BL. ) Is formed. The first stacked gate structure 604 may correspond to a gate of the memory cell transistor MC, and the second stacked gate structure 606 may correspond to a gate of the string select transistor SST or the ground select transistor GST. can do. In each string (see S in FIG. 5A), a plurality of memory cell transistors (see MC in FIG. 5A) are connected in series, so that the first stacked gate structures 604 may be connected to the respective first stacked gate structures 604. The drain and source regions (see 105a and 105b in FIG. 1) are arranged to share the junction region 605.

제1 적층 게이트 구조(604)는 터널 유전막(610), 플로팅 게이트(620), 게이 트간 유전막 구조체(630), 컨트롤 게이트(640) 및 다마신 금속막 패턴(350)이 순차적으로 적층된 구조이다. The first stacked gate structure 604 is a structure in which the tunnel dielectric layer 610, the floating gate 620, the inter-gate dielectric layer structure 630, the control gate 640, and the damascene metal layer pattern 350 are sequentially stacked. .

터널 유전막(610)은 전자의 터널링에 적합한 물질, 예를 들어, SiO2, HfxOy 등을 인용하여 구성될 수 있다. 또한 터널 유전막(610)은 상기에서 설명한 실시예들에 의하여 구성될 수도 있다.The tunnel dielectric layer 610 may be configured by citing a material suitable for tunneling electrons, for example, SiO 2 , Hf × O y , and the like. In addition, the tunnel dielectric layer 610 may be configured by the embodiments described above.

플로팅 게이트(620)는 터널 유전막(610)을 터널링한 전자들이 저장되는 영역이며, 금속 내지 불순물이 도우프된 폴리실리콘으로 이루어질 수 있다.The floating gate 620 is a region in which electrons tunneling the tunnel dielectric layer 610 are stored, and may be made of polysilicon doped with metal or impurities.

게이트간 유전막 구조체(630)는 제1 절연막(631) 사이에 제2 절연막(635)이 있는 3층의 적층 구조일 수 있다. 또한 도면에는 도시하지 않았으나, 게이트간 유전막 구조체는 상기에서 설명한 본발명의 실시예들에 의하여 구성될 수 있다.The inter-gate dielectric film structure 630 may be a stacked structure of three layers having a second insulating film 635 between the first insulating film 631. In addition, although not shown in the drawings, the inter-gate dielectric film structure may be configured by the embodiments of the present invention described above.

컨트롤 게이트(640)는 플로팅 게이트(620)에 인가되는 전압을 조절하는 것으로, 금속 내지 도우프된 폴리실리콘으로 이루어질 수 있다. 또한, 다마신 금속막 패턴(650)은 다마신 공정을 통해서 제조된 금속막 패턴으로, W, Al, Cu, Pt 및 이들의 혼합막일 수 있다.The control gate 640 adjusts the voltage applied to the floating gate 620, and may be made of metal or doped polysilicon. In addition, the damascene metal film pattern 650 is a metal film pattern manufactured through a damascene process, and may be W, Al, Cu, Pt, and a mixed film thereof.

반면, 제2 적층 게이트 구조(606)는 게이트간 유전막 구조체(637)가 부분 제거되거나(도 6에 도시됨), 전부가 제거되어 플로팅 게이트(620)와 컨트롤 게이트(640)가 전기적으로 연결될 수 있다. 제2 적층 게이트 구조(606)의 터널 유전막(610), 플로팅 게이트(620), 게이트간 유전막 구조체(630)를 구성하는 물질 및 두께 등은 제1 적층 게이트 구조(604)의 그것과 동일할 수 있다. 제2 적층 게이트 구조(606)의 컨트롤 게이트(640), 다마신 금속막 패턴(650)을 구성하는 물질도 제1 적층 게이트 구조(604)의 그것과 동일할 수 있다. 또한, 도면에서 제2 적층 게이트 구조(606)와 제1 적층 게이트 구조(604)가 같은 높이로 도시되어 있지만, 제2 적층 게이트 구조가 제1 적층 게이트 구조(604)보다 더 낮을 수도 있다.On the other hand, in the second stacked gate structure 606, the inter-gate dielectric layer structure 637 may be partially removed (shown in FIG. 6), or may be entirely removed to electrically connect the floating gate 620 and the control gate 640. have. The material and the thickness of the tunnel dielectric layer 610, the floating gate 620, and the inter-gate dielectric layer structure 630 of the second stacked gate structure 606 may be the same as that of the first stacked gate structure 604. have. The material constituting the control gate 640 and the damascene metal film pattern 650 of the second stacked gate structure 606 may also be the same as that of the first stacked gate structure 604. Further, although the second stacked gate structure 606 and the first stacked gate structure 604 are shown at the same height in the drawing, the second stacked gate structure may be lower than the first stacked gate structure 604.

본 발명에 관한 보다 상세한 내용은 다음의 구체적인 실험예를 통하여 설명하며, 여기에 기재되지 않은 내용은 이 기술 분야에서 숙련된 자이면 충분히 기술적으로 유추할 수 있는 것이므로 설명을 생략한다. More detailed information about the present invention will be described through the following specific experimental examples, and details not described herein will be omitted because it is sufficiently technically inferred by those skilled in the art.

<실험예>Experimental Example

NAND형 비휘발성 메모리 집적 회로 장치에서, 게이트간 유전막 구조체가 AlxOy로 구성된 경우(Ⅰ)와 게이트간 유전막 구조체가 본 발명의 실시예(도 6참조)에 따라 제1 절연막이 AlxOy, 제2 절연막이 HfSixOyNz로 구성된 경우(Ⅱ), 게이트간 유전막 구조체에서 발생하는 전자 트랩을 측정하였다. 그 결과가 도 7에 나타나 있다.In a NAND type nonvolatile memory integrated circuit device, when the inter-gate dielectric film structure is composed of Al x O y (I) and the inter-gate dielectric film structure is formed according to an embodiment of the present invention (see FIG. 6), the first insulating film is Al x O In the case where y and the second insulating film were composed of HfSi x O y N z (II), electron traps generated in the inter-gate dielectric film structure were measured. The results are shown in FIG.

여기서, AlxOy 단일막의 경우(Ⅰ) 막의 두께는 200~400Å이었으며, 본 발명의 실시예에 따른 경우(Ⅱ) 제1 절연막인 AlxOy는 50~75Å, 제2 절연막인 HfSixOyNz는 70~100Å이다.Here, in the case of the Al x O y single layer (I), the thickness of the film was 200 to 400 GPa, and according to the embodiment of the present invention (II), the first insulating film Al x O y was 50 to 75 GPa and the second insulating film was HfSi x. O y N z is 70 to 100 Hz.

도 7에서 x축은 시간을 나타내며, y축은 시간에 따라 게이트간 유전막 구조체에서 발생한 전자 트랩에 의해 야기되는 전압을 나타낸다. 본 실험예에서 본 발 명의 실시예에 따른 경우(Ⅱ)가 AlxOy 단일막의 경우(Ⅰ)보다 전자 트랩이 약 50% 가까이 작음을 알 수 있다(도면 부호 a 참조).In FIG. 7, the x axis represents time, and the y axis represents voltage caused by an electron trap generated in the inter-gate dielectric film structure over time. In the present experimental example, it can be seen that the electron trap was about 50% smaller in case (II) according to the embodiment of the present invention than in the case of Al x Oy single layer (see reference numeral a).

HfSixOy의 경우 AlxOy에 비해 구조적으로 전자의 트랩(trap)이 발생할 수 있는 벌크 트랩(bulk trap)이 적으며, 질화, 예를 들어 NH3 어닐링에 의해 벌크 트랩이 더 감소될 수 있는바, 게이트간 유전막 구조체 내에서의 전자 트랩을 감소시킬 수 있다.In the case of HfSi x O y , there are fewer bulk traps that can structurally trap electrons compared to Al x O y , and the bulk trap is further reduced by nitriding, for example, NH 3 annealing. The electron trap in the inter-gate dielectric film structure can be reduced.

이상 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이지 아닌 것으로 이해해야만 한다Although embodiments of the present invention have been described above with reference to the accompanying drawings, those skilled in the art to which the present invention pertains may implement the present invention in other specific forms without changing the technical spirit or essential features thereof. I can understand that. Therefore, it is to be understood that the embodiments described above are exemplary in all respects and not restrictive.

상기한 바와 같은 비휘발성 메모리 집적 회로 장치에 따르면, 다음과 같은 효과가 하나 혹은 그 이상 있다.According to the nonvolatile memory integrated circuit device as described above, there are one or more of the following effects.

첫째, 유전막에 고유전율 물질을 사용함으로 인해 누설전류를 감소시킬 수 있다.First, leakage current can be reduced by using a high dielectric constant material in the dielectric film.

둘째, 유전막에서의 전자 트랩을 감소시켜, 컨트롤 게이트에 인가되는 전압에 따라 플로팅 게이트에 저장되는 전하의 양을 보다 효과적으로 제어할 수 있는바, 비휘발성 메모리 집적 회로 장치의 성능을 향상시킬 수 있다.Second, by reducing the electron trap in the dielectric film, it is possible to more effectively control the amount of charge stored in the floating gate according to the voltage applied to the control gate, thereby improving the performance of the nonvolatile memory integrated circuit device.

셋째, 고유전율 물질의 결정화를 억제할 수 있어, 비휘발성 메모리 집적 회로 장치의 신뢰성을 향상시킬 수 있다.Third, crystallization of the high dielectric constant material can be suppressed, so that the reliability of the nonvolatile memory integrated circuit device can be improved.

Claims (10)

기판상에 형성된 소스 및 드레인 영역;Source and drain regions formed on the substrate; 상기 소스 및 드레인 영역 사이에 형성된 채널 영역;A channel region formed between the source and drain regions; 상기 채널 영역 상에 형성된 터널 유전막;A tunnel dielectric layer formed on the channel region; 상기 터널 유전막 상에 형성된 플로팅 게이트;A floating gate formed on the tunnel dielectric layer; 상기 플로팅 게이트 상에 형성된 게이트간 유전막 구조체로, 상기 게이트간 유전막 구조체는 금속과 산소를 포함하는 제1 절연막과, 금속, 산소, 및 질소를 포함하는 제2 절연막을 포함하는 게이트간 유전막 구조체; 및An inter-gate dielectric film structure formed on the floating gate, wherein the inter-gate dielectric film structure includes a first insulating film including metal and oxygen and a second insulating film including metal, oxygen, and nitrogen; And 상기 게이트간 유전막 구조체 상에 형성된 컨트롤 게이트를 포함하는 비휘발성 메모리 집적 회로 장치.And a control gate formed on the inter-gate dielectric layer structure. 제 1항에 있어서,The method of claim 1, 상기 제2 절연막은 금속산질화막(MOxNy), 금속실리케이트질화막The second insulating film is a metal oxynitride film (MO x N y ), a metal silicate nitride film (MSixOyNz)인 비휘발성 메모리 집적 회로 장치.(MSi x O y N z ) A nonvolatile memory integrated circuit device. 제 1항에 있어서,The method of claim 1, 상기 제1 절연막은 알루미늄옥사이드(AlxOy)이며, 상기 제2 절연막은 하프늄실리케이트질화막(HfSixOyNz)인 비휘발성 메모리 집적 회로 장치.And the first insulating film is aluminum oxide (Al x O y ), and the second insulating film is a hafnium silicate nitride film (HfSi x O y N z ). 제 1항에 있어서,The method of claim 1, 상기 게이트간 유전막 구조체는 제1 절연막 사이에 제2 절연막이 적층되어 있는 비휘발성 메모리 집적 회로 장치.The non-gate dielectric film structure of claim 1, wherein a second insulating film is stacked between the first insulating film. 제 1항에 있어서,The method of claim 1, 상기 게이트간 유전막 구조체는 제2 절연막 사이에 제1 절연막이 적층되어 있는 비휘발성 메모리 집적 회로 장치.The non-gate dielectric film structure of claim 1, wherein the first insulating film is stacked between the second insulating films. 기판 상에 형성된 소스 및 드레인 영역;Source and drain regions formed on the substrate; 상기 소스 및 드레인 영역 사이에 형성된 채널 영역;A channel region formed between the source and drain regions; 상기 채널 영역 상에 형성된 터널 유전막으로, 상기 터널 유전막은 금속과 산소를 포함하는 제1 절연막과, 금속, 산소, 및 질소를 포함하는 제2 절연막을 포함하는 터널 유전막;A tunnel dielectric layer formed on the channel region, wherein the tunnel dielectric layer includes a first insulating layer including metal and oxygen and a second insulating layer including metal, oxygen, and nitrogen; 상기 터널 유전막 상에 형성된 플로팅 게이트;A floating gate formed on the tunnel dielectric layer; 상기 플로팅 게이트 상에 형성된 게이트간 유전막 구조체; 및An inter-gate dielectric film structure formed on the floating gate; And 상기 게이트간 유전막 구조체 상에 형성된 컨트롤 게이트를 포함하는 비휘발성 메모리 집적 회로 장치.And a control gate formed on the inter-gate dielectric layer structure. 제 6항에 있어서,The method of claim 6, 상기 제2 절연막은 금속산질화막(MOxNy), 금속실리케이트질화막The second insulating film is a metal oxynitride film (MO x N y ), a metal silicate nitride film (MSixOyNz)인 비휘발성 메모리 집적 회로 장치.(MSi x O y N z ) A nonvolatile memory integrated circuit device. 제 6항에 있어서,The method of claim 6, 상기 제1 절연막은 알루미늄옥사이드(AlxOy)이며, 상기 제2 절연막은 하프늄실리케이트질화막(HfSixOyNz)인 비휘발성 메모리 집적 회로 장치.And the first insulating film is aluminum oxide (Al x O y ), and the second insulating film is a hafnium silicate nitride film (HfSi x O y N z ). 제 6항에 있어서,The method of claim 6, 상기 터널 유전막은 제1 절연막 사이에 제2 절연막이 적층되어 있는 비휘발성 메모리 집적 회로 장치.The tunnel dielectric layer of claim 1, wherein a second insulating layer is stacked between the first insulating layer. 제 6항에 있어서,The method of claim 6, 상기 터널 유전막은 제2 절연막 사이에 제1 절연막이 적층되어 있는 비휘발성 메모리 집적 회로 장치.The tunnel dielectric layer of claim 1, wherein a first insulating layer is stacked between the second insulating layer.
KR1020070038758A 2007-04-20 2007-04-20 Non-volatile memory integrate circuit device KR20080094381A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070038758A KR20080094381A (en) 2007-04-20 2007-04-20 Non-volatile memory integrate circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070038758A KR20080094381A (en) 2007-04-20 2007-04-20 Non-volatile memory integrate circuit device

Publications (1)

Publication Number Publication Date
KR20080094381A true KR20080094381A (en) 2008-10-23

Family

ID=40154572

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070038758A KR20080094381A (en) 2007-04-20 2007-04-20 Non-volatile memory integrate circuit device

Country Status (1)

Country Link
KR (1) KR20080094381A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101464096B1 (en) * 2010-10-22 2014-11-21 마이크론 테크놀로지, 인크. Gettering agents in memory charge storage structures

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101464096B1 (en) * 2010-10-22 2014-11-21 마이크론 테크놀로지, 인크. Gettering agents in memory charge storage structures

Similar Documents

Publication Publication Date Title
EP1912255B1 (en) Method for fabricating a non-volatile memory device
US10229922B2 (en) Methods of forming memory devices with isolation structures
JP4907815B2 (en) Manufacturing method of ONO floating gate electrode in 2-bit EEPROM device
US7579646B2 (en) Flash memory with deep quantum well and high-K dielectric
JP5472894B2 (en) Nonvolatile semiconductor memory device
US20060281244A1 (en) Nonvolatile semiconductor memory device and method of manufacturing the same
US10734491B2 (en) Memory devices including gettering agents in memory charge storage structures
US7948025B2 (en) Non-volatile memory device having charge trapping layer and method for fabricating the same
JP2007194511A (en) Non-volatile semiconductor memory device and method for manufacturing the same
US11133422B2 (en) Method for manufacturing a semiconductor device
JP2009252774A (en) Semiconductor memory and its fabrication process
US20080093646A1 (en) Non-volatile memory device and method for fabricating the same
US8053827B2 (en) Semiconductor device and method for manufacturing the same
JP2003282748A (en) Nonvolatile semiconductor memory device
JP2002261175A (en) Nonvolatile semiconductor memory and its manufacturing method
WO2008156215A1 (en) Mos semiconductor memory device
KR20080094381A (en) Non-volatile memory integrate circuit device
JP5351274B2 (en) Nonvolatile semiconductor memory device
KR100791333B1 (en) Method for fabricating nonvolatible memory device and nonvolatible memory device fabricated thereby
KR100902591B1 (en) Method of Fabricating Semiconductor Memory Device
KR20110008852A (en) Charge trap flash type nonvolatile memory device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid