KR20080078426A - Apparatus for treating substrate and method for aligning substrates in the apparatus - Google Patents

Apparatus for treating substrate and method for aligning substrates in the apparatus Download PDF

Info

Publication number
KR20080078426A
KR20080078426A KR1020070018544A KR20070018544A KR20080078426A KR 20080078426 A KR20080078426 A KR 20080078426A KR 1020070018544 A KR1020070018544 A KR 1020070018544A KR 20070018544 A KR20070018544 A KR 20070018544A KR 20080078426 A KR20080078426 A KR 20080078426A
Authority
KR
South Korea
Prior art keywords
substrate
stage
chamber
substrates
robot
Prior art date
Application number
KR1020070018544A
Other languages
Korean (ko)
Other versions
KR100855877B1 (en
Inventor
왕현철
양준혁
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020070018544A priority Critical patent/KR100855877B1/en
Publication of KR20080078426A publication Critical patent/KR20080078426A/en
Application granted granted Critical
Publication of KR100855877B1 publication Critical patent/KR100855877B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

An apparatus for treating substrates and a method for aligning substrates in the apparatus are provided to reduce a manufacturing cost and enhance throughput thereof by aligning the substrates using a carrying robot. An apparatus for treating substrates includes a buffer chamber(142), transfer chambers(132a,132b), a sensing unit(160), and a controller(170). The buffer chamber includes a stage on which substrates are mounted temporally. The transfer chambers include a carry robot which withdraws the substrates from the stage and supplies the substrates to a process chamber. The sensing unit implemented at the buffer chamber detects position of the substrates mounted on the stage and generates a detection signal. The controller receives the detection signal from the sensing unit and controls the carry robot to compensate for the position of the substrates while the substrates are lifted up from the stage when the position of the substrates is not corrected.

Description

기판 처리 장치 및 그 장치에서의 기판 정렬 방법{APPARATUS FOR TREATING SUBSTRATE AND METHOD FOR ALIGNING SUBSTRATES IN THE APPARATUS}Substrate processing apparatus and substrate alignment method in the apparatus {APPARATUS FOR TREATING SUBSTRATE AND METHOD FOR ALIGNING SUBSTRATES IN THE APPARATUS}

도 1에는 본 발명의 실시예에 따른 기판 처리 장치를 평면에서 바라본 개략적인 도면이 도시되어 있다. 1 is a schematic plan view of a substrate processing apparatus according to an embodiment of the present invention.

도 2는 버퍼 챔버의 평면도이다.2 is a plan view of the buffer chamber.

도 3은 버퍼 챔버의 측면도이다. 3 is a side view of the buffer chamber.

도 4는 버퍼 챔버의 스테이지에 놓여지는 기판을 정렬하는 과정을 설명하기 위한 플로우 챠트이다. 4 is a flowchart for explaining a process of aligning a substrate placed on a stage of a buffer chamber.

도 5a 내지 도 5e는 기판의 정렬 과정을 단계적으로 보여주는 도면이다. 5A through 5E are diagrams illustrating the alignment process of a substrate in stages.

* 도면의 주요 부분에 대한 부호의 설명** Explanation of symbols for the main parts of the drawings *

110 : 인덱스110: index

120 : 로드락 챔버120: load lock chamber

130 : 반송부130: the return unit

132a : 제1반송챔버 132a: first conveying chamber

150 : 공정챔버150: process chamber

160 : 센싱부160: sensing unit

162 : 센서162: sensor

본 발명은 기판 처리 장치 및 그 장치에서의 기판 정렬 방법에 관한 것이다. The present invention relates to a substrate processing apparatus and a substrate alignment method in the apparatus.

반도체 기판은 여러 가지 공정을 거쳐 반도체 칩을 생산한다. 반도체 기판이 에칭공정 및 증착공정과 같은 공정을 거치는 경우에는 복수 개의 반도체 기판이 수용된 카세트와, 반도체 기판을 이송 받아 소정의 공정을 진행하는 반응기와, 카세트에서 인출한 반도체 기판을 반응기의 소정의 위치로 이송하는 로봇과 같은 이송구동부를 갖는다. 이송 구동부에서는 동일한 위치에 정확하게 수용되어 있지 않는 반도체 기판을 인출하여 반응기의 소정의 위치에 반도체 기판을 정확하게 안착시킬 수 있도록 이송 중의 반도체 기판에 대하여 센터링을 실시하는 것이 일반적이다. The semiconductor substrate goes through various processes to produce a semiconductor chip. When the semiconductor substrate is subjected to a process such as an etching process and a deposition process, a cassette containing a plurality of semiconductor substrates, a reactor for transferring a semiconductor substrate and carrying out a predetermined process, and a semiconductor substrate withdrawn from the cassette are placed at predetermined positions in the reactor. It has a transfer driving part like a robot that transfers to the It is common for the transfer driver to center the semiconductor substrate during transfer so that the semiconductor substrate which is not accurately accommodated at the same position can be taken out and the semiconductor substrate can be accurately seated at a predetermined position of the reactor.

대부분의 반도체 공정 설비는 전방에 설비 전방 단부 모듈(equipment front end module, 이하 EFEM)이라고 하는 인덱스가 구비되며, 그 인덱스에는 반도체 기판의 플랫존 또는 노치 등이 기설정된 방향을 향하도록 정렬하는 얼라이너 구비된다. 즉, 반도체 기판은 풉(front open unified pod, FOUP;일명 캐리어)으로부터 인출된 후 얼라이너에서 반도체 기판 정렬을 마친 이후에 공정챔버와 연결되어 있는 반송 챔버로 반송된다.Most semiconductor processing facilities have an index called an equipment front end module (EFEM) in the front, which is an aligner that aligns the flat zone or notch of the semiconductor substrate in a predetermined direction. It is provided. That is, the semiconductor substrate is withdrawn from the front open unified pod (FOUP, also known as a carrier) and then conveyed to the transfer chamber connected with the process chamber after the alignment of the semiconductor substrate is completed in the aligner.

이처럼, 기존에는 얼라이너의 출입에 따른 시간으로 인해 반도체 기판의 이송시간이 비교적 많이 소요되고, 얼라이너의 위치에 따라 설비의 풋프린트가 증가되는 등의 문제점을 갖고 있다. As described above, the transfer time of the semiconductor substrate is relatively high due to the time taken by the aligner in and out, and the footprint of the facility increases according to the position of the aligner.

본 발명의 목적은 기판의 정렬 시간이 비교적 적게 소요될 수 있는 기판 처리 장치 및 그 장치에서의 기판 정렬 방법을 제공하는데 있다.SUMMARY OF THE INVENTION An object of the present invention is to provide a substrate processing apparatus and a substrate alignment method in the apparatus, wherein the substrate alignment time can be relatively small.

상술한 목적을 달성하기 위한 본 발명의 특징에 의하면, 기판 처리 장치는 기판이 일시적으로 놓여지는 스테이지를 갖는 버퍼챔버; 상기 스테이지로부터 기판을 인출하여 공정 챔버로 제공하는 반송로봇이 구비된 반송 챔버; 상기 버퍼챔버에 설치되며, 상기 스테이지에 놓여진 기판의 위치를 검출하여 검출신호를 발생하는 센싱부; 상기 센싱부에서의 검출신호를 제공받아 기설정된 기판 위치와 일치하지 않는 경우에는 상기 스테이지로부터 기판을 들어올린 상태에서 기판의 위치를 보정하도록 상기 반송로봇을 제어하는 제어부를 포함한다.According to a feature of the present invention for achieving the above object, a substrate processing apparatus includes a buffer chamber having a stage on which a substrate is temporarily placed; A conveying chamber having a conveying robot for extracting the substrate from the stage and providing the substrate to the process chamber; A sensing unit installed in the buffer chamber to detect a position of the substrate placed on the stage and generate a detection signal; And a controller configured to control the transport robot to correct the position of the substrate in a state in which the substrate is lifted from the stage when the detection signal received from the sensing unit does not match the preset substrate position.

본 실시예에 따르면, 상기 센싱부는 기판이 상기 스테이지에 정상적으로 놓여졌을 때 기판 가장자리를 감지하는 복수의 센서들을 포함한다.According to this embodiment, the sensing unit includes a plurality of sensors for sensing the edge of the substrate when the substrate is normally placed on the stage.

본 실시예에 따르면, 상기 센싱부는 상기 센서가 기판의 가장자리를 따라 적어도 3개 이상 설치된다.According to this embodiment, at least three sensors are installed along the edge of the substrate.

본 실시예에 따르면, 상기 제어부는 상기 센싱부의 상기 센서들이 상기 반송로봇에 의해 위치 보정되는 기판의 가장자리를 모두 감지하도록 상기 반송로봇을 제어한다.According to the present embodiment, the control unit controls the transport robot so that the sensors of the sensing unit detect all edges of the substrate whose position is corrected by the transport robot.

상술한 목적을 달성하기 위한 본 발명의 특징에 의하면, 기판 처리 장치에서의 기판 처리방법은 버퍼 챔버의 스테이지에 기판이 놓여지는 단계; 상기 스테이지 에 놓여진 기판의 위치를 검출하여 검출신호를 발생하는 단계; 및 상기 검출신호를 통해 기판의 위치가 기설정위치로부터 틀어졌는지를 확인하는 단계; 기판의 위치가 기설정위치로부터 틀어진 경우에는 반송 챔버에 위치하는 반송로봇을 이용하여 기판의 위치를 보정한 후, 기판을 버퍼 챔버에서 인출하여 공정챔버로 로딩하는 단계를 포함한다.According to a feature of the present invention for achieving the above object, a substrate processing method in a substrate processing apparatus comprises the steps of placing a substrate on a stage of a buffer chamber; Detecting a position of the substrate placed on the stage and generating a detection signal; And checking whether the position of the substrate is shifted from a preset position through the detection signal. If the position of the substrate is misaligned from the preset position, after correcting the position of the substrate by using the transfer robot located in the transfer chamber, the substrate is taken out of the buffer chamber and loaded into the process chamber.

본 실시예에 따르면, 상기 검출신호는 기판이 상기 스테이지에 정상적으로 놓여졌을 때 기판 가장자리를 감지하는 복수의 센서들로부터 발생된다. According to this embodiment, the detection signal is generated from a plurality of sensors for sensing the edge of the substrate when the substrate is normally placed on the stage.

본 실시예에 따르면, 상기 반송로봇은 상기 센서들이 기판의 가장자리를 모두 감지하도록 기판의 위치를 보정한다.According to this embodiment, the transport robot corrects the position of the substrate so that the sensors detect both edges of the substrate.

예컨대, 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예로 인해 한정되어 지는 것으로 해석되어져서는 안 된다. 본 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되어지는 것이다. 따라서, 도면에서의 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장되어진 것이다. For example, embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited by the embodiments described below. This embodiment is provided to more completely explain the present invention to those skilled in the art. Accordingly, the shape of the elements in the drawings and the like are exaggerated to emphasize a clearer description.

이하, 본 발명의 실시예를 첨부된 도면 도 1 내지 도 5d를 참조하면서 보다 상세히 설명한다. 상기 도면들에 있어서 동일한 기능을 수행하는 구성요소에 대해서는 동일한 참조번호가 병기되어 있다. Hereinafter, embodiments of the present invention will be described in more detail with reference to FIGS. 1 to 5D. In the drawings, the same reference numerals are given to components that perform the same function.

도 1에는 본 발명의 실시예에 따른 기판 처리 장치의 구성도이다. 1 is a block diagram of a substrate processing apparatus according to an embodiment of the present invention.

도 1을 참조하면, 기판 처리 장치(100)는 인덱스(110), 로드락 챔버(120), 반송부(130) 그리고 반송부(130)에 연결된 6개의 공정챔버(150)들을 포함한다. Referring to FIG. 1, the substrate processing apparatus 100 includes an index 110, a load lock chamber 120, a carrier 130, and six process chambers 150 connected to the carrier 130.

인덱스(110)는 기판 처리 장치(100)의 전방에 배치된다. 인덱스(110)는 기판들이 적재된 풉(front open unified pod, FOUP;일명 캐리어)(112)이 안착되는 그리고 풉(112)의 덮개를 개폐하는 3개의 풉 오프너(이하, 로드 포트라고도 함)(114)와, 대기압에서 동작되는 대기압 반송 로봇(116)을 포함한다. 풉(112)은 생산을 위한 일반적인 로트(lot)용 캐리어로써, 물류 자동화 장치(예를 들어, OHT, AGV, RGV)에 의하여 로드 포트에 안착된다. 인덱스는 최근 300mm 웨이퍼 반송 장치로 많이 사용되는 설비 전방 단부 모듈(equipment front end module, 이하 EFEM) 또는 로드락 챔버라고 불리는 인터페이스이다. The index 110 is disposed in front of the substrate processing apparatus 100. Index 110 includes three pull openers (hereinafter also referred to as load ports) on which the front open unified pod (FOUP; also known as carrier) 112 rests and which opens and closes the lid of the pull 112 ( 114 and an atmospheric pressure transport robot 116 operated at atmospheric pressure. The pull 112 is a carrier for a general lot for production and is seated in the load port by means of logistics automation devices (eg OHT, AGV, RGV). The index is an interface called an equipment front end module (EFEM), or load lock chamber, which is now widely used in 300 mm wafer transfer devices.

대기압 반송 로봇(116)은 로드포트(114)와 로드락 챔버(120) 사이에서 기판을 반송하기 위해 동작할 수 있는 것이다. 대기압 반송 로봇(116)은 로드 포트(114)에 놓여진 풉(112)으로부터 일회 동작에 한 장의 기판을 반출하여 로드락 챔버(120)의 카세트(122)에 반입할 수 있는 1개의 암 구조를 갖는 로봇으로 구성될 수 있다. 인덱스(110)에 설치되는 대기압 반송 로봇(116)은 본 실시예에서 보여주는 싱글 암 구조의 방식 이외에도 통상적인 반도체 제조 공정에서 사용되는 다양한 로봇들이 사용될 수 있다. 예를 들어, 두 장의 기판을 하나의 암으로 핸들링 할 수 있는 더블 블레이드 구조의 암을 구비한 로봇이나, 2개 이상의 암을 구비한 로봇 또는 이들을 혼합적으로 채용한 로봇이 사용될 수 있다. The atmospheric transfer robot 116 is operable to transport the substrate between the load port 114 and the load lock chamber 120. Atmospheric pressure conveying robot 116 has a single arm structure capable of carrying out a single substrate from the pull 112 placed in the load port 114 in one operation and bringing it into the cassette 122 of the load lock chamber 120. It can be composed of a robot. In addition to the single arm structure shown in the present embodiment, the atmospheric transfer carrier robot 116 installed at the index 110 may use various robots used in a conventional semiconductor manufacturing process. For example, a robot having an arm having a double blade structure capable of handling two substrates as one arm, a robot having two or more arms, or a robot employing a mixture thereof may be used.

로드락 챔버(120)는 일측이 하나의 게이트밸브(180)에 의해 인덱스(110)에 접속되고, 타측은 다른 하나의 게이트밸브(180)에 의해 반송부(130)의 제1반송챔버(132a)와 접속된다. 로드락 챔버(120)는 제1반송챔버(132a)의 반송로봇(140)이 기판을 로딩 또는 언로딩하는 시기에 제1반송챔버(132a)와 동일한(근접한) 진공분위기를 형성하며, 인덱스(110)로부터 미가공 기판을 공급받거나 이미 가공된 기판을 인덱스(110)로 반송시키게 될 때에는 대기압 상태로서 전환된다. 즉, 로드락 챔버(120)는 제1반송챔버(132a)의 기압상태가 변화되는 것을 방지시키기 위해 그 자체적으로 진공 상태와 대기압 상태를 교차하면서 압력을 유지하게 되는 특징이 있다. 로드락챔버(120)는 기판들이 임시 대기하는 카세트를 구비한다. One side of the load lock chamber 120 is connected to the index 110 by one gate valve 180, and the other side of the load lock chamber 120 is connected to the index 110 by the other gate valve 180. ) Is connected. The load lock chamber 120 forms the same (near) vacuum atmosphere as that of the first transport chamber 132a at the time when the transport robot 140 of the first transport chamber 132a loads or unloads the substrate. When the raw substrate is supplied from the substrate 110 or the substrate which has already been processed is transferred to the index 110, the substrate is switched to the atmospheric pressure state. That is, the load lock chamber 120 has a feature that maintains pressure while intersecting a vacuum state and an atmospheric pressure state in order to prevent the air pressure state of the first transport chamber 132a from being changed. The load lock chamber 120 has a cassette in which substrates are temporarily waiting.

반송부(130)는 기판 반송이 이루어지는 공간으로 복수의 반송챔버들이 직렬로 배치된 구조로 이루어지며, 본 실시예에서는 제1반송챔버(132a)와 제2반송챔버(132b) 그리고 제3반송챔버(132c)가 직렬로 배치된 구조를 예를 들어 설명한다. The conveying unit 130 has a structure in which a plurality of conveying chambers are arranged in series in a space in which the substrate is conveyed, and in this embodiment, the first conveying chamber 132a, the second conveying chamber 132b, and the third conveying chamber A structure in which 132c is arranged in series will be described by way of example.

반송부(130)는 반송챔버들(132a,132b,132c) 사이에 게이트밸브를 설치하지 않고 제1반송챔버(132a), 제2반송챔버(132b) 그리고 제3반송챔버(132c)를 일체적으로 연결한다. 즉, 반송부(130)는 제1,2,3반송챔버(132a,132b,132c) 전체를 둘러싸는 하나의 큰 챔버로 이루어진다고 볼 수 있다. 이러한 구조는 제1,2,3반송챔버(132a,132b,132c) 각각에 진공펌프를 포함하는 배기 시스템을 설치할 필요가 없고, 제1,2,3반송챔버(132a,132b,132c) 중 어느 하나에만 배기 시스템을 설치하면 되기 때문에 비용의 삭감을 도모할 수 있다. The conveyer 130 integrally integrates the first conveying chamber 132a, the second conveying chamber 132b, and the third conveying chamber 132c without installing a gate valve between the conveying chambers 132a, 132b, and 132c. Connect with That is, the carrier 130 may be regarded as one large chamber surrounding the entire first, second, and third transfer chambers 132a, 132b, and 132c. This structure does not require the installation of an exhaust system including a vacuum pump in each of the first, second and third conveying chambers 132a, 132b and 132c, and any of the first, second and third conveying chambers 132a, 132b and 132c. Since only one exhaust system needs to be installed, the cost can be reduced.

제1반송챔버(132a), 제2반송챔버(132b) 그리고 제3반송챔버(132c) 각각에는 기판 반송에 필요한 반송로봇(140)이 구비되며, 양측면에는 게이트밸브(180)를 통해 2개의 공정챔버(150)가 접속된다. 그리고, 제1반송챔버(132a)와 제2반송챔버(132b) 사이 그리고 제2반송챔버(132b)와 제3반송챔버(132c) 사이에는 반송로 봇(140) 간의 기판 인계(주고받음)가 직접 이루어지지 못하기 때문에 기판 패스를 위해 기판이 일시적으로 머무르는 버퍼 챔버(142)가 구비된다. Each of the first conveying chamber 132a, the second conveying chamber 132b, and the third conveying chamber 132c is provided with a conveying robot 140 for conveying the substrate, and two processes are provided on both sides through the gate valve 180. The chamber 150 is connected. Subsequently, a substrate transfer (transfer) between the transfer path bot 140 is performed between the first transfer chamber 132a and the second transfer chamber 132b and between the second transfer chamber 132b and the third transfer chamber 132c. The buffer chamber 142 is provided in which the substrate temporarily stays for the substrate pass because it cannot be made directly.

여기서, 상기 공정 챔버(150)들은 인터커넥트 구조들을 형성하기 위해 절연막에 애퍼쳐(aperture)들이나 개구들을 에치하도록 구성된 식각 챔버로 설명하였으나, 그 외에도 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어, 공정 챔버는 절연막을 증착시키도록 구성된 CVD 챔버일 수 있고; 공정 챔버는 장벽(barrier) 막을 증착시키도록 구성된 PVD 챔버일 수 있다. Although the process chambers 150 are described as etch chambers configured to etch apertures or openings in the insulating film to form interconnect structures, the process chambers 150 may be configured to perform various substrate processing operations. For example, the process chamber can be a CVD chamber configured to deposit an insulating film; The process chamber may be a PVD chamber configured to deposit a barrier film.

도 2는 버퍼 챔버의 평면도이다. 도 3은 버퍼 챔버의 측면도이다. 2 is a plan view of the buffer chamber. 3 is a side view of the buffer chamber.

도 2 및 도 3에 도시된 바와 같이, 버퍼 챔버(142)에는 기판이 놓여지는 스테이지(144)가 구비되며, 버퍼 챔버(142)의 천장에는 스테이지(144)에 놓여진 기판의 위치를 검출하기 위한 4개의 센서(162)로 이루어지는 센싱부(160)가 설치된다. 2 and 3, the buffer chamber 142 is provided with a stage 144 on which a substrate is placed, and the ceiling of the buffer chamber 142 is provided for detecting the position of the substrate placed on the stage 144. The sensing unit 160 including four sensors 162 is installed.

센서(162)들은 기판(w)이 스테이지(144)에 정상적으로 놓여졌을 때 기판의 가장자리를 감지할 수 있도록 4곳에 분산 배치된다. 이렇게 센서(162)들로부터 검출된 검출신호는 제어부(170)로 제공된다. 제어부(170)는 센서(162)들로부터 제공된 검출신호를 분석하여 기판의 위치가 기설정위치에 있는지 또는 기판의 위치가 기설정위치로부터 어느 방향으로 틀어져 있는지 확인할 수 있다. 그리고 기판의 위치가 기설정위치로부터 틀어진 경우, 제어부(170)는 버퍼 챔버(142)에 이웃하는 반송챔버의 반송로봇(140)을 제어하여 기판의 위치를 보정한다. The sensors 162 are distributed in four locations so that the edge of the substrate can be detected when the substrate w is normally placed on the stage 144. The detection signal detected from the sensors 162 is provided to the controller 170. The controller 170 may analyze the detection signals provided from the sensors 162 to determine whether the position of the substrate is at a preset position or in which direction the position of the substrate is displaced from the preset position. When the position of the substrate is displaced from the preset position, the controller 170 controls the transfer robot 140 of the transfer chamber adjacent to the buffer chamber 142 to correct the position of the substrate.

이와 같이, 본 발명의 기판 처리 장치(100)는 기존의 별도로 제공되던 얼라 이너를 생략하고, 그 대신 버퍼 챔버(142)에 설치된 다수의 기판 감지용 센서(162)들을 이용하여 기판의 포지션을 확인한 후, 틀어진 위치만큼 반송로봇(140)을 이용하여 위치를 보정하는 과정을 통해 기판을 정렬할 수 있다. 따라서, 이러한 본 발명의 기판 처리 장치는 별도의 얼라이너가 필요 없고, 그에 따른 설비 면적 감소와 비용 감소 그리고 스루풋 향상 등의 효과를 기대할 수 있다. As such, the substrate processing apparatus 100 of the present invention omits an existing aligner, and instead confirms the position of the substrate by using a plurality of substrate sensing sensors 162 installed in the buffer chamber 142. Afterwards, the substrate may be aligned through a process of correcting the position using the carrier robot 140 as much as the misaligned position. Therefore, the substrate processing apparatus of the present invention does not require a separate aligner, and thus, it is possible to expect effects such as reduced equipment area, reduced cost, and improved throughput.

한편, 기판 정렬을 위한 구성들은 버퍼 챔버(142) 뿐만 아니라 로드락 챔버(120)에도 적용할 수 있다. On the other hand, configurations for substrate alignment may be applied to the load lock chamber 120 as well as the buffer chamber 142.

도 4는 버퍼 챔버의 스테이지에 놓여지는 기판을 정렬하는 과정을 설명하기 위한 플로우 챠트이다. 그리고 5a 내지 도 5e는 기판의 정렬 과정을 단계적으로 보여주는 도면이다.4 is a flowchart for explaining a process of aligning a substrate placed on a stage of a buffer chamber. 5A through 5E are diagrams illustrating the alignment process of the substrate in stages.

도 4 내지 도 5e를 참조하면, 기판(w)은 버퍼 챔버(142)의 스테이지(144)에 놓여진다(s10). 기판(w)이 스테이지(144)에 놓여지면, 센싱부(160)의 센서(162)들이 기판(w)의 위치를 검출하고(s20), 그 검출신호는 제어부(170)로 제공된다. 제어부(170)는 4개의 센서(162)들 모두 기판의 가장자리를 감지한 경우에만 정상으로 인식하고, 제어부에서 정상으로 판단되면(s30), 반송로봇(140)은 기판을 버퍼 챔버(142)로부터 언로딩하게 된다(s60). 만약, 4개의 센서(162)들 중에서 적어도 어느 하나의 센서에서 기판 가장자리를 감지하지 못하면(s30), 기판(w)은 스테이지(144)의 기설정 위치에 놓여지지 않다는 것을 의미하며, 제어부(170)는 센서(162)들의 검출신호를 바탕으로 기판의 틀어진 방향을 확인하고(s40), 반송로봇(140)을 이용하여 기판의 위치를 보정하게 된다(s50). 기판의 위치 보정은 4개의 센서(162)들 모두에서 기판 가장자리가 검출되는 경우에만 완료된다. 4 to 5E, the substrate w is placed on the stage 144 of the buffer chamber 142 (S10). When the substrate w is placed on the stage 144, the sensors 162 of the sensing unit 160 detect the position of the substrate w (S20), and the detection signal is provided to the controller 170. The controller 170 recognizes that all four sensors 162 are normal only when the edge of the substrate is sensed, and when it is determined that the controller is normal (s30), the carrier robot 140 removes the substrate from the buffer chamber 142. Unloading (s60). If at least one of the four sensors 162 does not detect the edge of the substrate (s30), it means that the substrate w is not placed at a preset position of the stage 144, and the controller 170 ) Checks the twisted direction of the substrate based on the detection signals of the sensors 162 (S40), and corrects the position of the substrate using the carrier robot 140 (S50). Position correction of the substrate is completed only when the substrate edge is detected in all four sensors 162.

도 5a 내지 도 5e를 참조하면, 기판(w)이 버퍼 챔버(142)의 스테이지(144)에 잘못 놓여지면, 반송로봇(140)은 기판(w)을 스테이지(144)로부터 들어올린 상태에서 기판(w)의 위치를 보정하게 된다. 제어부(170)는 센서(162)들의 검출신호를 바탕으로 기판의 틀어진 방향을 확인할 수 있으며, 이를 토대로, 제어부(170)는 4개의 센서(162)들이 기판(w) 가장자리를 모두 검출할 수 있는 위치로 기판(w)이 이동되도록 반송로봇(140)을 제어한다. 4개의 센서(162)에서 기판을 검출하게 되면 반송로봇(140)은 기판(w)을 스테이지(144)에 올려놓은 후, 기판을 스테이지로부터 인출하거나, 또는 기판을 곧바로 인출하여 공정 챔버로 반송하게 된다. 5A to 5E, when the substrate w is erroneously placed on the stage 144 of the buffer chamber 142, the carrier robot 140 raises the substrate w from the stage 144. The position of (w) is corrected. The controller 170 may check the twisted direction of the substrate based on the detection signals of the sensors 162. Based on this, the controller 170 may detect all the edges of the substrate w by the four sensors 162. The transport robot 140 is controlled to move the substrate w to a position. When the four sensors 162 detect the substrate, the transfer robot 140 places the substrate w on the stage 144 and then withdraws the substrate from the stage, or immediately withdraws the substrate to the process chamber. do.

이상에서, 본 발명에 따른 기판 처리 장치의 구성 및 작용을 상기한 설명 및 도면에 따라 도시하였지만 이는 예를 들어 설명한 것에 불과하며 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 다양한 변화 및 변경이 가능함은 물론이다.In the above, the configuration and operation of the substrate processing apparatus according to the present invention have been shown in accordance with the above description and drawings, but this is merely an example, and various changes and modifications can be made without departing from the technical spirit of the present invention. Of course.

이와 같은 본 발명은 반송챔버들 간의 기판 인계과정에서 기판의 포지션을 확인하고, 반송로봇을 이용하여 기판을 정렬할 수 있다.In the present invention as described above, the position of the substrate in the process of taking over the substrate between the transfer chamber, it is possible to align the substrate using the transfer robot.

또한, 본 발명은 기판의 정렬 시간이 비교적 적게 소요될 수 있다.In addition, the present invention can take a relatively short alignment time of the substrate.

Claims (7)

기판 처리 장치에 있어서:In the substrate processing apparatus: 기판이 일시적으로 놓여지는 스테이지를 갖는 버퍼챔버;A buffer chamber having a stage on which the substrate is temporarily placed; 상기 스테이지로부터 기판을 인출하여 공정 챔버로 제공하는 반송로봇이 구비된 반송 챔버;A conveying chamber having a conveying robot for extracting the substrate from the stage and providing the substrate to the process chamber; 상기 버퍼챔버에 설치되며, 상기 스테이지에 놓여진 기판의 위치를 검출하여 검출신호를 발생하는 센싱부;A sensing unit installed in the buffer chamber to detect a position of the substrate placed on the stage and generate a detection signal; 상기 센싱부에서의 검출신호를 제공받아 기설정된 기판 위치와 일치하지 않는 경우에는 상기 스테이지로부터 기판을 들어올린 상태에서 기판의 위치를 보정하도록 상기 반송로봇을 제어하는 제어부를 포함하는 것을 특징으로 하는 기판 처리 장치.And a controller configured to control the carrier robot to correct the position of the substrate in a state in which the substrate is lifted from the stage when the detection signal from the sensing unit does not match the preset substrate position. Processing unit. 제1항에 있어서,The method of claim 1, 상기 센싱부는 기판이 상기 스테이지에 정상적으로 놓여졌을 때 기판 가장자리를 감지하는 복수의 센서들을 포함하는 것을 특징으로 하는 기판 처리 장치.The sensing unit includes a plurality of sensors for sensing the edge of the substrate when the substrate is normally placed on the stage. 제1항에 있어서,The method of claim 1, 상기 센싱부는 상기 센서가 기판의 가장자리를 따라 적어도 3개 이상 설치되는 것을 특징으로 하는 기판 처리 장치.The sensing unit is a substrate processing apparatus, characterized in that at least three sensors are installed along the edge of the substrate. 제1항에 있어서,The method of claim 1, 상기 제어부는 상기 센싱부의 상기 센서들이 상기 반송로봇에 의해 위치 보정되는 기판의 가장자리를 모두 감지하도록 상기 반송로봇을 제어하는 것을 특징으로 하는 기판 처리 장치.The control unit is a substrate processing apparatus, characterized in that for controlling the conveying robot so that the sensors of the sensing unit to detect all the edge of the substrate that is corrected by the conveying robot. 기판 처리 장치에서의 기판 처리방법에 있어서:In the substrate processing method in the substrate processing apparatus: 버퍼 챔버의 스테이지에 기판이 놓여지는 단계;Placing the substrate on the stage of the buffer chamber; 상기 스테이지에 놓여진 기판의 위치를 검출하여 검출신호를 발생하는 단계; 및Generating a detection signal by detecting a position of the substrate placed on the stage; And 상기 검출신호를 통해 기판의 위치가 기설정위치로부터 틀어졌는지를 확인하는 단계;Confirming whether the position of the substrate is shifted from a preset position through the detection signal; 기판의 위치가 기설정위치로부터 틀어진 경우에는 반송 챔버에 위치하는 반송로봇을 이용하여 기판의 위치를 보정한 후, 기판을 버퍼 챔버에서 인출하여 공정챔버로 로딩하는 단계를 포함하는 것을 특징으로 하는 기판 처리방법. If the position of the substrate is displaced from the preset position, correcting the position of the substrate using a transfer robot located in the transfer chamber, and then removing the substrate from the buffer chamber and loading the substrate into the process chamber. Treatment method. 제5항에 있어서,The method of claim 5, 상기 검출신호는 기판이 상기 스테이지에 정상적으로 놓여졌을 때 기판 가장자리를 감지하는 복수의 센서들로부터 발생되는 것을 특징으로 하는 기판 처리방법.And the detection signal is generated from a plurality of sensors for sensing the edge of the substrate when the substrate is normally placed on the stage. 제5항에 있어서,The method of claim 5, 상기 반송로봇은 상기 센서들이 기판의 가장자리를 모두 감지하도록 기판의 위치를 보정하는 것을 특징으로 하는 기판 처리방법.The transfer robot is a substrate processing method, characterized in that for correcting the position of the substrate so that the sensors detect all of the edge of the substrate.
KR1020070018544A 2007-02-23 2007-02-23 Apparatus for treating substrate and method for aligning substrates in the apparatus KR100855877B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070018544A KR100855877B1 (en) 2007-02-23 2007-02-23 Apparatus for treating substrate and method for aligning substrates in the apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070018544A KR100855877B1 (en) 2007-02-23 2007-02-23 Apparatus for treating substrate and method for aligning substrates in the apparatus

Publications (2)

Publication Number Publication Date
KR20080078426A true KR20080078426A (en) 2008-08-27
KR100855877B1 KR100855877B1 (en) 2008-09-03

Family

ID=39880617

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070018544A KR100855877B1 (en) 2007-02-23 2007-02-23 Apparatus for treating substrate and method for aligning substrates in the apparatus

Country Status (1)

Country Link
KR (1) KR100855877B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101446413B1 (en) * 2012-02-16 2014-10-02 가부시키가이샤 야스카와덴키 Transfer system
KR20180021046A (en) * 2012-02-09 2018-02-28 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus and substrate processing method
KR20200070672A (en) * 2018-12-10 2020-06-18 주식회사 원익아이피에스 Substrate process system and method for transfering substrates
KR20200070671A (en) * 2018-12-10 2020-06-18 주식회사 원익아이피에스 Substrate Processing System and Method using the same
KR102126390B1 (en) * 2019-03-08 2020-06-25 (주)에이스트 Wafer position sensing system

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144771A (en) * 1996-11-06 1998-05-29 Sony Corp Semiconductor manufacturing device
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
JP4260423B2 (en) * 2002-05-30 2009-04-30 ローツェ株式会社 Disc-shaped object reference position teaching method, positioning method, and transport method, and disc-shaped reference position teaching apparatus, positioning apparatus, transport apparatus, and semiconductor manufacturing equipment using these methods

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180021046A (en) * 2012-02-09 2018-02-28 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus and substrate processing method
KR101446413B1 (en) * 2012-02-16 2014-10-02 가부시키가이샤 야스카와덴키 Transfer system
US8989901B2 (en) 2012-02-16 2015-03-24 Kabushiki Kaisha Yaskawa Denki Transfer system
KR20200070672A (en) * 2018-12-10 2020-06-18 주식회사 원익아이피에스 Substrate process system and method for transfering substrates
KR20200070671A (en) * 2018-12-10 2020-06-18 주식회사 원익아이피에스 Substrate Processing System and Method using the same
KR102126390B1 (en) * 2019-03-08 2020-06-25 (주)에이스트 Wafer position sensing system

Also Published As

Publication number Publication date
KR100855877B1 (en) 2008-09-03

Similar Documents

Publication Publication Date Title
KR102386557B1 (en) Substrate processing method and substrate processing system
KR101015228B1 (en) Multi-chamber system for manufacturing semiconductor device and method for substrate processing in the system
US20230386879A1 (en) Process apparatus with on-the-fly substrate centering
JP5185054B2 (en) Substrate transport method, control program, and storage medium
US9929030B2 (en) Substrate processing device and substrate transfer method
KR100855877B1 (en) Apparatus for treating substrate and method for aligning substrates in the apparatus
KR102126390B1 (en) Wafer position sensing system
KR102586784B1 (en) Return detection method and substrate processing device
KR100916141B1 (en) Aligner chamber and substrate processing equipment of multi chamber type having the same
KR102512865B1 (en) Substrate processing device and substrate transfer method
KR100896472B1 (en) Multi-chamber system for manufacturing semiconductor device and method for treating substrate
KR101041458B1 (en) Substrate transferring device, substrate manufacturing system having the same and method of transferring substrate
KR101184596B1 (en) Apparatus for transfering wafer and method for operating the same
KR100839187B1 (en) Transfer chamber of apparatus for manufacturing semiconductor device and method for transferring substrates in the transfer chamber
KR20080062220A (en) Multi-chamber system for etching equipment for manufacturing semiconductor device
KR101620545B1 (en) Substrate aligning device, gate Valve And Cluster Apparatus Including The Same
WO2023167043A1 (en) Substrate conveyance method and semiconductor manufacturing system
KR20150082171A (en) Processing method and processing device
KR20080071680A (en) Inline system for manufacturing semiconductor device
KR20190143740A (en) Substrate carrier apparatus and method
US20230230862A1 (en) Substrate transport method and substrate processing system
KR20080071681A (en) Multi-chamber system for manufacturing semiconductor device
KR20070088089A (en) Apparatus and method for transfering semiconductor wafer
KR100861782B1 (en) Loadlock chamber and vent method on the same
KR100495419B1 (en) Semiconductor manufacturing device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120822

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130826

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140819

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150828

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160812

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170821

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180828

Year of fee payment: 11