KR20080069296A - A buffer chamber for buffering air pressure between loadlock chamber and process chamber - Google Patents

A buffer chamber for buffering air pressure between loadlock chamber and process chamber Download PDF

Info

Publication number
KR20080069296A
KR20080069296A KR1020070006812A KR20070006812A KR20080069296A KR 20080069296 A KR20080069296 A KR 20080069296A KR 1020070006812 A KR1020070006812 A KR 1020070006812A KR 20070006812 A KR20070006812 A KR 20070006812A KR 20080069296 A KR20080069296 A KR 20080069296A
Authority
KR
South Korea
Prior art keywords
chamber
load lock
process chamber
buffer
lock chamber
Prior art date
Application number
KR1020070006812A
Other languages
Korean (ko)
Other versions
KR100849943B1 (en
Inventor
조보형
이현오
김병조
Original Assignee
(주)인터노바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (주)인터노바 filed Critical (주)인터노바
Priority to KR1020070006812A priority Critical patent/KR100849943B1/en
Publication of KR20080069296A publication Critical patent/KR20080069296A/en
Application granted granted Critical
Publication of KR100849943B1 publication Critical patent/KR100849943B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

A buffer chamber for buffering air pressure between a load lock chamber and a process chamber is provided to directly draw out a semiconductor material from a material storage and send the semiconductor material to the process chamber using a load lock chamber. A buffer chamber for buffering air pressure between a load lock chamber(30) and a process chamber(40) comprises a body unit(31), a first gate valve(51), and a second gate valve(53). The body unit, located between the load lock chamber and the process chamber, has an opening unit at two side surfaces to draw in and out a semiconductor material. The first gate valve opens and closes the opening adjacent to the load lock chamber. The second gate chamber opens and closes the opening unit adjacent to the process chamber.

Description

로드락 챔버와 프로세스 챔버 간의 기압 완충을 위한 버퍼 챔버{A Buffer Chamber For Buffering Air Pressure Between Loadlock Chamber and Process Chamber}A Buffer Chamber For Buffering Air Pressure Between Loadlock Chamber and Process Chamber

도 1은 종래 일반적인 반도체 자재 처리를 위한 클러스터 툴의 구성도이다.1 is a block diagram of a cluster tool for processing a conventional general semiconductor material.

도 2는 로드락 챔버와 프로세스 챔버 간에 본 발명에 따른 버퍼 챔버가 적용된 구조를 도시한 사시도이다.2 is a perspective view illustrating a structure in which a buffer chamber according to the present invention is applied between a load lock chamber and a process chamber.

도 3은 로드락 챔버와 프로세스 챔버 간에 본 발명에 따른 버퍼 챔버가 적용된 구조를 도시한 측면도이다.3 is a side view illustrating a structure in which a buffer chamber according to the present invention is applied between a load lock chamber and a process chamber.

도 4는 본 발명에 따른 로드락 챔버의 세부 구조를 도시한 사시도이다.4 is a perspective view showing a detailed structure of the load lock chamber according to the present invention.

<주요도면부호에 관한 설명><Description of main drawing code>

30 : 로드락 챔버 40 : 프로세스 챔버30: load lock chamber 40: process chamber

50 : 버퍼 챔버 51 : 제 1 게이트 밸브50: buffer chamber 51: first gate valve

53 : 제 2 게이트 밸브 55 : 진공 라인53: second gate valve 55: vacuum line

본 발명은 버퍼 챔버에 관한 것으로서, 보다 상세하게는 내부에 반송 로봇이 구비되어 반도체 자재가 수납된 자재 수납장치로부터 직접 반도체 자재를 반출하여 프로세스 챔버로 제공하는 로드락 챔버와 프로세스 챔버 간의 기압 차이를 완충시키기 위한 퍼버 챔버에 관한 것이다.The present invention relates to a buffer chamber, and more particularly, a transfer robot is provided therein, and the pressure difference between the load lock chamber and the process chamber which directly exports the semiconductor material from the material storage device containing the semiconductor material and provides it to the process chamber. A buffer chamber for buffering.

일반적으로 반도체 소자는, 기판인 웨이퍼(wafer) 상에 여러 가지 물질을 박막형태로 증착하고 이를 패터닝하여 구현되는데, 이를 위하여 증착공정, 식각공정, 세정공정, 건조공정 등 여러 단계의 서로 다른 공정이 요구된다. Generally, a semiconductor device is implemented by depositing and patterning various materials on a wafer, which is a substrate, in a thin film form. For this purpose, different processes such as deposition, etching, cleaning, and drying are performed. Required.

이러한 각각의 공정에서 처리 대상물인 웨이퍼는 해당공정의 진행에 적절한 환경을 가지고 있는 프로세스 챔버내에서 처리되는데, 근래에는 웨이퍼를 프로세스 모듈로 이송 또는 회송하여 공정 프로세스를 진행할 수 있도록 하는 클러스터 툴(cluster tool)이 널리 사용되고 있다.In each of these processes, the wafer, which is the object of processing, is processed in a process chamber that has an environment suitable for the process. In recent years, a cluster tool is used to transfer or return wafers to a process module so that the process can be performed. ) Is widely used.

도 1은 일반적인 클러스터 툴의 구조를 개략적으로 도시한 도면이다.1 is a view schematically showing the structure of a general cluster tool.

클러스터 툴은, 크게 웨이퍼(122)가 초기 또는 최종적으로 안착되는 전면 개방 방식의 파드인 풉(FOUP : Front Opening Unified Pod)이 적재되는 복수 개의 로드 포트(load port)(115 ~ 118)와, 로드포트(115 ~ 118)에 위치하는 웨이퍼(122)를 위치 정렬하여 이송하는 프론트 엔드 모듈(front end module : 114)과, 프론트 엔드 모듈(114)으로부터 이송된 웨이퍼(122)를 적재한 후 진공압을 인가하여 내부를 진공상태로 만드는 로드락 챔버(load lock chamber : 108)와, 진공압 상태의 로드 락 챔버(108)에서 적재된 웨이퍼(122)를 해당 프로세서 챔버(104)로 이송하는 이송 로봇(120)이 설치된 이송 챔버(102)를 포함하여 구성된다.The cluster tool includes a plurality of load ports 115 to 118 on which a front opening Unified Pod (FOUP) is loaded, in which a wafer 122 is initially or finally seated. The front end module 114 for positioning and transporting the wafers 122 positioned in the ports 115 to 118, and the vacuum pressure after loading the wafers 122 transferred from the front end module 114. A transfer robot that transfers the load lock chamber 108 to make the interior into a vacuum state and the wafer 122 loaded in the vacuum load lock chamber 108 to the corresponding processor chamber 104. It is configured to include a transfer chamber 102 is installed 120.

프론트 엔드 시스템(20)은 대기에 개방된 오염이 되지 않은 공간에 위치하며, 도시되어 있지는 않으나, 로드포트(115 ~ 118)에 각각 적재된 웨이퍼를 이송하는 ATM 로봇(atmosphere robot)과, 이러한 ATM 로봇에 의해 이송된 웨이퍼를 위치 정렬하는 ATM 얼라이너(atmosphere aligner)를 가지고 있어 웨이퍼의 이송 및 위치정렬을 가능하게 한다.The front end system 20 is located in an uncontaminated space open to the atmosphere and, although not shown, an ATM robot that transfers wafers loaded in the load ports 115 to 118, respectively, and such ATMs. It has an ATM aligner that aligns wafers transported by robots, enabling wafer transfer and alignment.

또한, 로드락 챔버(108)에는 웨이퍼의 적재위치인 메탈 쉘프(shelf : 미도시 됨)가 각각 구비되어, 이러한 메탈 쉘프 상에 웨이퍼(122)가 적재되고, 메탈 쉘프에 적재된 웨이퍼는 이송 챔버(102)에 위치하는 이송 로봇(120)에 의하여 해당 프로세스 챔버(104)내로 이송된다.In addition, the load lock chamber 108 is provided with a metal shelf (shelf: not shown) which is a loading position of the wafer, respectively, the wafer 122 is loaded on the metal shelf, and the wafer loaded on the metal shelf is a transfer chamber. It is transferred into the process chamber 104 by the transfer robot 120 located at 102.

그러나, 상기의 클러스터 툴에 의할 경우에는 프론트 엔드 모듈(114)의 ATM 로봇과 ATM 얼라이너의 설치, 이송 챔버(102)의 이송 로봇(120)의 설치 등으로 인해 제조 단가가 높아지는 문제점이 있을 뿐 아니라, 프론트 엔드 모듈(114), 이송 챔버(102) 등의 공간 때문에 전체 장치가 대형화되어 넓은 설치면적이 소요되고 단가가 상승하는 문제점이 있다.However, in the case of the cluster tool, there is a problem that the manufacturing cost increases due to the installation of the ATM robot and the ATM aligner of the front end module 114 and the installation of the transfer robot 120 of the transfer chamber 102. However, due to the space of the front end module 114, the transfer chamber 102 and the like, there is a problem in that the entire apparatus is enlarged to take a large installation area and increase the unit cost.

또한, 로드 포트(115 ~ 118)에서 프론트 엔드 모듈(114), 프론트 엔드 모듈(114)에서 로드락 챔버(208), 로드락 챔버(208)에서 프로세스 챔버(104)로의 다단계의 웨이퍼(122) 전송 과정이 포함되어 웨이퍼(122)의 전송에 과다한 시간이 소요되어 반도체 제조 수율이 현저하게 저하되는 문제점이 있다.The multi-stage wafer 122 from the front end module 114 at the load ports 115-118, the load lock chamber 208 at the front end module 114, and the process chamber 104 at the load lock chamber 208. There is a problem that the transfer process is involved, so that excessive time is required for the transfer of the wafer 122 and the semiconductor manufacturing yield is significantly reduced.

본 발명은 상기와 같은 문제점을 해결하기 위해 안출된 것으로서, 본 발명의 목적은 프론트 엔드 모듈과 이송 챔버를 생략하고 로드락 챔버가 자재 수납장치로부터 직접 웨이퍼 등의 반도체 자재를 반출하여 바로 해당 프로세스 챔버로 전송할 수 있도록 하고, 로드락 챔버와 프로세스 챔버간에 기압 차를 완충하는 버퍼 챔버를 개재시켜 양 챔버의 직접 결합시 발생할 수 있는 양 챔버 간의 가스 누설을 미연에 방지할 수 있도록 하는 것이다.The present invention has been made to solve the above problems, an object of the present invention is to omit the front end module and the transfer chamber, and the load lock chamber to directly export the semiconductor material, such as wafers directly from the material storage device to the corresponding process chamber It is to be able to transmit to the through, and through the buffer chamber to buffer the air pressure difference between the load lock chamber and the process chamber to prevent gas leakage between the two chambers that may occur in the direct coupling of the two chambers.

상기와 같은 목적을 달성하기 위한 본 발명의 일측면에 따르면, 내부에 상호 역동작의 반도체 자재 이송 동작을 수행하여 반도체 자재 수납장치와 프로세스 챔버 간에 반도체 자재를 이송하는 듀얼 이송암이 설치되어 있는 로드락 챔버와 프로세스 챔버 간에 개재되어 양 챔버 간의 기압을 완충하는 버퍼 챔버에 있어서, 상기 로드락 챔버와 프로세스 챔버의 사이에 배치되고 양측면에 상기 반도체 자재의 반입 및 반출을 위한 개구가 형성된 본체부, 상기 로드락 챔버 측에 형성된 상기 개구를 개폐시키기 위한 제 1 게이트 밸브 및 상기 프로세스 챔버 측에 형성된 상기 개구를 개폐시키기 위한 제 2 게이트 밸브를 포함하는 것을 특징으로 한다.According to an aspect of the present invention for achieving the above object, the rod is provided with a dual transfer arm for transferring the semiconductor material between the semiconductor material receiving device and the process chamber by performing a mutual reverse operation of the semiconductor material transfer operation therein A buffer chamber interposed between the lock chamber and the process chamber to buffer air pressure between both chambers, the buffer chamber being disposed between the load lock chamber and the process chamber and having openings for loading and unloading the semiconductor material on both sides thereof; And a first gate valve for opening and closing the opening formed at the load lock chamber side and a second gate valve for opening and closing the opening formed at the process chamber side.

여기서, 본 발명의 버퍼 챔버는 상기 본체부 내의 진공압을 조절하기 위한 진공 라인이 더 형성되는 것이 바람직하다.Here, the buffer chamber of the present invention is preferably further formed with a vacuum line for adjusting the vacuum pressure in the body portion.

또한, 상기 진공라인은 상기 프로세스 챔버의 내부가 진공 상태이고 상기 로드락 챔버의 내부가 대기압 상태인 경우 본체부의 내부가 상기 프로세스 챔버보다 고진공 상태가 되도록 제어하고, 상기 로드락 챔버가 프로세스 챔버보다 고진공 상태인 경우 본체부의 내부가 상기 프로세스 챔버보다 저진공 상태가 되도록 제어하는 것이 보다 바람직하다.The vacuum line may control the inside of the main body to be in a higher vacuum state than the process chamber when the inside of the process chamber is in a vacuum state and the inside of the load lock chamber is in an atmospheric pressure state, and the load lock chamber is in a higher vacuum than the process chamber. In the state, it is more preferable to control the inside of the body portion to be in a lower vacuum state than the process chamber.

이하에서는 첨부된 도면을 참조하여 본 발명의 바람직한 일 실시예를 상세하게 설명하기로 한다.Hereinafter, with reference to the accompanying drawings will be described in detail a preferred embodiment of the present invention.

도 2는 로드락 챔버와 프로세스 챔버 간에 본 발명에 따른 버퍼 챔버가 적용된 구조를 도시한 사시도이고, 도 3은 로드락 챔버와 프로세스 챔버 간에 본 발명에 따른 버퍼 챔버가 적용된 구조를 도시한 측면도이다.2 is a perspective view illustrating a structure in which a buffer chamber according to the present invention is applied between a load lock chamber and a process chamber, and FIG. 3 is a side view illustrating a structure in which a buffer chamber according to the present invention is applied between a load lock chamber and a process chamber.

도 2 및 도 3에 도시된 바와 같이 본 발명에 따른 버퍼 챔버(50)는 로드락 챔버(30)와 프로세스 챔버(40)의 사이에 개재된다.2 and 3, the buffer chamber 50 according to the present invention is interposed between the load lock chamber 30 and the process chamber 40.

로드락 챔버(30)는 웨이퍼(122)가 수납된 수납장치와 프로세서 챔버(40) 사이에 위치하여 대기압 상태인 수납장치와 진공압 상태인 프로세서 챔버(40) 간의 기압 차를 조절해주는 장비로서 본원발명에서는 로드락 챔버(30) 내에 수납장치에 수납된 웨이퍼(122)를 반출하여 프로세서 챔버(40)로 이송하는 반송 로봇이 설치되어 있다. The load lock chamber 30 is positioned between the storage device in which the wafer 122 is accommodated and the processor chamber 40, and controls the air pressure difference between the storage device in the atmospheric pressure state and the processor chamber 40 in the vacuum pressure state. In the present invention, the transfer robot which carries out the wafer 122 accommodated in the storage device in the load lock chamber 30 and transfers it to the processor chamber 40 is provided.

예를 들어, 본 발명에 따른 로드락 챔버(30)는 풉이 장착되는 로드포트와 프 로세스 챔버(40) 사이에 직접 결합되도록 설치될 수 있으며, 이에 의할 경우 종래 로드포트와 로드락 챔버(30) 사이에 설치되는 프론트 엔드 모듈(114)과 이송 로봇(120)이 설치된 이송 챔버(102)가 생략될 수 있음을 주목하여야 한다. 로드락 챔버(30)의 세부 구성에 대해서는 도 4에서 상세하게 설명하기로 한다.For example, the load lock chamber 30 according to the present invention may be installed to be directly coupled between the load port and the process chamber 40, the pull is mounted, whereby the conventional load port and load lock chamber ( It should be noted that the transfer chamber 102, in which the front end module 114 and the transfer robot 120 are installed, may be omitted. The detailed configuration of the load lock chamber 30 will be described in detail with reference to FIG. 4.

버퍼 챔버(50)는 로드락 챔버(30)와 프로세스 챔버(40) 간에 개재되어 양 챔버 간의 기압 차를 완충하기 위한 것이다. 즉, 종래의 클러스터 툴에서는 로드락 챔버와 프로세스 챔버 사이에 이송 챔버가 개재되어 양 챔버 간의 완충 역할을 할 수 있으나, 본원발명과 같이, 로드락 챔버(30)가 프로세스 챔버(40)에 직접 연결되는 경우에는 로드락 챔버(30)와 프로세스 챔버(40)가 1개의 게이트 밸브 만으로 상호 단속되므로 게이트 밸브의 실링이 완전하지 못한 경우 양 챔버 간의 기압차로 인해 한 쪽 챔버의 가스가 다른 쪽 챔버로 유입되는 문제가 발생할 수 있다. The buffer chamber 50 is interposed between the load lock chamber 30 and the process chamber 40 to buffer the pressure difference between the chambers. That is, in the conventional cluster tool, the transfer chamber is interposed between the load lock chamber and the process chamber to act as a buffer between the two chambers, but as the present invention, the load lock chamber 30 is directly connected to the process chamber 40. In this case, the load lock chamber 30 and the process chamber 40 are intermittently interlocked by only one gate valve, so when the sealing of the gate valve is incomplete, the gas in one chamber flows into the other chamber due to the pressure difference between the two chambers. Can cause problems.

예를 들면, 프로세스 챔버(40)의 내부가 진공 상태이고 로드락 챔버(30)의 내부가 대기압 상태인 경우 로드락 챔버(30) 쪽이 기압이 높으므로 게이트 밸브의 미세한 틈을 통해 대기압의 가스가 프로세스 챔버(40)로 유입될 수 있으며, 로드락 챔버(30)가 프로세스 챔버(40)보다 고진공 상태이고 프로세스 챔버(40) 내에 유해한 처리 가스가 차 있는 경우에는 역으로 처리 가스가 로드락 챔버(30) 측으로 유입되는 경우가 발생할 수 있다.For example, when the inside of the process chamber 40 is in a vacuum state and the inside of the load lock chamber 30 is in an atmospheric pressure state, since the air pressure is higher on the load lock chamber 30, the atmospheric pressure gas is passed through a minute gap of the gate valve. Can enter the process chamber 40, and if the load lock chamber 30 is in a higher vacuum than the process chamber 40 and there is a harmful process gas in the process chamber 40, the process gas is reversely loaded. The case may be introduced to the (30) side.

따라서, 본원발명에서는 로드락 챔버(30)와 프로세스 챔버(40)의 사이에 버퍼 챔버(50)를 개재시켜 양 챔버 간의 기압 차를 완충시키도록 한 것이 특징이다.Therefore, in the present invention, the buffer chamber 50 is interposed between the load lock chamber 30 and the process chamber 40 so as to buffer the pressure difference between the two chambers.

도 3에 도시된 바와 같이, 버퍼 챔버(50)는 로드락 챔버(30)와 프로세스 챔 버(40)의 사이에 배치되고 양측면에 웨이퍼(122)의 반입 및 반출을 위한 개구(미도시)가 형성된 본체부(51), 로드락 챔버(30) 측의 개구를 개폐시키기 위한 제 1 게이트 밸브(53), 프로세스 챔버(40) 측의 개구를 개폐시키기 위한 제 2 게이트 밸브(55)를 포함하여 구성된다.As shown in FIG. 3, the buffer chamber 50 is disposed between the load lock chamber 30 and the process chamber 40 and has openings (not shown) for loading and unloading the wafer 122 on both sides. Including the formed body portion 51, the first gate valve 53 for opening and closing the opening on the load lock chamber 30 side, the second gate valve 55 for opening and closing the opening on the process chamber 40 side, It is composed.

즉, 본원발명에서는 로드락 챔버(30)와 프로세스 챔버(40)가 2개의 게이트 밸브(55)를 통해 격리되므로 보다 높은 실링을 제공하여 누설에 의해 한 쪽 챔버의 가스가 다른 쪽 챔버로 유입되는 현상을 해결할 수 있도록 하는 것이 특징이다.That is, in the present invention, since the load lock chamber 30 and the process chamber 40 are isolated through the two gate valves 55, a higher sealing is provided so that the gas of one chamber is introduced into the other chamber by leakage. It is characterized by the ability to solve the phenomenon.

또한, 본체부(51)의 하단에는 버퍼 챔버(50) 내의 진공압을 조절하기 위한 진공 라인(57)이 설치되어 있다. 진공 라인(57)은 버퍼 챔버(50) 내를 진공 상태로 유지하도록 진공압을 인가하는 일반적인 기능 외에 로드락 챔버(30)와 프로세스 챔버(40)의 기압 상태에 따라 버퍼 챔버(50) 내의 진공압을 조절하여 양 챔버 간의 기압차를 완충하도록 하는 완충 기능을 수행하도록 하는 것이 바람직하다.In addition, a vacuum line 57 for adjusting the vacuum pressure in the buffer chamber 50 is provided at the lower end of the main body portion 51. In addition to the general function of applying the vacuum pressure to maintain the vacuum in the buffer chamber 50, the vacuum line 57 may perform vacuum in the buffer chamber 50 according to the atmospheric pressure of the load lock chamber 30 and the process chamber 40. It is desirable to adjust the pneumatic pressure to perform a buffer function to buffer the air pressure difference between both chambers.

즉, 프로세스 챔버(40)의 내부가 진공 상태이고 로드락 챔버(30)의 내부가 대기압 상태인 경우 버퍼 챔버(50) 내부가 프로세스 챔버(40)보다 고진공 상태가 되도록(압력이 낮아지도록) 제어함으로써 로드락 챔버(30) 쪽의 대기압 상태의 가스가 프로세스 챔버(40)로 유입되는 것을 방지할 수 있다.That is, when the inside of the process chamber 40 is in a vacuum state and the inside of the load lock chamber 30 is in an atmospheric pressure state, the control is performed such that the inside of the buffer chamber 50 is in a higher vacuum state (lower pressure) than the process chamber 40. By doing so, it is possible to prevent the gas in the atmospheric state toward the load lock chamber 30 from flowing into the process chamber 40.

또한, 로드락 챔버(30)가 프로세스 챔버(40)보다 고진공 상태이고 프로세스 챔버(40) 내에 유해한 처리 가스가 차 있는 경우에는 버퍼 챔버(50) 내부의 압력을 증가시켜 버퍼 챔버(50) 내부가 프로세스 챔버(40)보다 저진공 상태가 되도록 함으로써 프로세스 챔버(40) 내의 유해 처리 가스가 버퍼 챔버(50) 측으로 유입되는 것 을 방지할 수 있다. In addition, when the load lock chamber 30 is in a higher vacuum state than the process chamber 40 and harmful process gas is filled in the process chamber 40, the pressure inside the buffer chamber 50 is increased to increase the pressure inside the buffer chamber 50. By making the vacuum state lower than that of the process chamber 40, it is possible to prevent harmful treatment gas in the process chamber 40 from flowing into the buffer chamber 50.

본 발명에서 버퍼 챔버(50)는 로드락 챔버(30)와 프로세스 챔버(40) 간의 기압차를 완충하기 위한 용도만으로 사용되는 것이므로 큰 부피를 요구하지 않으며, 2개의 게이트 밸브(53, 55)의 설치 및 양 챔버 간의 기압차를 완충할 수 있을 정도의 부피만이 요구되므로 버퍼 챔버(50)의 부피를 최소화하여 전체적인 풋프린트를 증가가 거의 발생하지 않도록 하는 것이 가능하다.In the present invention, since the buffer chamber 50 is used only for buffering the air pressure difference between the load lock chamber 30 and the process chamber 40, it does not require a large volume, and the two gate valves 53 and 55 Since only a volume sufficient to buffer the pressure difference between the installation and the two chambers is required, it is possible to minimize the volume of the buffer chamber 50 so that the increase in the overall footprint hardly occurs.

도 4는 본 발명에 따른 로드락 챔버의 세부 구조를 도시한 사시도이다.4 is a perspective view showing a detailed structure of the load lock chamber according to the present invention.

도 4에 도시된 바와 같이, 본 발명에 따른 로드락 챔버는 본체부(31), 듀얼 암 구조의 제 1 및 제 2 이송암 및 2개의 모터(33a, 33b)를 포함하여 구성된다.As shown in FIG. 4, the load lock chamber according to the present invention includes a main body portion 31, first and second transfer arms having a dual arm structure, and two motors 33a and 33b.

본체부(31)는 전단이 웨이퍼(122)가 수납된 수납장치에 연결되고 후단이 프로세스 챔버(40)의 전단에 위치하며, 전단 및 후단에 웨이퍼(122)의 반입 및 반출을 위한 게이트(39a, 39b)가 형성되어 있다. 본체부(31)의 저면부에는 처리된 웨이퍼(122)를 반출하기 전 챔버 내부를 질소 가스 등으로 퍼징하기 위한 퍼징 라인(37b) 및 처리할 웨이퍼(122)를 프로세스 챔버(40)로 반출하기 전에 챔버 내부를 프로세스 챔버(40)와 동일한 진공 상태가 되도록 진공압을 인가하기 위한 진공 라인(38b)이 형성되어 있다.The main body 31 has a front end connected to an accommodating device in which the wafer 122 is accommodated, and a rear end thereof is located at the front end of the process chamber 40, and a gate 39a for carrying in and carrying out the wafer 122 at the front end and the rear end thereof. , 39b) is formed. The purging line 37b for purging the inside of the chamber with nitrogen gas or the like and the wafer 122 to be processed are carried out to the process chamber 40 before the process of the processed wafer 122 is carried out at the bottom of the main body 31. Before the inside of the chamber, a vacuum line 38b for applying a vacuum pressure to the same vacuum state as the process chamber 40 is formed.

제 1 이송암 및 제 2 이송암은 본체부(31) 내부의 상면 및 하면에 각각 설치되어 로드포트(10)에 장착된 풉(20)과 프로세스 챔버(40) 간의 반도체 자재 이송 처리를 수행하는 것으로서, 제 1 암(34)과 제 2 암(35)이 접혔다가 펼쳐지는 신축 구조를 갖는 스칼라 암 구조를 가지며, 제 2 암(35)의 선단에는 웨이퍼(122)를 파지하는 엔드 이펙터(End Effector : 36)가 형성되어 있다. The first transfer arm and the second transfer arm are respectively installed on the upper and lower surfaces of the main body 31 to perform the semiconductor material transfer process between the process chamber 40 and the pull 20 mounted on the load port 10. The first arm 34 and the second arm 35 have a scalar arm structure having a stretchable structure that is folded and unfolded, and an end effector for holding the wafer 122 at the tip of the second arm 35. End Effector 36) is formed.

본체부(10) 외부의 상면 및 하면에는 제 1 이송암 및 제 2 이송암을 구동하기 위한 모터(33a, 33b)가 설치되어 있다. 본 발명에서 모터(33a, 33b)가 본체부(10)의 외부에 설치되므로 모터(33a, 33b)와 제 1 암(34)의 결합 부위에 완전한 실링을 유지하는 것이 필요하며, 이를 위해 상기 결합 부위에 자성 유체를 삽입하는 등의 실링 방식이 적용될 수 있다.Upper and lower surfaces of the main body 10 are provided with motors 33a and 33b for driving the first transfer arm and the second transfer arm. In the present invention, since the motor 33a, 33b is installed outside the main body 10, it is necessary to maintain a complete sealing at the coupling site of the motor 33a, 33b and the first arm 34, for this purpose A sealing method such as inserting a magnetic fluid into the site may be applied.

본 발명에서 2개의 모터(33a, 33b)는 상호 역방향으로 회전하여 제 1 이송암과 제 2 이송암이 상호 역방향의 반도체 자재 이송 동작을 수행하도록 제어한다.In the present invention, the two motors 33a and 33b rotate in opposite directions to control the first transfer arm and the second transfer arm to perform the semiconductor material transfer operation in the opposite direction.

즉, 본 발명에서는 상하에 2개의 이송암이 배치되어 하나의 이송암이 전단의 수납장치로부터 처리할 웨이퍼(122)를 반출하여 프로세스 챔버(40)로 이송하는 동안 다른 하나의 이송암이 프로세스 챔버(40)로부터 처리가 완료된 웨이퍼(122)를 반출하여 수납장치 측으로 이송하는 동작이 수행되어 웨이퍼(122)의 처리 속도를 현저하게 향상시킬 수 있는 구조를 취하고 있다.That is, in the present invention, two transfer arms are disposed above and below the other transfer arm while the one transfer arm takes the wafer 122 to be processed from the front end storage device and transfers the wafer 122 to the process chamber 40. An operation of taking out the processed wafer 122 from the 40 and transferring the processed wafer 122 to the storage device side is performed so that the processing speed of the wafer 122 can be remarkably improved.

본 발명과 같이 이중 이송암을 사용하는 경우 상부 이송암의 동작시 상부에 존재하는 파티클(Particle)이 하부의 웨이퍼(122)로 떨어져 웨이퍼(122)가 오염될 수 있으므로 본체부 내부 중앙에 제 1 이송암과 제 2 이송암을 공간적으로 격리시키기 위한 격리 플레이트(32)가 설치되는 것이 바람직하다.In the case of using the double transfer arm as in the present invention, since the particles existing in the upper portion during the operation of the upper transfer arm fall to the lower wafer 122, the wafer 122 may be contaminated. Preferably, an isolation plate 32 is provided for spatially separating the transfer arm and the second transfer arm.

그리고, 격리 플레이트(32)에서 퍼징 라인(37b)의 상부 및 진공 라인(38b)의 상부측에 위치하는 각 모서리에는 퍼징 및 진공압 조절 동작 시 격리 플레이트(32) 의 상부 공간과 하부 공간이 연통할 수 있도록 제 1 및 제 2 쓰루홀(37a, 37b)이 형성되는 것이 보다 바람직하다.The upper space and the lower space of the isolation plate 32 communicate with each corner located at the upper side of the purging line 37b and the upper side of the vacuum line 38b in the isolation plate 32. More preferably, the first and second through holes 37a and 37b are formed.

상기와 같은 본 발명에 따르면, 프론트 엔드 모듈과 이송 챔버를 생략하고 로드락 챔버가 자재 수납장치로부터 직접 웨이퍼 등의 반도체 자재를 반출하여 바로 해당 프로세스 챔버로 전송할 수 있도록 하고, 로드락 챔버와 프로세스 챔버간에 기압 차를 완충하는 버퍼 챔버를 개재시켜 양 챔버의 직접 결합시 발생할 수 있는 양 챔버 간의 가스 누설을 미연에 방지할 수 있는 효과가 있다.According to the present invention as described above, the front end module and the transfer chamber are omitted, and the load lock chamber can directly transport semiconductor materials such as wafers directly from the material storage device to be transferred directly to the corresponding process chamber, and the load lock chamber and the process chamber. Through the buffer chamber to buffer the air pressure difference in the liver there is an effect that can prevent the gas leakage between the two chambers that may occur when the two chambers directly coupled.

비록 본 발명이 상기 언급된 바람직한 실시예와 관련하여 설명되어졌지만, 발명의 요지와 범위로부터 벗어남이 없이 다양한 수정이나 변형을 하는 것이 가능하다. 따라서 첨부된 특허청구의 범위는 본 발명의 요지에서 속하는 이러한 수정이나 변형을 포함할 것이다.Although the present invention has been described in connection with the above-mentioned preferred embodiments, it is possible to make various modifications or variations without departing from the spirit and scope of the invention. Accordingly, the appended claims will cover such modifications and variations as fall within the spirit of the invention.

Claims (3)

내부에 상호 역동작의 반도체 자재 이송 동작을 수행하여 반도체 자재 수납장치와 프로세스 챔버 간에 반도체 자재를 이송하는 듀얼 이송암이 설치되어 있는 로드락 챔버와 프로세스 챔버 간에 개재되어 양 챔버 간의 기압을 완충하는 버퍼 챔버에 있어서,A buffer that buffers air pressure between the chambers between the chamber and the load lock chamber where dual transfer arms are installed to transfer semiconductor materials between the semiconductor material storage device and the process chamber by performing mutually reversed semiconductor material transfer operations. In the chamber, 상기 로드락 챔버와 프로세스 챔버의 사이에 배치되고 양측면에 상기 반도체 자재의 반입 및 반출을 위한 개구가 형성된 본체부;A main body portion disposed between the load lock chamber and the process chamber and having openings on both sides thereof for carrying in and out of the semiconductor material; 상기 로드락 챔버 측에 형성된 상기 개구를 개폐시키기 위한 제 1 게이트 밸브; 및A first gate valve for opening and closing the opening formed at the load lock chamber side; And 상기 프로세스 챔버 측에 형성된 상기 개구를 개폐시키기 위한 제 2 게이트 밸브를 포함하는 것을 특징으로 하는 로드락 챔버와 프로세스 챔버 간의 기압 완충을 위한 버퍼 챔버.And a second gate valve for opening and closing the opening formed at the side of the process chamber. 제 1 항에 있어서,The method of claim 1, 상기 본체부 내의 진공압을 조절하기 위한 진공 라인이 더 형성되는 것을 특징으로 하는 로드락 챔버와 프로세스 챔버 간의 기압 완충을 위한 버퍼 챔버.Buffer chamber for buffering the air pressure between the load lock chamber and the process chamber characterized in that the vacuum line for adjusting the vacuum pressure in the main body portion is further formed. 제 2 항에 있어서,The method of claim 2, 상기 진공라인은The vacuum line is 상기 프로세스 챔버의 내부가 진공 상태이고 상기 로드락 챔버의 내부가 대기압 상태인 경우 본체부의 내부가 상기 프로세스 챔버보다 고진공 상태가 되도록 제어하고, 상기 로드락 챔버가 프로세스 챔버보다 고진공 상태인 경우 본체부의 내부가 상기 프로세스 챔버보다 저진공 상태가 되도록 제어하는 것을 특징으로 하는 로드락 챔버와 프로세스 챔버 간의 기압 완충을 위한 버퍼 챔버.When the inside of the process chamber is in a vacuum state and the inside of the load lock chamber is at atmospheric pressure, the inside of the body part is controlled to be in a higher vacuum state than the process chamber, and when the load lock chamber is in a higher vacuum state than the process chamber, the inside of the body part. Is controlled to be in a lower vacuum than the process chamber buffer chamber for pressure buffer between the load lock chamber and the process chamber.
KR1020070006812A 2007-01-23 2007-01-23 A Buffer Chamber For Buffering Air Pressure Between Loadlock Chamber and Process Chamber KR100849943B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070006812A KR100849943B1 (en) 2007-01-23 2007-01-23 A Buffer Chamber For Buffering Air Pressure Between Loadlock Chamber and Process Chamber

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070006812A KR100849943B1 (en) 2007-01-23 2007-01-23 A Buffer Chamber For Buffering Air Pressure Between Loadlock Chamber and Process Chamber

Publications (2)

Publication Number Publication Date
KR20080069296A true KR20080069296A (en) 2008-07-28
KR100849943B1 KR100849943B1 (en) 2008-08-01

Family

ID=39822561

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070006812A KR100849943B1 (en) 2007-01-23 2007-01-23 A Buffer Chamber For Buffering Air Pressure Between Loadlock Chamber and Process Chamber

Country Status (1)

Country Link
KR (1) KR100849943B1 (en)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04163937A (en) * 1990-10-29 1992-06-09 Fujitsu Ltd Manufacturing device for semiconductor
KR100951353B1 (en) * 2003-07-03 2010-04-08 삼성전자주식회사 Treatment system for liquid crystal display

Also Published As

Publication number Publication date
KR100849943B1 (en) 2008-08-01

Similar Documents

Publication Publication Date Title
JP7263639B2 (en) Substrate transfer section
US11631605B2 (en) Sealed substrate carriers and systems and methods for transporting substrates
JP4493955B2 (en) Substrate processing apparatus and transfer case
TWI408766B (en) Vacuum processing device
US10832928B2 (en) Systems, apparatus, and methods for an improved load port
JP6577944B2 (en) Processing equipment including on-the-fly substrate centering
US20180114710A1 (en) Equipment front end module and semiconductor manufacturing apparatus including the same
JP2011504288A5 (en)
TW200416775A (en) Loadport apparatus and method for use thereof
JP2011124565A (en) System and method for vacuum processing of semiconductor substrate to be processed
JP2688555B2 (en) Multi-chamber system
JP2000150613A (en) Transporting device for object to be treated
JP3350107B2 (en) Single wafer type vacuum processing equipment
KR100849943B1 (en) A Buffer Chamber For Buffering Air Pressure Between Loadlock Chamber and Process Chamber
KR100717990B1 (en) A transportation system for processing semiconductor material
JP2024006121A (en) Vacuum wafer transfer system
KR100854410B1 (en) A Transportation System For Processing Semiconductor Material
KR20020084853A (en) Cluster tool for manufacturing a wafer
KR20220139945A (en) Robot and substrate transfer system having same
JP6972110B2 (en) Board processing equipment
KR100763446B1 (en) A loadlock chamber having dual-arm
KR20150026380A (en) Substrate treating apparatus, cluster equipment for treating substrate, and substrate treating method
KR100807600B1 (en) A indexer having function of preheating semiconductor material
JP3182496B2 (en) Vacuum load / unload method, vacuum gate valve, and vacuum transfer container
JPWO2020252476A5 (en)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120807

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee