KR20080046088A - 디지털 액체 유량계에 의해 낮은 k 유전체 막을 위한개시층을 개선하는 방법 - Google Patents

디지털 액체 유량계에 의해 낮은 k 유전체 막을 위한개시층을 개선하는 방법 Download PDF

Info

Publication number
KR20080046088A
KR20080046088A KR1020070108877A KR20070108877A KR20080046088A KR 20080046088 A KR20080046088 A KR 20080046088A KR 1020070108877 A KR1020070108877 A KR 1020070108877A KR 20070108877 A KR20070108877 A KR 20070108877A KR 20080046088 A KR20080046088 A KR 20080046088A
Authority
KR
South Korea
Prior art keywords
porogen
flow rate
organosilicon
compound
dielectric layer
Prior art date
Application number
KR1020070108877A
Other languages
English (en)
Other versions
KR100899726B1 (ko
Inventor
더스틴 더블유. 호
주안 칼로스 로샤-알바레즈
알렉산드로스 티. 데모스
켈빈 챈
나가라쟌 라자고파란
비스웨스워렌 시바라마크리쉬난
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080046088A publication Critical patent/KR20080046088A/ko
Application granted granted Critical
Publication of KR100899726B1 publication Critical patent/KR100899726B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

산화 가스를 처리 챔버로 유동시킴으로써 낮은 유전상수 막을 증착하기 위한 방법으로서, 유기실리콘 유량에서 디지털 액체 유량계를 통해 벌크 저장 용기로부터 기화 주입 밸브로 유기실리콘 화합물을 유동시키는 단계, 상기 유기실리콘 화합물을 기화시키고 상기 유기실리콘 화합물과 캐리어 가스를 처리 챔버로 유동시키는 단계, 개시층을 증착하도록 상기 유기실리콘 유량을 유지시키는 단계, 포로겐 유량에서 디지털 액체 유량계를 통해 벌크 저장 용기로부터 기화 주입 밸브로 포로겐 화합물을 유동시키는 단계, 상기 포로겐 화합물을 기화시키고 상기 포로겐 화합물과 캐리어 가스를 처리 챔버로 유동시키는 단계, 전이층을 증착하면서 상기 유기실리콘 유량과 상기 포로겐 유량을 증가시키는 단계, 및 포로겐 함유 유기실리케이트 유전체 층을 증착하기 위해 제 2 유기실리콘 유량과 제 2 포로겐 유량을 유지하는 단계를 포함한다.

Description

디지털 액체 유량계에 의해 낮은 k 유전체 막을 위한 개시층을 개선하는 방법{METHOD OF IMPROVING INITIATION LAYER FOR LOW-K DIELECTRIC FILM BY DIGITAL LIQUID FLOW METER}
본 발명의 실시예들은 일반적으로 집적회로들의 제조에 관한 것이다. 보다 구체적으로는, 본 발명의 실시예들은 기판상에 유기실리케이트 층들을 증착하기 위한 방법에 관한 것이다.
집적회로들의 제조시에, 플라즈마 프로세스들은 열 프로세스들을 대체하기 위해 점차 사용되고 있다. 플라즈마 처리는 열 처리에 비해 몇가지 장점들을 제공한다. 예를 들어, 플라즈마 강화 화학적 기상 증착(PECVD)은 증착 프로세스들이 유사한 열 프로세스들에서 요구되는 온도들보다 실질적으로 더 낮은 온도들에서 수행될 수 있도록 한다. 이것은 대규모 또는 초대규모 집적회로(VLSI 또는 ULSI) 소자 제조에서와 같이, 긴축 열 버짓(stringent thermal budget) 요구들을 갖는 프로세스들에 바람직하다.
집적회로들상의 소자들의 크기를 추가적으로 감소시키기 위해, 인접한 금속 라인들간의 용량성 커플링(capactive coupling)을 감소시키도록 낮은 유전상수(낮 은-k)를 갖는 절연체들을 사용하고 낮은 저항을 갖는 전도성 물질들을 사용할 필요성이 있다. 낮은-k 유전체 층들을 형성하는 방법들은 탄소 도핑된 실리콘 산화물 막들과 같은 유기실리케이트 유전체 층들을 형성하기 위해 유기실리케이트 프리커서 가스들의 PECVD를 포함한다. 이러한 영역에서 한가지 도전은 실리콘, 이산화 실리콘, 실리콘 카바이드, 실리콘 질화물, 산소-도핑된 실리콘 카바이드, 티타늄, 티타늄 질화물, 탄탈, 탄탈 질화물, 텅스텐, 알루미늄, 구리, 및 이들의 조합물들을 포함하는 인접한 유전체 확산 배리어 층 물질들 또는 하부에 놓인 기판에 대한 바람직한 접착 특성들을 나타내고 낮은 k 값을 갖는, 탄소 도핑된 실리콘 산화물 유전체 막을 개발하는 것이었다. 불충분한 접착은 하부 기판으로부터 낮은 k 유전체 층의 분리(delamiation) 및 소자의 잠재적인 결함을 초래할 수 있다. 탄소 도핑된 실리콘 산화물 막들의 접착을 개선하는 한가지 방법은 탄소 도핑된 실리콘 산화물 층과 하부 배리어 층 사이에 얇은 실리콘 산화물 막과 얇은 전이(transition) 막을 삽입하는 것이다. 그러나, 얇은 실리콘 산화물과 전이 층들은 유전상수의 현저한 감소를 유지하기 위해 결합된 유전체 막의 최소 부분이어야 한다. 따라서, 제어되는 실리콘 산화물 층과 전이층을 갖는 낮은 유전상수 물질들을 제조하기 위한 프로세스가 필요하다.
본 발명의 실시예들은 일반적으로 낮은 유전상수 막들을 증착하기 위한 방법을 제공한다. 일 실시예에서, 방법은 전력공급되는 전극을 갖는 처리 챔버내에 기판을 위치시키는 단계, 하나 이상의 산화 가스들을 상기 처리 챔버로 유동시키는 단계, 제 1 유기실리콘 유량에서 제 1 디지털 액체 유량계를 통해 제 1 벌크 저장 용기(bulk storage container)로부터 제 1 기화(vaporization) 주입 밸브로 유기실리콘 화합물을 유동시키는 단계, 상기 유기실리콘 화합물을 기화시키고 상기 유기실리콘 화합물과 캐리어 가스를 처리 챔버로 유동시키는 단계, RF 전력의 존재에서 개시층을 증착하기 위해 상기 제 1 유기실리콘 유량을 유지하는 단계, 제 1 포로겐(porogen) 유량에서 제 2 디지털 액체 유량계를 통해 제 2 벌크 저장 용기로부터 제 2 기화 주입 밸브로 포로겐 화합물을 유동시키는 단계, 상기 포로겐 화합물을 기화시키고 캐리어 가스와 함게 상기 포로겐 화합물을 처리 챔버로 유동시키는 단계, RF 전력의 존재에서 전이층을 증착하면서 상기 제 1 유기실리콘 유량과 제 1 포로겐 유량을 증가시키는 단계, 및 RF 전력의 존재에서 포로겐 함유 유기실리케이트 유전체층을 증착하기 위해 제 2 유기실리콘 유량과 제 2 포로겐 유량을 유지하는 단계에 의해, 유기실리케이트 유전체 막의 증착을 제공한다.
일 실시예에서, 제 1 유기실리콘 유량은 약 1초동안 유지되고, 유기실리콘 화합물은 테트라메틸사이클로테트라실록산, 옥타메틸사이클로테트라실록산, 펜타메틸사이클로펜타실록산, 헥사메틸사이클로트리실록산, 디에톡시메틸실란, 디메틸디실록산, 헥사메틸사이클로트리실록산, 디에톡시메틸실란, 디메틸디실록산, 테트라 실라노-2,6-디옥시-4,8-디메틸렌, 테트라메틸디실록산, 헥사메틸디실록산, 1,3-비스(실라노메틸렌)-디실록산, 비스(1-메틸디실록사닐)메탄, 비스(1-메틸디실록사닐)프로판, 헥사메톡시디실록산, 디메틸디메톡시실란, 또는 디메톡시메틸비닐실란 일 수 있다. 포로겐 화합물은 부타디엔, 아이소피렌(isoprene), 사이클로헥사디엔, 바이사이클로헵타디엔, 1-메틸-4-(1-메틸에틸)-1,3-사이클로헥사디엔, 노보나디엔(norbonadiene), 1-메틸-4-(1-메틸에틸)-벤젠, 3-카렌(carene), 펜콘(fenchone), 리모넨(limonene), 사이클로펜텐 산화물, 비닐-1,4-디옥시닐 에테르, 비닐 퍼릴 에테르, 비닐-1,4-디옥신(dioxin), 비닐 푸란, 메틸 푸로에이트, 퍼릴(furyl) 포메이트(furyl formate), 퍼릴 아세테이트, 퍼랄디하이드(furaldehyde), 디푸릴(difuryl) 켑톤, 디푸릴 에테르, 디퍼푸릴(difurfuryl) 에테르, 푸란, 또는 1,4-디옥신 일 수 있다. 포로겐 화합물은 약 200 mg/min 내지 600 mg/min의 제 1 포로겐 유량을 가질 수 있고, 약 400 mg/min./sec. 내지 약 800 mg/min./sec.의 상승율(ramp-up rate)을 가질 수 있다.
본 발명의 상기 인용된 특징들이 상세히 이해될 수 있는 방식으로, 상기에서 간략히 요약된 본 발명의 보다 상세한 설명이 실시예들을 참조로 이루어질 수 있으며, 그 일부는 첨부된 도면들에 도시된다. 그러나, 첨부된 도면들은 본 발명의 전형적인 실시예들만을 도시하므로, 그 범주를 제한하는 것으로 간주되어서는 안되며, 본 발명은 다른 동일한 효과적인 실시예들에 적용될 수 있다는 것을 유의해야 한다.
모든 목적을 위해 미국특허 제6,541,367호, 제6,596,627호, 및 제6,913,992호가 참조로 본 발명에 포함된다. 이들 특허들은 낮은 유전상수를 갖는 나노-다공성(nano-porous) 실리콘 산화물 층의 증착을 기술한다. 나노-다공성 실리콘 산화물 층은 열적으로 불안정한 유기 그룹들을 선택적으로 함유하는 실리콘/산소 함유 물질의 플라즈마 강화(PECVD) 또는 마이크로파 강화 화학적 기상 증착에 의해, 및 실리콘 산화물 층에 균일하게 분산되는 미세 보이드들(microscopic voids)을 형성하는 증착된 실리콘/산소 함유 물질의 제어되는 어닐링에 의해 형성된다. 실리콘 산화물 층에 대한 미세 가스 포켓들(pockets)의 상대적 부피는 어닐링 이후 낮은 유전상수들을 제공하는 밀폐된 셀 기포(cell foam) 구조를 바람직하게 유지하도록 제어된다. 나노-다공성 실리콘 산화물 층들은 약 3.0 미만, 바람직하게는 약 2.5 미만의 유전상수들을 갖는다.
본 발명의 실시예들은 캘리포니아, 산타클레라의 어플라이드 머티어리얼스 사로부터 상업적으로 이용가능한 PRODUCER® SE CVD 시스템 또는 DXZ® CVD 시스템의 변형을 참조로 이하에서 예시적으로 기술된다. Producer® SE CVD 시스템(예, 200mm 또는 300mm)은 탄소-도핑된 실리콘 산화물들과 다른 물질들을 증착하는데 사용될 수 있는 2개의 고립된 처리 영역들을 가지며, 본 발명에 참조로 포함되는 미국특허 제5,855,681호 및 제6,495,233호에 기술된다. DXZ® CVD 챔버는 본 발명에 참조로 포함되는 2002년 4월 2일자로 등록된 미국특허 제6,364,954호에 개시되어 있다.
도 1은 본 발명의 일 실시예에 따른 PECVD 시스템(100)의 단면도를 도시한 다. PECVD 시스템(100)은 일반적으로 힌지에 의해 챔버 몸체(102)에 부착될 수 있는 챔버 리드(104)를 지지하는 챔버 몸체(102)를 포함한다. 챔버 몸체(102)는 처리 영역(120)을 규정하는 측벽들(112)과 저면 벽(116)을 포함한다. 챔버 리드(104)는 반응물과 세정 가스들을 처리 영역(120)으로 전달하기 위해 관통 배치된 하나 이상의 가스 분배 시스템들(108)을 포함할 수 있다. 측벽들(112)에 형성되고 펌핑 시스템(164)에 결합된 주변 펌핑 채널(125)은 가스들을 처리 영역(120)으로부터 배출시키고 처리 영역(120)내에서 압력을 제어하도록 구성된다. 2개의 통로들(122, 124)은 저면 벽(116)에 형성된다. 처리되는 기판을 지지하고 가열하기 위한 히터 페디스털(pedestal)(128)의 스템(stem)(126)은 통로(122)를 관통한다. 기판 리프트 핀들(161)을 동작시키도록 구성된 로드(130)는 통로(124)를 관통한다.
히터 페디스털(128)은 스템(126)에 결합된 구동 시스템(103)에 의해 구동되는 처리 영역(120)에 이동가능하게 배치된다. 히터 페디스털(128)은 목표된 처리 온도로 그 상부에 위치된 기판을 가열하기 위해, 예를 들어 저항성 엘리먼트들과 같은 가열 엘리먼트들을 포함할 수 있다. 선택적으로, 히터 페디스털(128)은 램프 어셈블리와 같은 외부 가열 엘리먼트에 의해 가열될 수 있다. 구동 시스템(103)은 처리 영역(120)내에 히터 페디스털(128)을 상승시키거나 하강시키기 위해, 선형 액추에이터들, 또는 모터 및 감속 기어 어셈블리를 포함할 수 있다.
바람직하게는 세라믹 또는 그 유사물로 이루어진 챔버 라이너(liner)(127)는 부식 처리 환경으로부터 측벽들(112)을 보호하기 위해 처리 영역(120)에 배치된다. 챔버 라이너(127)는 측벽들(112)에 형성된 리지(ledge)(129)에 의해 지지될 수 있 다. 다수의 배출 포트들(131)은 챔버 라이너(127)상에 형성될 수 있다. 다수의 배출 포트들(131)은 처리 영역(120)을 주변 펌핑 채널(125)에 접속시키도록 구성된다.
반응물과 세정 가스들을 전달하도록 구성된 가스 분배 어셈블리(108)는 처리 영역(120)으로 가스들을 전달하기 위해 챔버 리드(104)를 통해 배치된다. 가스 분배 어셈블리(108)는 가스를 샤워헤드 어셈블리(142)로 전달하는 가스 입구 통로(140)를 포함한다. 샤워헤드 어셈블리(142)는 면판(faceplate)(146)의 중간에 배치된 차단기 플레이트(144)를 갖는 환형 베이스 플레이트(148)로 구성된다. 샤워헤드 어셈블리(142)에 결합된 RF(무선 주파수) 소스(165)는 샤워헤드 어셈블리(142)의 면판(146)과 히터 페디스털(128) 사이에 플라즈마의 생성을 용이하게 하기 위해, 샤워헤드 어셈블리(142)에 바이어스 전위를 제공한다. 일 실시예에서, RF 소스(165)는 예를 들어, 13.56MHz RF 생성기와 같은 고주파 무선주파수(HFRF) 전원일 수 있다. 다른 실시예에서, RF 소스(165)는 예를 들어, 300KHz RF 생성기와 같은 저주파 무선주파수(LFRF) 전원과 HFRF 전원을 포함할 수 있다.
냉각 채널(147)은 동작 중에 환형 베이스 플레이트(148)를 냉각시키기 위해 가스 분배 시스템(108)의 환형 베이스 플레이트(148)에 형성된다. 냉각 입구(145)는 물 등과 같은 냉각 유체를 냉각 채널(147)로 전달한다. 냉각 유체는 냉각제 출구(149)를 통해 냉각 채널(147)을 빠져 나간다.
챔버 리드(104)는 캐리어 가스 및/또는 프리커서 가스를 제공하도록 구성된 하나 이상의 가스 소스들(172)과 하나 이상의 가스 입구들(166)로부터 가스들을 전 달하기 위한 매칭 통로들을 추가로 포함한다.
하나 이상의 처리 가스들은 가스 입구 매니폴드(167)를 통해 처리 영역(120)으로 전달될 수 있다. 전형적으로, 기판상에 목표된 물질층을 증착하기 위해 처리 챔버의 처리 영역으로 전달될 프리커서로부터 가스 또는 증기를 형성하는 3가지 방법들이 있다. 제 1 방법은 프리커서가 앰풀(ampoule)에서 고체로부터 기체(또는 증기)로 상(phase)이 변경될 수 있도록 하는 제어되는 프로세스를 이용하여, 고체 형태의 프리커서가 기화되는 승화 프로세스이다. 제 2 방법은 캐리어 가스가 온도 제어되는 액체 프리커서를 통해 기포가 되고(bubbled) 캐리어 가스가 프리커서 가스와 떨어져 운반되는, 기화 프로세스에 의해 프리커서의 가스를 생성하는 것이다. 제 3 방법에서, 액체 프리커서가 기화기(vaporizer)로 전달되고 액체 프리커서가 기화기에 전달된 부가적인 에너지에 의해 액체로부터 기체로 상을 전이하는, 액체 전달 시스템에서 프리커서 가스가 생성된다. PECVD는 일반적으로 하나 이상의 프리커서 전달 시스템들을 포함한다.
도 1은 디지털 액체 유량계(153)를 갖는 액체 전달 가스 소스(150)를 개념적으로 도시한다. 낮은 증기압 액체 프리커서는 처리 챔버 몸체(102)에 인접하거나 떨어져 위치된 벌크 저장 용기(앰풀)(158)에 저장될 수 있다. 벌크 저장 용기(158)에 저장된 액체 프리커서는 공급 라인(159)에 의해 공급되는 약 15 내지 60 psig에서 헬륨 또는 질소와 같은 불활성 가스의 존재하에서 유지된다. 벌크 저장 용기(158)내의 가스 압력은 액체 프리커서가 다른 증기 전달 시스템 컴포넌트들로 유동되도록 액체 프리커서상에 충분한 압력을 제공하고, 이에 따라 액체 프리커서 를 전달하는 펌프의 필요성을 제거한다. 벌크 저장 용기(158)의 출구에는 액체 프리커서의 유지 또는 보충을 위해 벌크 저장 용기(158)를 격리시키기 위한 셧-오프(shut-off) 밸브(152)가 제공된다. 벌크 저장 용기(158)상의 압력 헤드의 결과로서, 벌크 저장 용기(158)로부터의 액체 프리커서가 프리커서 공급 라인(156)으로 제공되고, 이를 통해 흐르는 프리커서의 양을 측정하는 디지털 액체 유량계(153)로 제공된다. 액체 프리커서는 액체 유량계(153)로부터, 액체 프리커서를 프리커서 가스로 기화시키고 프리커서 가스를 가스 입구(166)를 통해 챔버로 전달하는 기화 주입 밸브(151)로 유동된다.
디지털 액체 유량계(LFM)(153) 및 기화 주입 밸브(151)는 프로세스 동안 처리 영역(120)에 공급되는 프리커서 가스의 유량을 결정 및 제어하는데 사용될 수 있다. 일 실시예에서, 디지털 액체 유량계(153)는 Horiba/Stec로부터 상업적으로 이용가능한 LF-F 직렬 디지털 액체 질량 유량계/제어기일 수 있다. 디지털 LFM(153)이 유동 설정점(flow set point)을 수신할 때, 디지털 LFM(153)은 목표된 유량을 설정하도록 기화 주입 밸브(151)의 내부 밸브 전압을 동시에 조절하거나 방전시킨다. 동시에, PID(비례-적분-미분) 제어 또한 개시된다. 순간적인 내부 밸브 전압 방전은 종래의 아날로그 액체 유량계들을 이용할 때 응답 시간 및 유동 정확도와 비교하여, 약 +/- 2% 내지 약 +/- 1%의 유동 정확도를 개선하면서, 약 50%만큼 유동 설정 응답 시간을 감소시킬 수 있다. 디지털 LFM(153)의 PID 제어는 자동으로 응답 시간들을 최적화할 수 있고, 컴퓨터 모니터에서 관찰될 수 있는 PID 파라미터들을 디지털로 기록할 수 있으며, LFM 몸체의 다이오드를 조절함으로써 아 날로그 PID 튜닝을 대체할 수 있다.
캐리어 가스 공급기(157)는 헬륨 또는 질소와 같은 캐리어 가스를 기화 주입 밸브(151)로 공급한다. 캐리어 가스는 기화 주입 밸브(151)로 들어가는 가열된 캐리어 가스 스트림이 기화 주입 밸브(151)내에서 기화 중인 프리커서 액체의 효율적인 기화를 방해하지 않도록, 캐리어 가스를 일정 온도로 예열(preheat)하는 열 교환기(미도시)를 통과할 수 있다. 일 실시예에서, 가스 열 교환기는 Lintec으로부터 상업적으로 이용가능한 캐리어 가스 열 교환기 Model HX-01과 같은 저항성 히터를 이용하여 가스를 가열할 수 있다.
도 1은 하나의 액체 전달 가스 소스(150)를 도시하지만, PECVD 시스템(100)은 얼마나 많은 액체 프리커서들이 챔버로 유입되는지에 따라, 2개 이상의 액체 전달 가스 소스(150)를 포함할 수 있다는 것을 고려한다.
도 2는 본 발명의 일 실시예에 따른 유기실리케이트 유전체 층을 증착하는 방법을 도시하는 프로세스 흐름도이다. 실리콘/산소 물질은 하나 이상의 산화 가스들을 갖는 열적으로 불안정한 그룹들(포로겐)을 가진 하나 이상의 불포화(unsaturated) 비-실리콘 화합물들과 하나 이상의 유기실리콘 화합물들을 반응시킴으로써, 화학적으로 기상 증착된다. 단계(201)에서, 기판은 PECVD를 수행할 수 있는 처리 챔버에서 기판 지지부상에 위치된다. 단계(203)에서, 하나 이상의 산화 가스들은 샤워헤드와 같은 가스 분배판을 통해 챔버로 유입된다. 단계(205)에서, 유기실리콘 화합물은 제 1 디지털 액체 유량계를 통해 제 1 벌크 저장 용기로부터 제 1 기화 주입 밸브로 유동된다. 제 1 디지털 액체 유량계는 유기실리콘 화합물 의 유량을 제어 및 모니터링한다. 단계(207)에서, 유기실리콘 화합물은 기화되고 불활성 캐리어 가스와 결합되며, 결합된 유기실리콘/캐리어 가스 혼합물은 샤워헤드와 같은 가스 분배판을 통해 처리 챔버로 유입된다. 단계(209)에서, 유기실리콘 화합물은 챔버에 플라즈마 처리 조건들을 제공하기 위해, 무선주파수(RF) 전력이 샤워헤드와 같은 전극에 인가되면서 약 1초 동안 제 1 유기실리콘 유량에서 유동된다. 가스 혼합물은 하부 기판에 강하게 접착되는 실리콘 산화물 층을 포함하는 개시층을 증착하기 위해, RF 전력의 존재에서 챔버에서 반응된다.
단계(211)에서, 포로겐 화합물은 제 2 디지털 액체 유량계를 통해 제 2 벌크 저장 용기로부터 제 2 기화 주입 밸브로 유동된다. 제 2 디지털 액체 유량계는 포로겐 화합물의 유량을 제어 및 모니터링한다. 단계(213)에서, 포로겐 화합물은 기화되고 불활성 캐리어 가스와 결합되며, 결합된 포로겐/캐리어 가스 혼합물은 단계(209)의 개시층 증착의 종료시에, 샤워헤드와 같은 가스 분배판을 통해 처리 챔버로 유입된다. 단계(215)에서, 유기실리콘 화합물의 유량은 약 100 mg/min./sec. 내지 약 5000 mg/min./sec., 바람직하게는 약 1000 mg/min./sec. 내지 약 2000 mg/min./sec.의 상승율에서 증가된다. 단계(215)에서, 하나 이상의 포로겐 화합물들의 유량은 약 100 mg/min./sec. 내지 약 5000 mg/min./sec., 바람직하게는 약 200 mg/min./sec. 내지 약 1000 mg/min./sec., 보다 바람직하게는 약 400 mg/min./sec. 내지 약 800 mg/min./sec.의 상승율에서 증가된다. RF 전력은 제 2 유기실리콘 유량 및 제 2 포로겐 유량에 도달할 때까지 전이층을 증착하기 위해 인가된다. 유기실리콘 화합물의 유량 증가 및 하나 이상의 포로겐 화합물들의 유량 증가는 동시에 발생할 수 있으므로, 개시층 증착 직후, 포로겐 화합물이 유입되고 포로겐 유량이 상승됨에 따라(단계 217) 유기실리콘 화합물의 유량이 상승된다. 본 실시예에서, 하나의 전이층이 형성된다. 선택적으로, 유기실리콘 화합물의 유량 증가는 임의의 포로겐 화합물의 유입 이전에 부분적으로 또는 전체적으로 완료될 수 있으므로, 제 1 전이층이 존재하는 임의의 포로겐 화합물 없이 제 1 유기실리콘 유량을 증가시키는 동안 형성된다. 유기실리콘 화합물의 상승의 종료 또는 부분적인 종료시, 포로겐 화합물의 도입 및 유량 증가에 의해 제 2 전이층이 형성된다.
전이층 증착(단계 217)의 종료시, 유기실리콘 유량은 제 2 유기실리콘 유량에서 유지되고, 포로겐 유량은 제 2 포로겐 유량에서 유지되어, RF 전력의 존재에서 포로겐 함유 유기실리케이트 유전체 층을 증착시킨다(단계 219).
도 3은 본 발명의 실시예들에 따라 형성되는 포로겐 유기실리케이트 유전체 층의 단면도를 개념적으로 도시한다. 유기실리케이트 유전체 층(310)은 PECVD를 수행할 수 있는 처리 챔버에 배치된 기판의 표면의 하부층(예, 배리어 층)(320)상에 증착된다. 하나 이상의 유기실리콘 화합물들의 유량을 포함하는 가스 혼합물의 플라즈마는 도 2의 단계(209)에 관하여 전술한 것처럼 형성되어, 하부층(320)에 강한 접착력을 가진 순수 실리콘 산화물 개시층(330)을 증착시킨다. 개시층(330)은 약 5Å 내지 약 100Å의 범위, 바람직하게는 약 20Å 내지 약 60Å 범위의 두께로 증착될 수 있다.
개시층(330)을 증착한 이후, 전이층(340)은 도 2의 단계(217)에 관하여 전술 한 것처럼, 유기실리콘 유량의 상승 및 포로겐 유량의 상승 동안 형성된다. 전이층(340)은 포로겐 실리콘 산화물 층이 증착됨에 따라 실리콘 산화물 층에서 포로겐의 농도가 증가하는, 포로겐의 농도 기울기를 가질 수 있다. 유기실리콘 화합물과 포로겐 화합물의 유량들의 증가 제어는 전이층 증착이 약 200Å 이하, 바람직하게는 약 150Å 내지 약 200Å의 전이층(340) 두께를 초래할 수 있도록 한다.
최종 가스 혼합물 조성에 도달할 때, 도 2의 단계(219)와 관련하여 전술한 것처럼, 제 2 유기실리콘 유량과 제 2 포로겐 유량을 포함하는 최종 가스 혼합물의 플라즈마가 형성되어, 포로겐-함유 유기실리케이트 유전체 층(350)을 증착시킨다. 포로겐-함유 유기실리케이트 유전체 층(350)은 RF 전력이 종료될 때까지 약 200Å 내지 약 10,000Å 범위의 두께로 증착될 수 있다.
또한, 개시층(330)과 전이층(340)의 두께 감소는 아날로그 LFM을 이용하여 증착되는 유기실리케이트 유전체 층에 대해 약 2.55 이상의 유기실리케이트 유전체 층(310)의 모든 유전상수를 약 2.50의 유전상수로 감소시킨다. 부가적으로, 유기실리케이트 유전체 층(310)의 막 응력은 약 61 MPa 내지 약 58 MPa로 감소될 수 있고, 유기실리케이트 유전체 층(310) 대 하부층(320)의 접착 세기는 약 5.7 J/m2 내지 약 6.0 J/m2로 증가될 수 있다.
포로겐 화합물의 유량의 증가 제어는 유기실리케이트 유전체 층(310)을 형성하는 입자 결함들을 거의 초래하지 않는다. 200 mg/min.의 초기 포로겐 유동으로, 약 10 미만의 결함들이 약 400 mg/min./sec. 내지 약 800 mg/min./sec.의 포로겐 상승율들에 대해 관찰되었다. 400 mg/min.의 초기 포로겐 유동을 갖는 포로겐에 대해, 약 10 미만의 결함들이 약 400 mg/min./sec. 내지 약 600 mg/min./sec., 및 700 mg/min./sec. 내지 약 800 mg/min./sec.의 포로겐 상승율들에 대해 관찰되었다. 그러나, 약 650 mg/min./sec.의 상승율에서, 거의 100 결함들이 관찰되었다. 약 600 mg/min.의 초기 포로겐 유동, 및 약 400 mg/min./sec. 내지 약 800 mg/min./sec.의 포로겐 상승율들에서, 관찰된 결함은 약 100 이상으로 간주될 수 있다.
유기실리케이트 층들의 증착을 위한 처리 조건들 및 프리커서들
본 발명에서 기술되는 임의의 실시예들에서, 유기실리케이트 유전체 층은 유기실리콘 화합물과 포로겐을 포함하는 처리 가스 혼합물로부터 증착된다. 유기실리케이트 층은 유전체 층으로서 사용될 수 있다. 유전체 층은 소자내에서 상이한 레벨들로 사용될 수 있다. 예를 들어, 유전체 층은 프리메탈(premetal) 유전체 층, 인터메탈(intermetal) 유전체 층, 또는 게이트 유전체 층으로서 사용될 수 있다. 유기실리케이트 층은 바람직하게는 낮은-k 유전체 층이고, 즉 약 2.50의 유전상수를 갖는다.
광범위한 처리 가스 혼합물들이 유기실리케이트 유전체 층을 증착하는데 사용될 수 있고, 그러한 가스 혼합물들의 제한되지 않는 예들이 이하에서 제공된다. 일반적으로, 가스 혼합물은 하나 이상의 유기실리콘 화합물들(예, 제 1 및 제 2 유기실리콘 화합물), 하나 이상의 포로겐 화합물들, 캐리어 가스, 및 산화 가스를 포함한다. 이러한 컴포넌트들은 탄화수소들(예, 지방족 탄화수소들)과 같은 부가적 인 컴포넌트들을 포함하는 많은 다른 가스 혼합물들이 고려되기 때문에, 제한되는 것으로 해석되어서는 안된다.
본 발명에서 사용되는 "유기실리콘(organosilicon) 화합물"이란 용어는 유기 그룹들에서 탄소 원자들을 포함하는 실리콘-함유 화합물들을 지칭하는 것으로 의도된다. 유기실리콘 화합물은 하나 이상의 사이클릭 유기실리콘 화합물들, 하나 이상의 지방족 유기실리콘 화합물들, 또는 이들의 조합물을 포함할 수 있다. 몇몇 예시적인 유기실리콘 화합물들은 테트라메틸사이클로테트라실록산(TMCTS), 옥타메틸사이클로테트라실록산(OMCTS), 펜타메틸사이클로펜타실록산, 헥사메틸사이클로트리실록산, 디에톡시메틸실란(DEMS), 디메틸디실록산, 테트라실라노-2,6-디옥시-4,8-디메틸렌, 테트라메틸디실록산, 헥사메틸디실록산(HMDS), 1,3-비스(실라노메틸렌)디실록산, 비스(1-메틸디실록사닐)메탄, 비스(1-메틸디실록사닐)프로판, 헥사메톡시디실록산(HMDOS), 디메틸디메톡시-실란(DMDMOS), 및 디메톡시메틸비닐실란(DMMVS), 또는 이들의 유도체들을 포함한다. 하나 이상의 유기실리콘 화합물들은 약 10 mg/min. 내지 약 5,000 mg/min., 바람직하게는 약 300 mg/min. 내지 약 3,000 mg/min. 범위의 유량에서 처리 챔버로 유입될 수 있다.
본 발명에서 사용되는 바와 같은 "포로겐(porogen) 화합물"이란 용어는 상승되는 온도들에 순차적으로 노출될 때, 낮은 끊는점들을 가진 휘발성 종을 형성하기 위해 열적으로 분해되고 증착되는, 열적으로 불안정한 분자들을 형성하도록 플라즈마-유지되는 산화 환경과 반응하는 특성을 가진 열적으로 불안정한 그룹들을 갖는 불포화 비-실리콘 함유 컴포넌트들을 지칭하는 것으로서 의도된다. 증착된 막으로 부터 열적으로 불안정한 그룹의 휘발성 종의 분해 및 방출은 구조물에 보이드들을 남기고, 구조물의 밀도를 감소시킨다. 열 프로세스에 의해 증착된 막내에서 내장되는 화학적으로 반응되는 고체 물질을 선택적으로 제거하면, 낮은 유전상수들을 갖는 저밀도 막들을 초래한다. 몇몇 예시적인 포로겐 화합물들은 부타디엔, 아이소피렌, 사이클로헥사디엔, 바이사이클로헵타디엔, 1-메틸-4-(1-메틸에틸)-1, 3-사이클로헥사디엔 (ATP 또는 알파-테르피넨(Terponene)), 노르보나디엔, 1-메틸-4-(1-메틸에틸)-벤젠 (시멘), 3-카렌, 펜콘, 리모넨, 사이클로펜텐 산화물, 비닐-1,4-디옥시닐 에테르, 비닐 퍼릴 에테르, 비닐-1,4-디옥신, 비닐 푸란, 메틸 푸로에이트, 퍼릴 포메이트, 퍼릴 아세테이트, 푸랄디히드, 디퍼릴 켑톤, 디퍼릴 에테르, 디퍼퍼릴 에테르, 푸란, 1,4-디옥신, 및 이들의 플루오르화 탄소 유도체들과 같은 선형 또는 사이클릭 분자들을 포함한다. 하나 이상의 포로겐 화합물들은 약 10 mg/min. 내지 약 5,000 mg/min. 범위, 바람직하게는 약 500 mg/min. 내지 약 3,000 mg/min. 범위의 유량에서 처리 챔버로 유입될 수 있다.
가스 혼합물은 선택적으로 하나 이상의 캐리어 가스들을 포함한다. 전형적으로, 하나 이상의 캐리어 가스들은 하나 이상의 유기실리콘 화합물들과 하나 이상의 포로겐 화합물들과 함께 처리 챔버로 유입된다. 사용될 수 있는 캐리어 가스들의 예들은 헬륨, 아르곤, 이산화 탄소, 및 이들의 조합물들을 포함한다. 하나 이상의 캐리어 가스들은 부분적으로 챔버 내부의 크기에 따라, 약 20,000 sccm 미만의 유량에서 처리 챔버로 유입될 수 있다. 바람직하게는, 캐리어 가스의 유동은 약 500 sccm 내지 약 1,500 sccm 범위, 보다 바람직하게는 약 1,000 sccm이다. 몇 몇 프로세스들에서, 헬륨 또는 아르곤과 같은 불활성 가스가 처리 챔버에 주입되어, 반응 처리 가스들이 유입되기 이전에 챔버의 압력을 안정화시킨다.
또한, 가스 혼합물은 하나 이상의 산화 가스들을 포함한다. 적절한 산화 가스들은 산소(O2), 오존(O3), 일산화질소(N2O), 일산화탄소(CO), 이산화탄소(CO2), 및 이들의 조합물들을 포함한다. 산화 가스의 유동은 부분적으로 챔버 내부의 크기에 따라, 약 100 sccm 내지 약 1,000 sccm 범위이다. 전형적으로, 산화 가스의 유동은 약 100 sccm 내지 약 1,000 sccm 범위에 있다. 산소 또는 산소 함유 화합물들의 분해는 증착 챔버에 진입하기 이전에 마이크로파 챔버에서 및/또는 챔버내에 처리 가스에 인가되는 RF 전력에 의해 발생할 수 있다.
증착 동안, 제어되는 플라즈마는 도 1에 도시된 것처럼, RF 전원(165)을 이용하여 샤워헤드에 인가되는 RF 에너지에 의해 기판에 인접한 챔버에서 전형적으로 형성된다. 선택적으로, RF 전력은 기판 지지부에 제공될 수 있다. 플라즈마는 저주파 RF(LFRF) 전력 및 고주파 RF(HFRF) 전력(예, 이중 주파수 RF), 일정한 RF, 펄스화된 RF, 또는 임의의 다른 공지되거나 발견된 플라즈마 생성 기술을 이용하여 생성될 수 있다. RF 전원(165)은 약 5 MHz 내지 약 300 MHz의 단일 주파수 RF를 공급할 수 있다. 또한, RF 전원(165)은 처리 챔버로 유입되는 처리 가스의 반응성 종의 분해를 개선하기 위해 혼합 주파수를 제공하도록 약 300 Hz 내지 약 1,000 kHz의 단일 주파수 LFRF를 공급할 수도 있다. RF 전력은 기판의 열을 감소시키고 증착된 막에서 더 큰 공극율을 촉진시키도록 주기화되거나 펄스화될 수 있다. 적 절한 RF 전력은 약 10W 내지 약 5,000W 범위, 바람직하게는 약 200W 내지 약 1000W 범위의 전력일 수 있다. 적절한 LFRF 전력은 약 0W 내지 약 5,000W, 바람직하게는 약 0W 내지 약 200W 범위의 전력일 수 있다.
증착 동안, 기판은 약 -20℃ 내지 약 500℃, 바람직하게는 약 100℃ 내지 약 450℃의 온도에서 유지된다. 증착 압력은 전형적으로 약 1 Torr 내지 약 20 Torr, 바람직하게는 약 4 Torr 내지 약 10 Torr이다. 증착율은 전형적으로 약 2,000Å/min. 내지 약 20,000Å/min.이다.
바람직하게는, 낮은 유전상수 막이 증착된 이후, 막은 후-처리된다. 막은 열적 또는 플라즈마 강화 어닐링 프로세스 또는 전자빔 처리를 통해 후-처리될 수 있다. 일 실시예에서, 막은 약 2초 내지 약 1시간 동안, 바람직하게는 약 30분 동안 약 200℃ 내지 약 400℃의 온도에서 어닐링된다. 헬륨, 수소, 질소, 또는 이들의 혼합물과 같은 비-반응성 가스는 100 내지 약 10,000sccm의 유량에서 유입된다. 챔버 압력은 약 2 Torr 내지 약 10 Torr로 유지된다. 어닐링 동안 RF 전력은 약 13.56MHz 주파수에서 약 200W 내지 약 1,000W이고, 바람직한 기판 간격은 약 300mils 내지 약 800mils이다. 낮은 유전상수 막이 증착된 이후 약 200℃ 내지 약 400℃의 기판 온도에서 낮은 유전상수 막의 어닐링은 막에서 적어도 일부의 유기 그룹들을 기화시키고, 막에 보이드들을 형성한다. 휘발될 수 있는 유기 그룹들은 하나의 링과 링에 하나 또는 두개의 탄소-탄소 이중 결합들을 포함하는 하나 이상의 무-산소(oxygen-free) 탄화수소 화합물들의 링과 같이, 본 발명에서 기술되는 가스 혼합물들의 유기 성분들로부터 유도된다.
다른 실시예에서, 낮은 유전상수 막은 전자빔 처리에 의해 후-처리된다. 전자빔(e-빔) 처리는 전형적으로 약 1 내지 20킬로일렉트론볼트(KeV)에서 제곱센티미터당 약 50 내지 약 2000 마이크로쿨롱(μc/cm2)의 선량(dose)을 갖는다. 전자빔 처리는 전형적으로 약 2분과 같이, 약 1분 내지 약 15분 동안 실온 내지 약 450℃의 온도에서 동작된다. 바람직하게는, 전자빔 처리는 약 2분 동안 약 400℃에서 수행된다. 일 실시예에서, 전자빔 처리 조건들은 400℃에서 4.5kV, 1.5mA, 및 150μc/cm2를 포함한다. 임의의 전자빔 장치가 사용될 수 있지만, 하나의 예시적인 장치는 어플라이드 머티어리얼스 사로부터 이용가능한 EBK 챔버이다.
전자빔 경화 프로세스는 증착된 막 망의 기계적 세기를 개선하고 k-값을 낮춘다. 에너지공급되는 전자빔은 증착된 막의 분자 망에서 화학적 결합을 변경시키고, 하나의 링과 링에 하나 또는 두개의 탄소-탄소 이중 결합들을 포함하는 하나 이상의 무-산소 탄화수소 화합물들의 링으로부터의 유기 성분들과 같이, 막으로부터 분자 그룹들의 적어도 일부분을 제거한다. 분자 그룹들의 제거는 막내에서 보이드들 또는 공극들을 생성하고, k 값을 낮춘다. 전자빔 처리는 또한 FRIR 현미경으로부터 추정되는 것처럼, Si-O-Si 또는 Si-C-Si 체인들을 교차-결합시킴으로써 막 망을 강화시킨다.
다른 실시예에서, 낮은 유전상수 막은 자외선 경화 프로세스에 의해 후-처리된다. 자외선 경화 프로세스를 이용하여 경화되는 낮은 유전상수 막들은 개선된 배리어층 특성들을 나타내었고, 감소되고 최소화된 레지스트 유독성을 나타내었다. 자외선 경화 프로세스는 예를 들어, 하나의 챔버에서 다른 챔버로 진공 차단 없이 전달되는, 동일한 처리 챔버 또는 시스템내에서 인-시튜(in-situ)로 수행될 수 있다.
기판은 증착 챔버를 포함할 수 있는 챔버로 유입되고, 낮은 유전상수 막은 예를 들어, 약 0.1 mW/cm2 내지 약 10 mW/cm2과 같이, 약 0.01 mW/cm2 내지 약 1 W/cm2로 노출된다. 자외선 광은 자외선 파장들의 범위를 포함할 수 있고, 하나 이상의 동시적인 파장들을 포함할 수 있다. 적절한 자외선 파장들은 약 1nm 내지 약 400nm를 포함하고, 약 600 또는 780nm까지의 광 파장들을 추가로 포함할 수 있다. 약 1nm 내지 약 400nm의 자외선 파장들은 약 11.48eV 내지 약 3.5eV의 광자 에너지(일렉트론볼트)를 제공한다. 바람직한 자외선 파장들은 약 100nm 내지 약 350nm를 포함한다.
또한, 자외선 광은 다중 파장들, 조정가능한 파장 방출 및 조정가능한 전력 방출, 또는 목표된 바와 같은 다수의 파장들간의 변조에서 제공될 수 있고, 자외선 램프들의 어레이로부터 제공되거나 단일 UV 램프로부터 방출될 수 있다. 증착되는 유기실리케이트 유전체 층은 약 10초 내지 약 600초 동안 자외선 광에 노출된다.
처리 동안, 처리 챔버의 온도는 약 0℃ 내지 약 550℃, 예를 들어 약 20℃ 내지 약 400℃, 예를 들어 약 25℃에서 유지될 수 있고, 예를 들어 약 1mTorr 미만에서 대기압, 즉 760Torr까지, 예를 들어 약 100 Torr의 진공하의 챔버 압력에서 유지될 수 있다. 자외선 광의 소스는 기판 표면으로부터 약 100mils 내지 약 600mils일 수 있다. 선택적으로, 처리 가스는 자외선 경화 프로세스 동안 유입될 수 있다. 적절한 처리 가스들은 산소(O2), 질소(N2), 수소(H2), 헬륨(He), 아르곤(Ar), 수증기(H2O), 일산화탄소, 이산화탄소, 탄화수소 가스들, 탄화불소 가스들, 및 플루오르화 탄화수소 가스들, 또는 이들의 조합물들을 포함한다. 탄화수소 화합물들은 식 CXHY, CXFY, CXFYHZ 또는 이들의 조합물들을 가질 수 있으며, 여기서 X는 1 내지 6인 정수이고, Y는 4 내지 14인 정수이며, Z는 1 내지 3인 정수이다.
유기실리케이트 유전체 층들은 도 2와 관련하여 기술된 실시예에 따라 기판상에 증착된다. 막들은 캘리포니아 산타클레라의 어플라이드 머티어리얼스 사로부터 이용가능한, PRODUCER 시스템상에 PECVD 챔버(즉, CVD 챔버)를 이용하여 증착된다. 증착 동안, 챔버 압력은 약 4.5 Torr의 압력에서 유지되고, 기판은 약 350℃의 온도에서 유지된다.
기판은 처리 챔버내에 배치된 기판 지지부상에 위치된다. 계면층에 대해 1000sccm 헬륨과 700sccm 산소의 초기 가스 조성을 갖는 처리 가스 혼합물이 챔버로 유입되고, 유량들은 RF 전력의 개시 이전에 안정화된다. 후속적으로, 약 500W의 RF 전력이 샤워헤드에 인가되어, 디에톡시메틸실란(DEMS)을 포함하는 계면 처리 가스 혼합물의 플라즈마를 형성한다. 약 1초 동안 RF 전력의 개시 이후, 1-메틸-4-(1-메틸에틸)-1,3-사이클로헥사디엔(ATP)이 약 200 mg/min. 내지 약 600 mg/min.의 유량들에서 챔버로 유입되고, 약 2950mg/min.의 ATP 유량에 도달할 때까지 약 1500 mg/min./sec.의 상승율에서 DEMS 유량을 증가시키면서, 약 400 mg/min./sec. 내지 800 mg/min./sec.의 상승율들에서 즉시 상승된다.
포로겐 전이 유량들 및 포로겐 상승율들의 예들 및 입자 부가들의 총 수의 효과를 아래의 표에 나타낸다.
ATP 개시 유량(mg/min.) ATP 상승율(mg/min./sec.) 결함들
200 400 10 미만
200 500 10 미만
200 650 10 미만
200 700 10 미만
200 800 10 미만
400 400 10 미만
400 500 10 미만
400 650 10 초과
400 700 10 미만
400 800 10 미만
600 400 10 초과
600 500 10 초과
600 650 10 초과
600 700 1000 초과
600 800 1000 초과
전술한 상세한 설명은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들과 추가적인 실시예들이 그 기본 범주를 벗어남이 없이 안출될 수 있으며, 그 범주는 이하의 청구범위에 의해 결정된다.
도 1은 본 발명의 일 실시예에 따른 PECVD 시스템을 도시한다.
도 2는 본 발명의 일 실시예에 따른 유기실리케이트 유전체 층을 형성하기 위한 방법을 도시하는 프로세스 흐름도이다.
도 3은 본 발명의 실시예들에 따라 형성되는 유기실리케이트 유전체층을 포함하는 구조물의 단면도이다.

Claims (20)

  1. 유기실리케이트(organosilicate) 유전체 층을 증착하는 방법으로서,
    전력공급되는 전극을 갖는 처리 챔버내에 기판을 위치시키는 단계;
    상기 처리 챔버로 하나 이상의 산화 가스들을 유동시키는 단계;
    제 1 유기실리콘(organosilicon) 유량에서 제 1 디지털 액체 유량계를 통해 제 1 벌크 저장 용기로부터 제 1 기화(vaporization) 주입 밸브로 유기실리콘 화합물을 유동시키는 단계;
    상기 유기실리콘 화합물을 기화시키고, 상기 유기실리콘 화합물과 제 1 캐리어 가스를 상기 처리 챔버로 유동시키는 단계;
    RF 전력의 존재에서 개시층을 증착시키기 위해, 상기 제 1 유기실리콘 유량을 유지하는 단계;
    제 1 포로겐(porogen) 유량에서 제 2 디지털 액체 유량계를 통해 제 2 벌크 저장 용기로부터 제 2 기화 주입 밸브로 포로겐 화합물을 유동시키는 단계;
    상기 포로겐 화합물을 기화시키고, 상기 포로겐 화합물과 제 2 캐리어 가스를 상기 처리 챔버로 유동시키는 단계;
    RF 전력의 존재에서 전이층을 증착하면서 상기 제 1 유기실리콘 유량 및 상기 제 1 포로겐 유량을 증가시키는 단계; 및
    RF 전력의 존재에서 포로겐 함유 유기실리케이트 유전체 층을 증착하기 위해, 제 2 유기실리콘 유량 및 제 2 포로겐 유량을 유지하는 단계
    를 포함하는 유기실리케이트 유전체 층 증착 방법.
  2. 제 1 항에 있어서,
    상기 제 1 유기실리콘 유량은 1초 동안 유지되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  3. 제 1 항에 있어서,
    상기 제 1 포로겐 유량은 200 mg/min 내지 600 mg/min 인 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  4. 제 1 항에 있어서,
    상기 유기실리콘 화합물은 테트라메틸사이클로테트라실록산, 옥타메틸사이클로테트라실록산, 펜타메틸사이클로펜타실록산, 헥사메틸사이클로트리실록산, 디에톡시메틸실란, 디메틸디실록산, 테트라실라노-2,6-디옥시-4,8-디메틸렌, 테트라메틸디실록산, 헥사메틸디실록산, 1,3-비스(실라노메틸렌)디실록산, 비스(1-메틸디실록사닐)-메탄, 비스(1-메틸디실록사닐)프로판, 헥사메톡시디실록산, 디메틸디메톡시실란, 및 디메톡시메틸비닐실란으로 이루어진 그룹에서 선택되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  5. 제 1 항에 있어서,
    상기 포로겐 화합물은 부타디엔, 아이소피렌(isoprene), 사이클로헥사디엔, 바이사이클로헵타디엔, 1-메틸-4-(1-메틸에틸)-1,3-사이클로헥사디엔, 노보나디엔(norbornadiene), 1-메틸-4-(1-메틸에틸)-벤젠, 3-카렌(carene), 펜콘(fenchone), 리모넨(limonene), 사이클로펜텐(cyclopentene) 산화물, 비닐-1,4-디옥시닐 에테르, 비닐 퍼릴 에테르, 비닐-1,4-디옥신, 비닐 푸란(vinyl furan), 메틸 푸로에이트(furoate), 퍼릴 포메이트, 퍼릴 아세테이트, 푸랄디히드, 디퍼릴 켑톤, 디퍼릴 에테르, 디퍼퍼릴(difurfuryl) 에테르, 푸란, 및 1,4-디옥신으로 이루어진 그룹에서 선택되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  6. 제 1 항에 있어서,
    상기 하나 이상의 산화 가스들은 산소, 오존, 일산화질소, 일산화탄소, 및 이산화탄소로 이루어진 그룹에서 선택되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  7. 제 4 항에 있어서,
    상기 유기실리콘 화합물은 디에톡시메틸실란을 포함하고, 상기 포로겐 화합물은 1-메틸-4-(1-메틸에틸)-1,3-사이클로헥사디엔을 포함하는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  8. 제 7 항에 있어서,
    상기 제 1 및 제 2 캐리어 가스는 각각 헬륨, 아르곤, 및 이산화탄소로 이루어진 그룹에서 선택되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  9. 유기실리케이트 유전체 층을 증착하는 방법으로서,
    전력공급되는 전극을 갖는 처리 챔버내에 기판을 위치시키는 단계;
    하나 이상의 산화 가스들을 상기 처리 챔버로 유동시키는 단계;
    제 1 유기실리콘 유량에서 제 1 디지털 액체 유량계를 통해 제 1 벌크 저장 용기로부터 제 1 기화 주입 밸브로 유기실리콘 화합물을 유동시키는 단계;
    상기 유기실리콘 화합물을 기화시키고 상기 유기실리콘 화합물과 제 1 캐리어 가스를 상기 처리 챔버로 유동시키는 단계;
    RF 전력의 존재에서 개시층을 증착시키기 위해 상기 제 1 유기실리콘 유량을 유지하는 단계;
    제 1 포로겐 유량에서 제 2 디지털 액체 유량계를 통해 제 2 벌크 저장 용기로부터 제 2 기화 주입 밸브로 포로겐 화합물을 유동시키는 단계;
    상기 포로겐 화합물을 기화시키고 상기 포로겐 화합물과 제 2 캐리어 가스를 상기 처리 챔버로 유동시키는 단계;
    RF 전력의 존재에서 전이층을 증착하면서 상기 제 1 유기실리콘 유량과 상기 포로겐 유량을 증가시키는 단계; 및
    RF 전력의 존재에서 포로겐 함유 유기실리케이트 유전체 층을 증착하기 위해 제 2 유기실리콘 유량과 제 2 포로겐 유량을 유지시키는 단계
    를 포함하는 유기실리케이트 유전체 층 증착 방법.
  10. 제 9 항에 있어서,
    상기 제 1 포로겐 유량은 200 mg/min 내지 600 mg/min 인 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  11. 제 10 항에 있어서,
    상기 제 1 포로겐 유량은 400 mg/min./sec. 내지 800 mg/min./sec.의 상승율(ramp-up rate)에서 증가되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  12. 제 9 항에 있어서,
    상기 유기실리콘 화합물은 테트라메틸사이클로테트라실록산, 옥타메틸사이클로테트라실록산, 펜타메틸사이클로펜타실록산, 헥사메틸사이클로트리실록산, 디에톡시메틸실란, 디메틸디실록산, 테트라실라노-2,6-디옥시-4,8-디메틸렌, 테트라메틸디실록산, 헥사메틸디실록산, 1,3-비스(실라노메틸렌)디실록산, 비스(1-메틸디실록사닐)-메탄, 비스(1-메틸디실록사닐)프로판, 헥사메톡시디실록산, 디메틸디메톡시실란, 및 디메톡시메틸비닐실란으로 이루어진 그룹에서 선택되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  13. 제 9 항에 있어서,
    상기 포로겐 화합물은 부타디엔, 아이소피렌(isoprene), 사이클로헥사디엔, 바이사이클로헵타디엔, 1-메틸-4-(1-메틸에틸)-1,3-사이클로헥사디엔, 노보나디엔(norbornadiene), 1-메틸-4-(1-메틸에틸)-벤젠, 3-카렌(carene), 펜콘(fenchone), 리모넨(limonene), 사이클로펜텐(cyclopentene) 산화물, 비닐-1,4-디옥시닐 에테르, 비닐 퍼릴 에테르, 비닐-1,4-디옥신, 비닐 푸란(vinyl furan), 메틸 푸로에이트(furoate), 퍼릴 포메이트, 퍼릴 아세테이트, 푸랄디히드(furaldehyde), 디퍼릴 켑톤, 디퍼릴 에테르, 디퍼퍼릴(difurfuryl) 에테르, 푸란, 및 1,4-디옥신으로 이루어진 그룹에서 선택되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  14. 제 9 항에 있어서,
    상기 하나 이상의 산화 가스들은 산소, 오존, 일산화질소, 일산화탄소, 및 이산화탄소로 이루어진 그룹에서 선택되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  15. 제 12 항에 있어서,
    상기 유기실리콘 화합물은 디에톡시메틸실란을 포함하고, 상기 포로겐 화합물은 1-메틸-4-(1-메틸에틸)-1,3-사이클로헥사디엔을 포함하는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  16. 제 15 항에 있어서,
    상기 제 1 및 제 2 캐리어 가스는 각각 헬륨, 아르곤, 및 이산화탄소로 이루어진 그룹에서 선택되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  17. 유기실리케이트 유전체 층을 증착하는 방법으로서,
    전력공급되는 전극을 갖는 처리 챔버내에 기판을 위치시키는 단계;
    하나 이상의 산화 가스들을 상기 처리 챔버로 유동시키는 단계;
    제 1 유기실리콘 유량에서 제 1 디지털 액체 유량계를 통해 제 1 벌크 저장 용기로부터 제 1 기화 주입 밸브로 유기실리콘 화합물을 유동시키는 단계;
    상기 유기실리콘 화합물을 기화시키고 상기 유기실리콘 화합물과 제 1 캐리어 가스를 상기 처리 챔버로 유동시키는 단계;
    RF 전력의 존재에서 개시층을 증착시키기 위해 상기 제 1 유기실리콘 유량을 유지하는 단계;
    200 mg/min 내지 600 mg/min의 제 1 포로겐 유량에서 제 2 디지털 액체 유량계를 통해 제 2 벌크 저장 용기로부터 제 2 기화 주입 밸브로 포로겐 화합물을 유동시키는 단계;
    상기 포로겐 화합물을 기화시키고 상기 포로겐 화합물과 제 2 캐리어 가스를 상기 처리 챔버로 유동시키는 단계;
    RF 전력의 존재에서 전이층을 증착하면서, 상기 제 1 유기실리콘 유량을 증가시키고 400 mg/min./sec. 내지 800 mg/min./sec.의 상승율에서 상기 제 1 포로겐 유량을 증가시키는 단계; 및
    RF 전력의 존재에서 포로겐 함유 유기실리케이트 유전체 층을 증착하기 위해 제 2 유기실리콘 유량과 제 2 포로겐 유량을 유지시키는 단계
    를 포함하는 유기실리케이트 유전체 층 증착 방법.
  18. 제 17 항에 있어서,
    상기 유기실리콘 화합물은 테트라메틸사이클로테트라실록산, 옥타메틸사이클로테트라실록산, 펜타메틸사이클로펜타실록산, 헥사메틸사이클로트리실록산, 디에톡시메틸실란, 디메틸디실록산, 테트라실라노-2,6-디옥시-4,8-디메틸렌, 테트라메틸디실록산, 헥사메틸디실록산, 1,3-비스(실라노메틸렌)디실록산, 비스(1-메틸디실록사닐)-메탄, 비스(1-메틸디실록사닐)프로판, 헥사메톡시디실록산, 디메틸디메톡시실란, 및 디메톡시메틸비닐실란으로 이루어진 그룹에서 선택되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  19. 제 17 항에 있어서,
    상기 포로겐 화합물은 부타디엔, 아이소피렌(isoprene), 사이클로헥사디엔, 바이사이클로헵타디엔, 1-메틸-4-(1-메틸에틸)-1,3-사이클로헥사디엔, 노보나디엔(norbornadiene), 1-메틸-4-(1-메틸에틸)-벤젠, 3-카렌(carene), 펜 콘(fenchone), 리모넨(limonene), 사이클로펜텐(cyclopentene) 산화물, 비닐-1,4-디옥시닐 에테르, 비닐 퍼릴 에테르, 비닐-1,4-디옥신, 비닐 푸란(vinyl furan), 메틸 푸로에이트(furoate), 퍼릴 포메이트, 퍼릴 아세테이트, 푸랄디히드(furaldehyde), 디퍼릴 켑톤, 디퍼릴 에테르, 디퍼퍼릴(difurfuryl) 에테르, 푸란, 및 1,4-디옥신으로 이루어진 그룹에서 선택되는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
  20. 제 19 항에 있어서,
    상기 유기실리콘 화합물은 디에톡시메틸실란을 포함하고, 상기 포로겐 화합물은 1-메틸-4-(1-메틸에틸)-1,3-사이클로헥사디엔을 포함하는 것을 특징으로 하는 유기실리케이트 유전체 층 증착 방법.
KR1020070108877A 2006-11-21 2007-10-29 디지털 액체 유량계에 의해 낮은 k 유전체 막을 위한개시층을 개선하는 방법 KR100899726B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/562,021 US7410916B2 (en) 2006-11-21 2006-11-21 Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US11/562,021 2006-11-21

Publications (2)

Publication Number Publication Date
KR20080046088A true KR20080046088A (ko) 2008-05-26
KR100899726B1 KR100899726B1 (ko) 2009-05-27

Family

ID=39417451

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070108877A KR100899726B1 (ko) 2006-11-21 2007-10-29 디지털 액체 유량계에 의해 낮은 k 유전체 막을 위한개시층을 개선하는 방법

Country Status (4)

Country Link
US (2) US7410916B2 (ko)
KR (1) KR100899726B1 (ko)
CN (2) CN101187011B (ko)
TW (1) TWI382467B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US20100151206A1 (en) * 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8206794B2 (en) * 2009-05-04 2012-06-26 The Boeing Company System and method for applying abrasion-resistant coatings
US8587391B2 (en) * 2010-02-23 2013-11-19 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic coupling layer for coupled resonator filters and method of fabricating acoustic coupling layer
US20120121823A1 (en) * 2010-11-12 2012-05-17 Applied Materials, Inc. Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
CN102751233B (zh) * 2011-04-18 2015-03-11 中芯国际集成电路制造(上海)有限公司 互连结构形成方法
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
CN104164660B (zh) * 2014-08-26 2016-09-28 复旦大学 一种低介电常数多孔SiOCNH薄膜及其制备方法
WO2020050974A1 (en) * 2018-09-03 2020-03-12 Applied Materials, Inc. Direct liquid injection system for thin film deposition

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5855881A (en) * 1996-02-22 1999-01-05 Loike; John D. Mammalian alcohol dehydrogenase and aldehyde dehydrogenase production in plants
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6495233B1 (en) * 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20030100938A1 (en) * 2001-11-27 2003-05-29 Pearl Technology Holdings Ii, Llc In-stent restenosis detection device
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
ATE499458T1 (de) * 2002-04-17 2011-03-15 Air Prod & Chem Verfahren zur herstellung einer porösen sioch- schicht
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter

Also Published As

Publication number Publication date
CN101187011B (zh) 2010-12-15
US7410916B2 (en) 2008-08-12
KR100899726B1 (ko) 2009-05-27
US20080119058A1 (en) 2008-05-22
US7947611B2 (en) 2011-05-24
CN101886254A (zh) 2010-11-17
CN101886254B (zh) 2012-10-31
TW200845202A (en) 2008-11-16
CN101187011A (zh) 2008-05-28
US20080280457A1 (en) 2008-11-13
TWI382467B (zh) 2013-01-11

Similar Documents

Publication Publication Date Title
KR100899726B1 (ko) 디지털 액체 유량계에 의해 낮은 k 유전체 막을 위한개시층을 개선하는 방법
US7297376B1 (en) Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US7259111B2 (en) Interface engineering to improve adhesion between low k stacks
US7112541B2 (en) In-situ oxide capping after CVD low k deposition
US20120156890A1 (en) In-situ low-k capping to improve integration damage resistance
US20120121823A1 (en) Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
US7189658B2 (en) Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
EP1504138A2 (en) Method for using low dielectric constant film by electron beam
JP5544167B2 (ja) 低k誘電膜の二層キャッピング
US7998536B2 (en) Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US20100015816A1 (en) Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US20100087062A1 (en) High temperature bd development for memory applications

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180510

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190430

Year of fee payment: 11